US20110073136A1 - Removal of gallium and gallium containing materials - Google Patents

Removal of gallium and gallium containing materials Download PDF

Info

Publication number
US20110073136A1
US20110073136A1 US12/878,556 US87855610A US2011073136A1 US 20110073136 A1 US20110073136 A1 US 20110073136A1 US 87855610 A US87855610 A US 87855610A US 2011073136 A1 US2011073136 A1 US 2011073136A1
Authority
US
United States
Prior art keywords
gallium
iodine
chamber
cleaning
processing chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/878,556
Inventor
Robert Torres, Jr.
Glenn Mitchell
Michael Sievers
Adam Seymour
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Matheson Tri-Gas Inc
Original Assignee
Matheson Tri-Gas Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matheson Tri-Gas Inc filed Critical Matheson Tri-Gas Inc
Priority to US12/878,556 priority Critical patent/US20110073136A1/en
Assigned to MATHESON TRI-GAS, INC. reassignment MATHESON TRI-GAS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SIEVERS, MICHAEL, SEYMOUR, ADAM, MITCHELL, GLENN, TORRES, ROBERT, JR.
Publication of US20110073136A1 publication Critical patent/US20110073136A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts

Definitions

  • III-V semiconductors Semiconducting materials having direct or nearly direct bandgap are used to efficiently generate and detect optical radiation.
  • a broad class of direct bandgap materials are called III-V semiconductors, which are composed of a group III material combined with a group V material.
  • the size of the direct handgap determines the optical wavelength of emitted light.
  • the direct bandgap size also determines the minimum wavelength of a photon which can be absorbed by a III-V photodetector to form an electron-hole pair.
  • Gallium is commonly used as a group III element when forming III-V semiconductors.
  • Deposition may involve sequential or concurrent exposure of a substrate to a gallium source (such as tri-ethyl gallium (TEG) or tri-methyl gallium (TMG)) and a group-V precursor (i.e. NH 3 or AsH 3 ) in a CVD chamber.
  • a gallium source such as tri-ethyl gallium (TEG) or tri-methyl gallium (TMG)
  • TMG tri-methyl gallium
  • group-V precursor i.e. NH 3 or AsH 3
  • the cleaning mixture contains an iodine-containing compound and is introduced into the processing chamber. Iodine reacts with gallium resident within the chamber to produce thermally volatile Gal 3 .
  • the Gal 3 is removed using the exhaust system of the chamber by raising the temperature of the desorbing surface. Chlorine dioxide may be included in the cleaning mixture, in part, to assist in the removal of any carbon-containing contaminants. Other volatile gallium-containing by-products may also be formed and removed from the exhaust system.
  • Embodiments of the invention include methods of removing gallium-containing contaminants from a processing chamber.
  • the methods may include adjusting the temperature of the chamber above 200° C. and introducing a cleaning gas mixture to the chamber.
  • the cleaning mixture includes at least one iodine-containing compound.
  • the methods may further include reacting the gallium-containing contaminants with the iodine-containing compound to form a volatile gallium-and-iodine containing reaction product.
  • the methods may still further include removing the gallium-and-iodine containing reaction product from the chamber.
  • Embodiments of the invention also include methods of cleaning a gallium-containing processing chamber.
  • the methods may include adjusting the temperature of the chamber above 200° C. and introducing a cleaning gas mixture to the chamber.
  • the cleaning mixture includes at least one iodine-containing compound.
  • the methods may further include evacuating the chamber after the introduction of the cleaning gas mixture.
  • FIG. 1 is a flowchart of a processing chamber cleaning process according to disclosed embodiments.
  • FIG. 2 is another flowchart of a processing chamber cleaning process according to disclosed embodiments.
  • the cleaning mixture contains an iodine-containing compound and is introduced into the processing chamber. Iodine reacts with gallium resident within the chamber to produce thermally volatile Gal a .
  • the Gal a is removed using the exhaust system of the chamber by raising the temperature of the desorbing surface. Other volatile gallium-containing by-products may also be formed and removed from the exhaust system.
  • FIG. 1 is a flowchart of a processing chamber (for example, an MOCVD chamber) cleaning process according to disclosed embodiments.
  • the process begins when some or all interior surfaces of a processing chamber are raised above 200° C. (operation 110 ).
  • a cleaning gas mixture including an iodine-containing compound is introduced to the processing chamber (operation 115 ) and reacts with a contaminant on an interior surface of the processing chamber (operation 120 ). Reaction by-products or reacted contaminants are removed from the chamber through the exhaust system (operation 125 ).
  • the cleaning gas mixture includes at least one iodine-containing compound, which may be iodomethane (CH 3 I), trifluoroiodomethane (CF 3 I), iodine pentafluoride (IF 5 ), iodobromide (IBr), in embodiments of the invention.
  • the temperature of the interior surfaces may be raised above 200° C. 300° C. or 345° C. in embodiments of the invention.
  • Performing the cleaning procedure at elevated temperature shifts the equilibrium of the chemical reaction toward the reactants. This equilibrium shift reduces the favorability of traditional cleaning agents (e.g.
  • Fluorine, chlorine and bromine each of have properties which can degrade non-contaminant features within the substrate processing chamber.
  • Exemplary contaminants include gallium, gallium nitride, gallium arsenide and other gallium-containing residue from a variety of deposition processes for which the processing chamber is used. Removal of the contaminants improves the quality of post-clean deposition, for example, by reducing defect density or restoring electrical or optical properties of deposited films to nearly those achievable with a residue-free chamber.
  • the reacted contaminants may include Gal; but may also include alkyl-gallium compounds which are easily desorbed from chamber surfaces. Alkyl-gallium compounds may be formed and desorbed when one or both of iodomethane and trifluoroiodomethane is present in the cleaning gas mixture. Any combination of the iodine-containing compounds described (or similar to those described) may be used to form the cleaning gas mixture.
  • FIG. 2 is another flowchart of a processing chamber cleaning process according to disclosed embodiments.
  • a cleaning gas mixture containing chlorine dioxide is flowed into the substrate processing chamber (operation 210 ).
  • Chlorine dioxide is reacted with gallium-containing contaminants to form some reacted contaminant (operation 215 ).
  • oxygen content is still available to react with carbon-containing contaminant resident on interior surfaces of the chamber to form additional reacted contaminant ( 220 ). Both reacted contaminants are removed from the chamber through the exhaust system (operation 325 ).
  • Chlorine dioxide may be included in any of the iodine-containing cleaning mixtures described herein to address carbon-containing contaminants or carbon content within a gallium-containing film on a substrate.
  • substrate may be a support substrate with or without layers formed thereon.
  • the support substrate may be an insulator or a semiconductor of a variety of doping concentrations and profiles and may, for example, be a semiconductor substrate of the type used in the manufacture of integrated circuits.
  • precursor is used to refer to any process gas which takes part in a reaction to either remove material from or deposit material onto a surface.
  • a gas (or precursor) may be a combination of two or more gases (or precursors) and may include substances which are normally liquid or solid but temporarily carried along with other “matrix gases” or, synonymously, “carrier gases”.
  • Matrix gases or carrier gases may be an “inert gas” which refers to any gas which does not form chemical bonds when etching or being incorporated into a film.
  • Exemplary inert gases include noble gases but may include other gases so long as no chemical bonds are formed when (typically) trace amounts are trapped in a film.
  • the terms “trench” and “gap” are used throughout with no implication that the etched geometry has a large horizontal aspect ratio. Viewed from above the surface, trenches and gaps may appear circular, oval, polygonal, rectangular, or a variety of other shapes.
  • the term “via” is used to refer to a low aspect ratio trench (as viewed from above) which may or may not be filled with metal to form a vertical electrical connection.

Abstract

Methods of removing gallium and gallium-containing materials from surfaces within a substrate processing chamber using a cleaning mixture are described. The cleaning mixture contains an iodine-containing compound and is introduced into the processing chamber. Iodine reacts with gallium resident within the chamber to produce thermally volatile Gal3. The Gal3 is removed using the exhaust system of the chamber by raising the temperature of the desorbing surface. Other volatile gallium-containing by-products may also be formed and removed from the exhaust system.

Description

    CROSS-REFERENCES TO RELATED APPLICATIONS
  • This application claims the benefit of U.S. Prov. Pat. App. No. 61/241,287 filed Sep. 10, 2009, and titled “ETCH AND CLEAN PROCESSES,” which is incorporated herein by reference for all purposes.
  • BACKGROUND OF THE INVENTION
  • Semiconducting materials having direct or nearly direct bandgap are used to efficiently generate and detect optical radiation. A broad class of direct bandgap materials are called III-V semiconductors, which are composed of a group III material combined with a group V material. The size of the direct handgap determines the optical wavelength of emitted light. The direct bandgap size also determines the minimum wavelength of a photon which can be absorbed by a III-V photodetector to form an electron-hole pair.
  • Gallium is commonly used as a group III element when forming III-V semiconductors. Deposition may involve sequential or concurrent exposure of a substrate to a gallium source (such as tri-ethyl gallium (TEG) or tri-methyl gallium (TMG)) and a group-V precursor (i.e. NH3 or AsH3) in a CVD chamber. Chlorine etchants are used to remove residue from interior surfaces of CVD chambers during preventative maintenance procedures. The etch rates of III-V semiconductors and gallium deposits remain low for these established chemistries and recipes.
  • Thus there is a need for etch processes which can remove gallium-containing materials more rapidly without increasing the frequency of preventative maintenance procedures.
  • BRIEF SUMMARY OF THE INVENTION
  • Methods of removing gallium and gallium-containing materials from surfaces within a substrate processing chamber using a cleaning mixture are described. The cleaning mixture contains an iodine-containing compound and is introduced into the processing chamber. Iodine reacts with gallium resident within the chamber to produce thermally volatile Gal3. The Gal3 is removed using the exhaust system of the chamber by raising the temperature of the desorbing surface. Chlorine dioxide may be included in the cleaning mixture, in part, to assist in the removal of any carbon-containing contaminants. Other volatile gallium-containing by-products may also be formed and removed from the exhaust system.
  • Embodiments of the invention include methods of removing gallium-containing contaminants from a processing chamber. The methods may include adjusting the temperature of the chamber above 200° C. and introducing a cleaning gas mixture to the chamber. The cleaning mixture includes at least one iodine-containing compound. The methods may further include reacting the gallium-containing contaminants with the iodine-containing compound to form a volatile gallium-and-iodine containing reaction product. The methods may still further include removing the gallium-and-iodine containing reaction product from the chamber.
  • Embodiments of the invention also include methods of cleaning a gallium-containing processing chamber. The methods may include adjusting the temperature of the chamber above 200° C. and introducing a cleaning gas mixture to the chamber. The cleaning mixture includes at least one iodine-containing compound. The methods may further include evacuating the chamber after the introduction of the cleaning gas mixture.
  • Additional embodiments and features are set forth in part in the description that follows, and in part will become apparent to those skilled in the art upon examination of the specification or may be learned by the practice of the disclosed embodiments. The features and advantages of the disclosed embodiments may be realized and attained by means of the instrumentalities, combinations, and methods described in the specification.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • A further understanding of the nature and advantages of the disclosed embodiments may be realized by reference to the remaining portions of the specification and the drawings.
  • FIG. 1 is a flowchart of a processing chamber cleaning process according to disclosed embodiments.
  • FIG. 2 is another flowchart of a processing chamber cleaning process according to disclosed embodiments.
  • In the appended figures, similar components and/or features may have the same reference label. Further, various components of the same type may be distinguished by following the reference label by a dash and a second label that distinguishes among the similar components. If only the first reference label is used in the specification, the description is applicable to any one of the similar components having the same first reference label irrespective of the second reference label.
  • DETAILED DESCRIPTION OF THE INVENTION
  • Methods of removing gallium and gallium-containing materials from surfaces within a substrate processing chamber using a cleaning mixture are described. The cleaning mixture contains an iodine-containing compound and is introduced into the processing chamber. Iodine reacts with gallium resident within the chamber to produce thermally volatile Gala. The Gala is removed using the exhaust system of the chamber by raising the temperature of the desorbing surface. Other volatile gallium-containing by-products may also be formed and removed from the exhaust system.
  • In order to better understand and appreciate the invention, reference is now made to FIG. 1 which is a flowchart of a processing chamber (for example, an MOCVD chamber) cleaning process according to disclosed embodiments. The process begins when some or all interior surfaces of a processing chamber are raised above 200° C. (operation 110). A cleaning gas mixture including an iodine-containing compound is introduced to the processing chamber (operation 115) and reacts with a contaminant on an interior surface of the processing chamber (operation 120). Reaction by-products or reacted contaminants are removed from the chamber through the exhaust system (operation 125).
  • The cleaning gas mixture includes at least one iodine-containing compound, which may be iodomethane (CH3I), trifluoroiodomethane (CF3I), iodine pentafluoride (IF5), iodobromide (IBr), in embodiments of the invention. The iodine bonds with gallium within the contaminant and forms Gal3 which is thermally desorbed at the elevated temperature of the interior surfaces of the processing chamber. The temperature of the interior surfaces may be raised above 200° C. 300° C. or 345° C. in embodiments of the invention. Performing the cleaning procedure at elevated temperature shifts the equilibrium of the chemical reaction toward the reactants. This equilibrium shift reduces the favorability of traditional cleaning agents (e.g. chlorine) and makes iodine-containing compounds a relatively more appealing choice. Fluorine, chlorine and bromine each of have properties which can degrade non-contaminant features within the substrate processing chamber. Exemplary contaminants include gallium, gallium nitride, gallium arsenide and other gallium-containing residue from a variety of deposition processes for which the processing chamber is used. Removal of the contaminants improves the quality of post-clean deposition, for example, by reducing defect density or restoring electrical or optical properties of deposited films to nearly those achievable with a residue-free chamber.
  • The reacted contaminants may include Gal; but may also include alkyl-gallium compounds which are easily desorbed from chamber surfaces. Alkyl-gallium compounds may be formed and desorbed when one or both of iodomethane and trifluoroiodomethane is present in the cleaning gas mixture. Any combination of the iodine-containing compounds described (or similar to those described) may be used to form the cleaning gas mixture.
  • Chlorine dioxide may also be included in the cleaning gas mixture. FIG. 2 is another flowchart of a processing chamber cleaning process according to disclosed embodiments. A cleaning gas mixture containing chlorine dioxide is flowed into the substrate processing chamber (operation 210). Chlorine dioxide is reacted with gallium-containing contaminants to form some reacted contaminant (operation 215). However, oxygen content is still available to react with carbon-containing contaminant resident on interior surfaces of the chamber to form additional reacted contaminant (220). Both reacted contaminants are removed from the chamber through the exhaust system (operation 325). Chlorine dioxide may be included in any of the iodine-containing cleaning mixtures described herein to address carbon-containing contaminants or carbon content within a gallium-containing film on a substrate.
  • As used herein “substrate” may be a support substrate with or without layers formed thereon. The support substrate may be an insulator or a semiconductor of a variety of doping concentrations and profiles and may, for example, be a semiconductor substrate of the type used in the manufacture of integrated circuits. The term “precursor” is used to refer to any process gas which takes part in a reaction to either remove material from or deposit material onto a surface. A gas (or precursor) may be a combination of two or more gases (or precursors) and may include substances which are normally liquid or solid but temporarily carried along with other “matrix gases” or, synonymously, “carrier gases”. Matrix gases or carrier gases may be an “inert gas” which refers to any gas which does not form chemical bonds when etching or being incorporated into a film. Exemplary inert gases include noble gases but may include other gases so long as no chemical bonds are formed when (typically) trace amounts are trapped in a film. The terms “trench” and “gap” are used throughout with no implication that the etched geometry has a large horizontal aspect ratio. Viewed from above the surface, trenches and gaps may appear circular, oval, polygonal, rectangular, or a variety of other shapes. The term “via” is used to refer to a low aspect ratio trench (as viewed from above) which may or may not be filled with metal to form a vertical electrical connection.
  • Having disclosed several embodiments, it will be recognized by those of skill in the art that various modifications, alternative constructions, and equivalents may be used without departing from the spirit of the disclosed embodiments. Additionally, a number of well known processes and elements have not been described in order to avoid unnecessarily obscuring the present invention. Accordingly, the above description should not be taken as limiting the scope of the invention.
  • Where a range of values is provided, it is understood that each intervening value, to the tenth of the unit of the lower limit unless the context clearly dictates otherwise, between the upper and lower limits of that range is also specifically disclosed. Each smaller range between any stated value or intervening value in a stated range and any other stated or intervening value in that stated range is encompassed. The upper and lower limits of these smaller ranges may independently be included or excluded in the range, and each range where either, neither or both limits are included in the smaller ranges is also encompassed within the invention, subject to any specifically excluded limit in the stated range. Where the stated range includes one or both of the limits, ranges excluding either or both of those included limits are'also included.
  • As used herein and in the appended claims, the singular forms “a”, “an”, and “the” include plural referents unless the context clearly dictates otherwise. Thus, for example, reference to “a process” includes a plurality of such processes and reference to “the dielectric material” includes reference to one or more dielectric materials and equivalents thereof known to those skilled in the art, and so forth.
  • Also, the words “comprise,” “comprising,” “include,” “including,” and “includes” when used in this specification and in the following claims are intended to specify the presence of stated features, integers, components, or steps, but they do not preclude the presence or addition of one or more other features, integers, components, steps, acts, or groups.

Claims (15)

1. A method of removing gallium-containing contaminants from a processing chamber, the method comprising:
adjusting the temperature of the chamber above 200° C.;
introducing a cleaning gas mixture to the chamber, wherein the cleaning mixture comprises at least one iodine-containing compound;
reacting the gallium-containing contaminants with the iodine-containing compound to form a volatile gallium-and-iodine containing reaction product; and
removing the gallium-and-iodine containing reaction product from the chamber.
2. The method of claim 1, wherein the iodine-containing compound comprises an iodocarbon compound or iodofluorocarbon compound.
3. The method of claim 2, wherein the iodocarbon comprises CH3I.
4. The method of claim 2, wherein the iodofluorocarbon comprises CF3I.
5. The method of claim 1, wherein the iodine-containing compound comprises IF5 or IBr.
6. The method of claim 1, wherein the temperature is adjusted to about 300° C. or more.
7. The method of claim 1, wherein the temperature is adjusted to about 345° C. or more.
8. The method of claim 1, wherein the gallium-and-iodine containing reaction product comprises GaI3.
9. The method of claim 1, wherein the processing chamber further comprises carbon-containing contaminants.
10. A method of cleaning a gallium-containing processing chamber, the method comprising:
adjusting the temperature of the chamber above 200° C.;
introducing a cleaning gas mixture to the chamber, wherein the cleaning mixture comprises at least one iodine-containing compound; and
evacuating the chamber tiller the introduction of the cleaning gas mixture.
11. The method of claim 10, wherein the iodine-containing compound is selected from the group consisting of CF3I, CH3I, IF5, and IBr.
12. The method of claim 10, wherein the temperature is adjusted to about 345° C. or more.
13. The method of claim 10, wherein the method further comprises introducing ClO2 to the chamber.
14. The method of claim 13, wherein the cleaning gas mixture comprises ClO2.
15. The method of claim 10, wherein the processing chamber part of a system for fabricating light-emitting-diodes.
US12/878,556 2009-09-10 2010-09-09 Removal of gallium and gallium containing materials Abandoned US20110073136A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/878,556 US20110073136A1 (en) 2009-09-10 2010-09-09 Removal of gallium and gallium containing materials

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US24128709P 2009-09-10 2009-09-10
US12/878,556 US20110073136A1 (en) 2009-09-10 2010-09-09 Removal of gallium and gallium containing materials

Publications (1)

Publication Number Publication Date
US20110073136A1 true US20110073136A1 (en) 2011-03-31

Family

ID=43646724

Family Applications (3)

Application Number Title Priority Date Filing Date
US12/878,171 Abandoned US20110059617A1 (en) 2009-09-10 2010-09-09 High aspect ratio silicon oxide etch
US12/878,195 Expired - Fee Related US8623148B2 (en) 2009-09-10 2010-09-09 NF3 chamber clean additive
US12/878,556 Abandoned US20110073136A1 (en) 2009-09-10 2010-09-09 Removal of gallium and gallium containing materials

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US12/878,171 Abandoned US20110059617A1 (en) 2009-09-10 2010-09-09 High aspect ratio silicon oxide etch
US12/878,195 Expired - Fee Related US8623148B2 (en) 2009-09-10 2010-09-09 NF3 chamber clean additive

Country Status (3)

Country Link
US (3) US20110059617A1 (en)
TW (2) TW201130030A (en)
WO (2) WO2011031860A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110059617A1 (en) * 2009-09-10 2011-03-10 Matheson Tri-Gas, Inc. High aspect ratio silicon oxide etch
CN110970285A (en) * 2019-12-16 2020-04-07 广东省半导体产业技术研究院 Method for maintaining benign environment of reaction chamber
CN111105994A (en) * 2019-12-17 2020-05-05 广东省半导体产业技术研究院 Method for preparing AlN epitaxial layer

Families Citing this family (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US8932406B2 (en) * 2012-09-04 2015-01-13 Matheson Tri-Gas, Inc. In-situ generation of the molecular etcher carbonyl fluoride or any of its variants and its use
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
EP2934775B1 (en) * 2012-12-18 2021-03-17 Seastar Chemicals Inc. Process and method for in-situ dry cleaning of thin film deposition reactors and thin film layers
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) * 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10607850B2 (en) 2016-12-30 2020-03-31 American Air Liquide, Inc. Iodine-containing compounds for etching semiconductor structures
US10161034B2 (en) 2017-04-21 2018-12-25 Lam Research Corporation Rapid chamber clean using concurrent in-situ and remote plasma sources
US10276439B2 (en) 2017-06-02 2019-04-30 International Business Machines Corporation Rapid oxide etch for manufacturing through dielectric via structures
JP7030648B2 (en) * 2018-08-09 2022-03-07 キオクシア株式会社 Manufacturing method of semiconductor device and etching gas
CN112840039A (en) * 2018-10-05 2021-05-25 朗姆研究公司 Removal of metal contaminants from chamber surfaces
CN111446167A (en) * 2020-03-16 2020-07-24 绍兴同芯成集成电路有限公司 Process for generating multi-step groove transistor by using polymer isolation layer
US11798811B2 (en) * 2020-06-26 2023-10-24 American Air Liquide, Inc. Iodine-containing fluorocarbon and hydrofluorocarbon compounds for etching semiconductor structures
US11915933B2 (en) * 2020-09-18 2024-02-27 Changxin Memory Technologies, Inc. Manufacturing method of semiconductor structure
US20220223431A1 (en) * 2020-12-28 2022-07-14 American Air Liquide, Inc. High conductive passivation layers and method of forming the same during high aspect ratio plasma etching
US11772137B2 (en) * 2021-07-23 2023-10-03 Applied Materials, Inc. Reactive cleaning of substrate support
US20230193460A1 (en) * 2021-12-17 2023-06-22 American Air Liquide, Inc. Deposition of iodine-containing carbon films

Citations (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4158678A (en) * 1976-06-30 1979-06-19 Daikin Kogyo Co., Ltd. Segmented polymers containing fluorine and iodine and their production
US4243770A (en) * 1977-04-08 1981-01-06 Daikin Kogyo Co., Ltd. Cross linkable fluorine-containing polymer and its production
US4530972A (en) * 1979-02-14 1985-07-23 Daikin Kogyo Co., Ltd. Fluoride-containing polymer and composition containing same
US5173553A (en) * 1989-07-10 1992-12-22 Ausimont S.R.L. Fluoroelastomers endowed with improved processability and process for preparing them
US5770098A (en) * 1993-03-19 1998-06-23 Tokyo Electron Kabushiki Kaisha Etching process
US6074888A (en) * 1998-08-18 2000-06-13 Trw Inc. Method for fabricating semiconductor micro epi-optical components
US20020106460A1 (en) * 2001-02-07 2002-08-08 Lee Gil Sik Low dielectric constant fluorocarbonated silicon films for integrated circuits and method of preparation
US6635229B1 (en) * 1999-09-21 2003-10-21 Texas Instruments Incorporated Method for low perfluorocarbon compound emission
US20040035825A1 (en) * 2000-11-08 2004-02-26 Shingo Nakamura Dry etching gas and method for dry etching
US6743874B2 (en) * 1999-05-28 2004-06-01 Bayer Aktiengesellschaft Rapidly crosslinking fluoropolymer
US20050161060A1 (en) * 2004-01-23 2005-07-28 Johnson Andrew D. Cleaning CVD chambers following deposition of porogen-containing materials
US20050266691A1 (en) * 2004-05-11 2005-12-01 Applied Materials Inc. Carbon-doped-Si oxide etch using H2 additive in fluorocarbon etch chemistry
US7033954B2 (en) * 2001-06-28 2006-04-25 Micron Technology, Inc. Etching of high aspect ration structures
US20070224829A1 (en) * 2003-07-15 2007-09-27 Air Products And Chemicals, Inc. Use Of Hypofluorites, Fluoroperoxides, And/Or Fluorotrioxides As Oxidizing Agent In Fluorocarbon Etch Plasmas
US20080131793A1 (en) * 2006-03-06 2008-06-05 Samsung Electronics Co., Ltd. Method for forming hard mask patterns having a fine pitch and method for forming a semiconductor device using the same
US7517804B2 (en) * 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
US20090102025A1 (en) * 2006-04-07 2009-04-23 Toshio Hayashi Semiconductor device and method for manufacturing the same, dry-etching process, method for making electrical connections, and etching apparatus
US20090149008A1 (en) * 2007-10-05 2009-06-11 Applied Materials, Inc. Method for depositing group iii/v compounds
US7553543B2 (en) * 2005-12-16 2009-06-30 E. I. Du Pont De Nemours And Company Composite structure having a fluoroelastomeric anti-reflective coating with non-fluorinated cross-linking
US20090176375A1 (en) * 2008-01-04 2009-07-09 Benson Russell A Method of Etching a High Aspect Ratio Contact
US20090191715A1 (en) * 2006-03-09 2009-07-30 Toshio Hayashi Method for etching interlayer dielectric film
WO2009102762A2 (en) * 2008-02-11 2009-08-20 Sweeney Joseph D Ion source cleaning in semiconductor processing systems
US7655742B2 (en) * 2003-03-26 2010-02-02 Daikin Industries, Ltd. Method of forming thin film
US20100055921A1 (en) * 2008-08-29 2010-03-04 Air Products And Chemicals, Inc. Selective Etching of Silicon Dioxide Compositions
US20100215854A1 (en) * 2007-06-24 2010-08-26 Burrows Brian H Hvpe showerhead design
US20100273291A1 (en) * 2009-04-28 2010-10-28 Applied Materials, Inc. Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
US20110079251A1 (en) * 2009-04-28 2011-04-07 Olga Kryliouk Method for in-situ cleaning of deposition systems

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4158675A (en) * 1977-09-23 1979-06-19 Imperial Chemical Industries Limited Manufacture of halogenated compounds
EP0854502A3 (en) 1997-01-21 1998-09-02 Texas Instruments Incorporated Iodofluorocarbon gas for the etching of dielectric layers and the cleaning of process chambers
US6174451B1 (en) * 1998-03-27 2001-01-16 Applied Materials, Inc. Oxide etch process using hexafluorobutadiene and related unsaturated hydrofluorocarbons
DE10025296C2 (en) * 2000-05-22 2003-03-20 Fci Automotive Deutschland Gmb Connectors, in particular for airbag ignition systems
KR100485743B1 (en) 2000-07-18 2005-04-28 쇼와 덴코 가부시키가이샤 Cleaning gas for semiconductor production equipment
CN100410421C (en) 2001-05-04 2008-08-13 拉姆研究公司 Duo-step plasma cleaning of chamber residues
US20110059617A1 (en) 2009-09-10 2011-03-10 Matheson Tri-Gas, Inc. High aspect ratio silicon oxide etch

Patent Citations (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4158678A (en) * 1976-06-30 1979-06-19 Daikin Kogyo Co., Ltd. Segmented polymers containing fluorine and iodine and their production
US4243770A (en) * 1977-04-08 1981-01-06 Daikin Kogyo Co., Ltd. Cross linkable fluorine-containing polymer and its production
US4530972A (en) * 1979-02-14 1985-07-23 Daikin Kogyo Co., Ltd. Fluoride-containing polymer and composition containing same
US5173553A (en) * 1989-07-10 1992-12-22 Ausimont S.R.L. Fluoroelastomers endowed with improved processability and process for preparing them
US5770098A (en) * 1993-03-19 1998-06-23 Tokyo Electron Kabushiki Kaisha Etching process
US6074888A (en) * 1998-08-18 2000-06-13 Trw Inc. Method for fabricating semiconductor micro epi-optical components
US6743874B2 (en) * 1999-05-28 2004-06-01 Bayer Aktiengesellschaft Rapidly crosslinking fluoropolymer
US6635229B1 (en) * 1999-09-21 2003-10-21 Texas Instruments Incorporated Method for low perfluorocarbon compound emission
US20040035825A1 (en) * 2000-11-08 2004-02-26 Shingo Nakamura Dry etching gas and method for dry etching
US20020106460A1 (en) * 2001-02-07 2002-08-08 Lee Gil Sik Low dielectric constant fluorocarbonated silicon films for integrated circuits and method of preparation
US7033954B2 (en) * 2001-06-28 2006-04-25 Micron Technology, Inc. Etching of high aspect ration structures
US20100093962A1 (en) * 2003-03-26 2010-04-15 Daikin Industries, Ltd. Method of forming thin film
US7655742B2 (en) * 2003-03-26 2010-02-02 Daikin Industries, Ltd. Method of forming thin film
US20070224829A1 (en) * 2003-07-15 2007-09-27 Air Products And Chemicals, Inc. Use Of Hypofluorites, Fluoroperoxides, And/Or Fluorotrioxides As Oxidizing Agent In Fluorocarbon Etch Plasmas
US20050161060A1 (en) * 2004-01-23 2005-07-28 Johnson Andrew D. Cleaning CVD chambers following deposition of porogen-containing materials
US20050266691A1 (en) * 2004-05-11 2005-12-01 Applied Materials Inc. Carbon-doped-Si oxide etch using H2 additive in fluorocarbon etch chemistry
US7553543B2 (en) * 2005-12-16 2009-06-30 E. I. Du Pont De Nemours And Company Composite structure having a fluoroelastomeric anti-reflective coating with non-fluorinated cross-linking
US20080131793A1 (en) * 2006-03-06 2008-06-05 Samsung Electronics Co., Ltd. Method for forming hard mask patterns having a fine pitch and method for forming a semiconductor device using the same
US20090191715A1 (en) * 2006-03-09 2009-07-30 Toshio Hayashi Method for etching interlayer dielectric film
US20090102025A1 (en) * 2006-04-07 2009-04-23 Toshio Hayashi Semiconductor device and method for manufacturing the same, dry-etching process, method for making electrical connections, and etching apparatus
US20090159560A1 (en) * 2006-08-31 2009-06-25 Micron Technology, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
US7517804B2 (en) * 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
US20100215854A1 (en) * 2007-06-24 2010-08-26 Burrows Brian H Hvpe showerhead design
US20090149008A1 (en) * 2007-10-05 2009-06-11 Applied Materials, Inc. Method for depositing group iii/v compounds
US20090176375A1 (en) * 2008-01-04 2009-07-09 Benson Russell A Method of Etching a High Aspect Ratio Contact
WO2009102762A2 (en) * 2008-02-11 2009-08-20 Sweeney Joseph D Ion source cleaning in semiconductor processing systems
US20100055921A1 (en) * 2008-08-29 2010-03-04 Air Products And Chemicals, Inc. Selective Etching of Silicon Dioxide Compositions
US20100273291A1 (en) * 2009-04-28 2010-10-28 Applied Materials, Inc. Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
US20110079251A1 (en) * 2009-04-28 2011-04-07 Olga Kryliouk Method for in-situ cleaning of deposition systems

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110059617A1 (en) * 2009-09-10 2011-03-10 Matheson Tri-Gas, Inc. High aspect ratio silicon oxide etch
US20110056515A1 (en) * 2009-09-10 2011-03-10 Matheson Tri-Gas, Inc. Nf3 chamber clean additive
US8623148B2 (en) 2009-09-10 2014-01-07 Matheson Tri-Gas, Inc. NF3 chamber clean additive
CN110970285A (en) * 2019-12-16 2020-04-07 广东省半导体产业技术研究院 Method for maintaining benign environment of reaction chamber
CN111105994A (en) * 2019-12-17 2020-05-05 广东省半导体产业技术研究院 Method for preparing AlN epitaxial layer

Also Published As

Publication number Publication date
WO2011031858A1 (en) 2011-03-17
WO2011031860A1 (en) 2011-03-17
TW201130030A (en) 2011-09-01
US8623148B2 (en) 2014-01-07
US20110059617A1 (en) 2011-03-10
US20110056515A1 (en) 2011-03-10
TW201125956A (en) 2011-08-01

Similar Documents

Publication Publication Date Title
US20110073136A1 (en) Removal of gallium and gallium containing materials
US20050112901A1 (en) Removal of transition metal ternary and/or quaternary barrier materials from a substrate
US8097527B2 (en) Method of forming epitaxial layer
US20140083453A1 (en) Method for in situ cleaning of mocvd reaction chamber
KR20160062181A (en) Etching process
Storm et al. Critical issues for homoepitaxial GaN growth by molecular beam epitaxy on hydride vapor-phase epitaxy-grown GaN substrates
US20070141814A1 (en) Process for producing a free-standing iii-n layer, and free-standing iii-n substrate
KR100991735B1 (en) Nitride semiconductor layered structure, optosemiconductor device and manufacturing methods thereof
Violette et al. Low temperature selective silicon epitaxy by ultra high vacuum rapid thermal chemical vapor deposition using Si2H6, H2 and Cl2
CN111279460B (en) Dry etching method
JP5214316B2 (en) Cleaning method of plasma film forming apparatus
Violette et al. On the role of chlorine in selective silicon epitaxy by chemical vapor deposition
JP2006240895A (en) Method for producing aluminum-based nitride crystal and laminated substrate
JP4612403B2 (en) Method for manufacturing group III nitride semiconductor free-standing substrate
EP3264445A1 (en) Nitride semiconductor template and method for manufacturing same
CN103002665A (en) Method of manufacturing printed wiring board
CN114141919B (en) Semiconductor substrate and preparation method thereof, semiconductor device and preparation method thereof
JP2559195B2 (en) Use of oxalyl chloride for producing chloride-doped silicon dioxide films on silicon substrates
JP2006148160A (en) Surface treatment method and semiconductor device
US11781218B2 (en) Defect free germanium oxide gap fill
JP6996952B2 (en) Method for manufacturing Group III nitride single crystal laminate and Group III nitride single crystal laminate
JP2006318959A (en) Movpe growth method
KR100802291B1 (en) Equipment for supplying cooling water
Mitchell et al. NF 3 chamber clean additive
JP2021044538A (en) Method for forming silicon nitride passivation film, method for manufacturing semiconductor device, and semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: MATHESON TRI-GAS, INC., NEW JERSEY

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:TORRES, ROBERT, JR.;MITCHELL, GLENN;SIEVERS, MICHAEL;AND OTHERS;SIGNING DATES FROM 20101208 TO 20101212;REEL/FRAME:025494/0797

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION