US20110059617A1 - High aspect ratio silicon oxide etch - Google Patents

High aspect ratio silicon oxide etch Download PDF

Info

Publication number
US20110059617A1
US20110059617A1 US12/878,171 US87817110A US2011059617A1 US 20110059617 A1 US20110059617 A1 US 20110059617A1 US 87817110 A US87817110 A US 87817110A US 2011059617 A1 US2011059617 A1 US 2011059617A1
Authority
US
United States
Prior art keywords
fluorocarbon
oxygen
silicon
plasma
iodo
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/878,171
Inventor
Glenn Mitchell
Robert Torres, Jr.
Adam Seymour
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Matheson Tri-Gas Inc
Original Assignee
Matheson Tri-Gas Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matheson Tri-Gas Inc filed Critical Matheson Tri-Gas Inc
Priority to US12/878,171 priority Critical patent/US20110059617A1/en
Assigned to MATHESON TRI-GAS, INC. reassignment MATHESON TRI-GAS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SEYMOUR, ADAM, MITCHELL, GLENN, TORRES, ROBERT JR.
Publication of US20110059617A1 publication Critical patent/US20110059617A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts

Definitions

  • contact apertures are needed to establish electrical contact between specific portions of vertically separated metal layers. Contacts typically begin with openings etched through a layer of dielectric material, for example silicon oxide, to an underlying metal layer.
  • the methods may include a concurrent introduction of a fluorocarbon precursor and an iodo-fluorocarbon precursor into a substrate processing system housing a substrate.
  • the fluorocarbon precursor may have a F:C atomic ratio of about 2:1 or less, and the iodo-fluorocarbon may have a F:C ratio of about 1.75:1 to about 1.5:1.
  • Exemplary precursors may include C 4 F 6 , C 5 F 8 and C 2 F 3 I, among others.
  • the substrate processing system may be configured to allow creation of a plasma (e.g., a high-density plasma) useful for accelerating ions created in the plasma toward the substrate.
  • the substrate may have regions of exposed silicon oxide and an overlying patterned photoresist layer which exposes narrow regions of silicon oxide.
  • the etch process may remove the silicon oxide to a significant depth while maintaining a relatively constant width down the trench.
  • Embodiments of the invention include methods of etching a silicon-and-oxygen-containing layer.
  • the methods include forming a plasma from an etchant precursor mixture.
  • the etchant precursor mixture may include a first precursor including a fluorocarbon having at least one double bond, and a second precursor including an iodo-fluorocarbon having at least one double bond.
  • the methods further include exposing the silicon-and-oxygen-containing layer to the plasma. Etchant species from the plasma may react and remove exposed portions of the silicon-and-oxygen-containing layer.
  • Embodiments may also include methods of forming a fluorinated carbon layer while etching a trench in a silicon-and-oxygen-containing dielectric layer.
  • the methods may include forming a plasma from a precursor mixture including a fluorocarbon and an iodo-fluorocarbon.
  • the plasma generates one or more etching species and one or more polymer deposition precursors.
  • the methods further include exposing the dielectric layer to the plasma.
  • the etching species may react and remove silicon-and-oxygen-containing material in the layer to form a trench having sidewalls.
  • the polymer deposition precursors may react to form the fluorinated carbon layer on the sidewalls of the trench.
  • FIG. 1 is a high aspect ratio trench etching process according to disclosed embodiments.
  • FIG. 2 is a graph of silicon oxide etch rates of iodo-fluorocarbons for use in etching processes.
  • FIG. 3 is a graph of silicon nitride etch rates of iodo-fluorocarbons for use in etching processes.
  • FIG. 4 is a chemical schematic of plasma products formed from a fluorocarbon (C 4 F 6 ) and an iodo-fluorocarbon (C 2 F 3 I) in an etch process according to disclosed embodiments.
  • FIG. 5 is a chemical schematic of plasma products formed from a fluorocarbon (C 4 F 6 ) and an iodo-fluorocarbon (C 2 F 3 I) in an etch process according to disclosed embodiments.
  • FIG. 6 is a chemical schematic of a trench forming during an etch process according to disclosed embodiments.
  • FIG. 7 is a graph of substrate thickness measurements following a plasma treatment with a plasma formed by a gas mixture that includes C 2 F 3 I and C 4 F 6 .
  • FIG. 8 is a graph of substrate thickness measurements following a plasma treatment with a plasma formed by a gas mixture that includes C 4 F 6 .
  • FIG. 9 is a graph of substrate thickness measurements following a plasma treatment with a plasma formed by a gas mixture that includes C 2 F 5 I and C 4 F 6 .
  • FIG. 10 is a graph of substrate thickness measurements following a plasma treatment with a plasma formed by a gas mixture that includes CF 3 I and C 4 F 6 .
  • the methods may include a concurrent introduction of a fluorocarbon precursor and an iodo-fluorocarbon precursor into a substrate processing system housing a substrate.
  • exemplary fluorocarbon precursors may have a fluorine-to-carbon (“F:C”) atomic ratio from about 2:1 to about 1:1.
  • These precursors may include, for example, C 3 F 6 , C 4 F 6 (e.g., 1,3-hexafluorobutadiene), C 5 F 8 , C 6 F 6 (e.g., hexafluorobenzene), and mono or poly-olefinic C 7 perfluorocarbons (e.g., C 7 F 14 , C 7 F 12 , C 7 F 10 , etc.), among other fluorocarbons.
  • Exemplary iodofluorocarbons may have an F:C atomic ratio from about 1.75:1 to about 1.5:1.
  • These precursors may include singly substituted iodofluorocarbons such as mono-iodofluorocarbons (e.g., C 2 F 3 I, C 3 F 5 I, and C 4 F 7 I, etc.) among iodofluorocarbons.
  • the substrate processing system may be configured to allow creation of a plasma (e.g., a high-density plasma) useful for accelerating ions created in the plasma toward the substrate.
  • the substrate may have regions of exposed silicon oxide and an overlying patterned photoresist layer which exposes narrow regions of silicon oxide.
  • the etch process may remove the silicon oxide to a significant depth while maintaining a relatively constant width down the trench.
  • iodine in one of the precursors helps form a more dense polymer layer on the sidewalls of the trench.
  • the polymer film restricts the etching to a substantially perpendicular direction in the dielectric layer, and reduces unwanted etching extending laterally into the sidewalls.
  • the iodine ions in the plasma also increase the sputtering activity when a plasma is biased relative to the substrate. Sputtering allows further mass transport of etchants to the etch front at the bottom of a forming trench.
  • Iodine may also be incorporated into the protective polymer present on the sidewall.
  • the incorporated iodine may increase the density of the protective polymer layer, further reducing the etching through the sidewalls as the high-aspect-ratio trenches are formed.
  • the incorporated iodine may also lower the resistivity of the polymer and allow the increased charge dissipation, especially near the bottom of the trench where excessive charge accumulation is common. Dissipation of positive charge at the bottom of the trench may remove an electrostatic barrier to further ionic bombardment.
  • FIG. 1 is a flowchart showing selective steps in a high aspect ratio trench etching process according to embodiments of the invention.
  • the process may include transferring a substrate having a patterned photoresist layer overlying a silicon oxide layer into a processing chamber (operation 110 ).
  • a flow of, for example, 1,3-hexafluorobutadiene (C 4 F 6 ) may be introduced to the processing chamber (operation 115 ) and a concurrent flow of C 2 F 3 I may also introduced (operation 120 ).
  • a plasma may be formed (operation 122 ) from the two precursors (C 4 F 6 and C 2 F 3 I) to create plasma products.
  • the plasma may be biased (operation 125 ) such that charged plasma products are accelerated toward the substrate surface.
  • Charged and neutral plasma products may collide with the exposed silicon oxide surface and progressively remove material to form a narrow trench in the surface of the substrate (operation 130 ).
  • the etched substrate may remain in the chamber for additional process steps, or may be removed from the processing chamber (operation 145 ).
  • Maintaining a narrow trench profile while the etching process proceeds may benefit from the formation of a dense polymer on the walls of the trench.
  • the dense polymer resists attack from the continued exposure of the plasma products, which reduces the extent of sidewall etching.
  • the atomic mass of iodine (roughly ten times greater than carbon or fluorine) enables the iodine to arrive in the trench with greater kinetic energy.
  • Charged fragments which include iodine, carbon and fluorine arrive with a similar impact. All these species serve to sputter the polymer that forms at the bottom of the trench more than the polymer forming on the trench sidewalls. This allows the protective layer on the sidewalls to be retained while the etching proceeds at the bottom.
  • Some of the charged iodine may carry fluorocarbon radicals that chemically etch exposed regions of the bottom of the trench. Thus, the exposed silicon oxide may be removed by chemical etching as well as physical sputtering.
  • fluorocarbons can be used to chemically etch silicon oxide as well as to form a protective polymer sidewall coating.
  • carbon tetrafluoride (CF 4 ) possesses a fluorine-to-carbon ratio of 4:1 and exhibits some sidewall etching as a narrow trench is formed using CF 4 plasma products.
  • Etch parameters may improve as the fluorine-to-carbon ratio is decreased.
  • CHF 3 has a F:C ratio of 3:1. Greater sidewall protection is experienced for C 4 F 8 and C 3 F 6 which each have an F:C ratio of 2:1.
  • C 5 F 8 and C 4 F 6 have F:C ratios of 1.6:1 and 1.5:1, respectively.
  • F:C ratios for the fluorocarbons may be about 2:1 or less, for example about 1.33:1 or less.
  • the above-described precursors are perfluorocarbons, however less substituted and/or differently substituted fluorocarbons, for example where one or more hydrogens replace fluorine groups, may also be used.
  • the F:C ratio is calculated only from the carbons and fluorines present in the molecule. Thus, substituting hydrogens in for fluorines will decrease the F:C ratio.
  • FIGS. 2-3 are graphs of silicon oxide and silicon nitride etch rates of iodo-fluorocarbons for use in etching processes according to disclosed embodiments. These graphs include only iodo-fluorocarbon precursors without the concurrent contribution of non-iodine containing fluorocarbons, such as the above-described perfluorocarbons. Silicon oxide etch rates are all significant for each of the iodo-fluorocarbons shown.
  • the etch rates of silicon nitride are very low for two of the precursors.
  • C 3 F 7 I etches silicon nitride much less than silicon oxide, and C 2 F 3 I actually deposits a polymer on the silicon nitride which is represented as a negative etch rate in FIG. 3 .
  • the etch selectivity of either of these precursors may be beneficial, for example, when a silicon nitride etch stop layer is used in a process flow.
  • Combining an iodo-fluorocarbon with a fluorocarbon enables etch rates of high-aspect-ratio trenches to be high while further reducing sidewall etch rates via the production of the protective polymer layer.
  • the combination of the two precursors may be referred to herein as an etchant precursor mixture. This combination significantly reduces the defects, such as twisting, bowing and bending, which can occur during formation of narrow trenches.
  • both the fluorocarbon and the iodofluorocarbon have F:C ratios of 1.5:1.
  • C 4 is a chemical schematic of plasma products formed from a fluorocarbon (C 4 F 6 ) and an iodo-fluorocarbon (C 2 F 3 I) in an etch process according to embodiments of the invention.
  • the inclusion of C 2 F 3 I in the process plasma contributes to the polymer since it is thought to produce CF 2 fragments but its inclusion also produces CF x + and I + fragments which assist in the etch process. Fragments which participate in the protective polymer may be described as polymer deposition precursors.
  • Oxygen may simultaneously be added to the plasma to adjust the polymer deposition rate.
  • Trenches etched with the present methods may have an aspect ratio of the height to the width that is, for example, greater than about 5:1; greater than about 10:1; greater than about 20:1; greater than about 30:1; or greater than about 60:1, among other aspect ratios.
  • the widths of the narrow trenches may be, for example, less than about 40 nm; less than about 30 nm; less than about 25 nm; or less than about 20 nm, among other trench widths.
  • FIG. 5 also shows a chemical schematic of plasma products formed with the same precursors (C 4 F 6 and C 2 F 3 I) as FIG. 4 . Additional fragments (charged and neutral) are shown on the right-hand side and compared to the fragments which would be present in the absence of the iodo-fluorocarbon (left side).
  • the polymer becomes more dense when the iodo-fluorocarbon is included due to the formation of a tightly cross-linked network. Iodine is present and may enable the cross-linking due to its role in polymerization, in disclosed embodiments.
  • the dense polymer is shown on a horizontal surface in the figure but the productive polymer would actually be present on a vertical surface (the sidewalls of a forming trench).
  • FIG. 6 is a chemical schematic of a trench forming during an etch process according to disclosed embodiments.
  • the silicon oxide (SiO 2 ) forms a flat surface having patterned photoresist (PR) on top.
  • Both C 4 F 6 and C 2 F 3 I are concurrently flowed into an process chamber (e.g., an HDP-CVD chamber) and excited in the plasma. Plasma products are produced and react with the exposed silicon oxide surface.
  • an HDP-CVD chamber e.g., an HDP-CVD chamber
  • plasma products are produced and react with the exposed silicon oxide surface.
  • protective polymer marked as C x F y I
  • Iodine more easily donates electrons, which reduces the resistance along the protective polymer.
  • the sidewalls of the trench may be, for example, within 1° of perpendicular to the initially-exposed surface of the silicon oxide layer.
  • silicon oxide may also be silicon-and-oxygen-containing material and, as such, may include concentrations of other elemental constituents such as nitrogen, hydrogen, carbon and the like.
  • silicon oxide consists essentially of silicon and oxygen.
  • the delivery of the iodo-fluorocarbon and the fluorocarbon may be assisted by flowing a matrix gas (or carrier gas) through a bubbler which allows a vapor pressure of either (or both) precursors to be carried into the plasma excitation region near the substrate.
  • the matrix gas contains no halogen, in disclosed embodiments, and may include He, Ar, Ne, N 2 and/or H 2 .
  • the fluorocarbon may have be saturated (i.e., all single bonds between linked carbon atoms) or it may be unsaturated (i.e., at least one double bond between linked carbon atoms). Exemplary fluorocarbons may also be unsubstituted or substituted (e.g., where one or more fluoroines are replaced with a hydrogen, another halogen, an oxygen moiety, a nitrogen moiety, etc).
  • the fluorocarbon may be non-cyclic or it may be cyclic (e.g., perfluorobenzene-C 6 F 6 ).
  • the fluorocarbon may also be a branched or unbranched fluorocarbon, in different embodiments.
  • Exemplary fluorocarbons include CF 4 , CH 2 F 2 , CHF 3 , C 4 F 6 , C 4 F 8 , C 5 F 8 , C 3 F 6 or C 6 F 6 .
  • the fluorocarbon may also be an oxyfluorocarbon (e.g. C 3 F 6 O or C 4 F 8 O).
  • Oxygen may be added to the plasma through a separate oxygen-containing compound which may include O 2 , O 3 , CO, CO 2 , N 2 O, NO and/or NO 2 . Regardless of the source of the oxygen, the inclusion of oxygen in a polymer precursor results in an oxygen-containing polymer precursor.
  • the iodo-fluorocarbon may be C 2 F 3 I, C 3 F 5 I or C 4 F 7 I, in disclosed embodiments.
  • the etchant precursor may further include a bombardment gas (e.g., Xe) to augment the sputtering action of iodine and iodine-containing radicals.
  • a bombardment gas e.g., Xe
  • FIGS. 7-10 show plots of plasma deposition and etch rates on various substrates using various fluorocarbons and fluorocarbon/iodo-fluorocarbon mixtures.
  • the experiments show that a plasma formed from a combination of 1,3-hexafluorobutadiene (C 4 F 6 ) and iodo-trifluoroethene (C 2 F 3 I) has surprisingly improved etch selectivity for silicon oxide compared to apparently similar mixtures of fluorocarbons and iodo-fluorocarbons.
  • tests were conducted to measure the degree of plasma deposition or etching on various substrates, including a photoresist film. a hard-mask film, polysilicon layer, and a silicon dioxide layer.
  • a gas mixture was supplied to the plasma reaction chamber that included C 2 F 3 I and 1,3-hexafluorbutadiene (C 4 F 6 ), mixed with molecular oxygen and argon.
  • C 4 F 6 1,3-hexafluorbutadiene
  • changes in the substrate thicknesses were measured and recorded in the graph shown in FIG. 7 .
  • Additional comparative tests were done by changing fluorocarbon and iodo-fluorocarbon gases while leaving other parameters constant, such as oxygen and argon gas flow rates, plasma reaction chamber pressure, and plasma power, among other process conditions.
  • FIG. 8 shows a graph of changes in substrate thickness when only C 4 F 6 was used in the gas mixture without any iodo-fluorocarbon, but otherwise having the same process conditions.
  • FIG. 9 shows a graph of thicknesses when a combination of C 4 F 6 and C 2 F 5 I were used, while FIG. 10 shows a graph of thicknesses when a combination of C 4 F 6 and CF 3 I were used.
  • Table 1 summarizes the thickness results for the various combinations of plasma gases:
  • substrate may be a support substrate with or without layers formed thereon.
  • the support substrate may be an insulator or a semiconductor of a variety of doping concentrations and profiles and may, for example, be a semiconductor substrate of the type used in the manufacture of integrated circuits.
  • precursor is used to refer to any process gas which takes part in a reaction to either remove material from or deposit material onto a surface.
  • a gas (or precursor) may be a combination of two or more gases (or precursors) and may include substances which are normally liquid or solid but temporarily carried along with other “matrix gases” or, synonymously, “carrier gases”.
  • Matrix gases or carrier gases may be an “inert gas” which refers to any gas which does not form chemical bonds when etching or being incorporated into a film.
  • Exemplary inert gases include noble gases but may include other gases so long as no chemical bonds are formed when (typically) trace amounts are trapped in a film.
  • the terms “trench” and “gap” may be used essentially interchangeably throughout the application and are not limited to a particular etched geometry or group of geometries. Examples of trenches may include vias, openings, holes, channels, etc., having a variety of cross-sectional shapes such as circular, oval, polygonal, and rectangular, and trapezoidal, among other geometries.

Abstract

Methods of etching high-aspect-ratio features in dielectric materials such as silicon oxide are described. The methods may include a concurrent introduction of a fluorocarbon precursor and an iodo-fluorocarbon precursor into a substrate processing system housing a substrate. The fluorocarbon precursor may have a F:C atomic ratio of about 2:1 or less, and the iodo-fluorocarbon may have a F:C ratio of about 1.75:1 to about 1.5:1. Exemplary precursors may include C4F6, C5F8 and C2F3I, among others. The substrate processing system may be configured to allow creation of a plasma useful for accelerating ions created in the plasma toward the substrate. The substrate may have regions of exposed silicon oxide and an overlying patterned photoresist layer which exposes narrow regions of silicon oxide. The etch process may remove the silicon oxide to a significant depth while maintaining a relatively constant width down the trench.

Description

    CROSS-REFERENCES TO RELATED APPLICATIONS
  • This application claims the benefit of U.S. Prov. Pat. App. No. 61/241,287 filed Sep. 10, 2009, and titled “ETCH AND CLEAN PROCESSES,” which is incorporated herein by reference for all purposes.
  • BACKGROUND OF THE INVENTION
  • Integrated circuit fabrication methods have reached a point where many hundreds of millions of transistors are routinely formed on a single chip. Each new generation of methods and equipment allow commercial scale fabrication of smaller and faster transistors, but also increase the difficulty of manufacturing the new devices. Within the devices, contact apertures (or “contacts”) are needed to establish electrical contact between specific portions of vertically separated metal layers. Contacts typically begin with openings etched through a layer of dielectric material, for example silicon oxide, to an underlying metal layer.
  • As circuit component structures, including contacts, shrink below 50 nm, tolerances become more narrow and fabrication methods become more complicated. Aspect ratios far greater than 10:1 (height to width) of contacts are routinely necessary in state-of-the-art devices. Narrow and tall contacts require a sidewall which is close to perpendicular to the substrate surface or else the contact formed will exhibit high resistivity at the bottom junction. In addition to contacts, lines, trenches, and other gaps in the dielectric layers also become smaller, and fabrication of these structures demands similar precision. Combinations of precisely defined holes, trenches, and other gaps are now common in integrated circuit fabrication. Thus, there is a need for improved methods of forming these structures during integrated circuit fabrication.
  • BRIEF SUMMARY OF THE INVENTION
  • Methods of etching high-aspect-ratio features in dielectric materials such as silicon oxide are described. The methods may include a concurrent introduction of a fluorocarbon precursor and an iodo-fluorocarbon precursor into a substrate processing system housing a substrate. The fluorocarbon precursor may have a F:C atomic ratio of about 2:1 or less, and the iodo-fluorocarbon may have a F:C ratio of about 1.75:1 to about 1.5:1. Exemplary precursors may include C4F6, C5F8 and C2F3I, among others. The substrate processing system may be configured to allow creation of a plasma (e.g., a high-density plasma) useful for accelerating ions created in the plasma toward the substrate. The substrate may have regions of exposed silicon oxide and an overlying patterned photoresist layer which exposes narrow regions of silicon oxide. The etch process may remove the silicon oxide to a significant depth while maintaining a relatively constant width down the trench.
  • Embodiments of the invention include methods of etching a silicon-and-oxygen-containing layer. The methods include forming a plasma from an etchant precursor mixture. The etchant precursor mixture may include a first precursor including a fluorocarbon having at least one double bond, and a second precursor including an iodo-fluorocarbon having at least one double bond. The methods further include exposing the silicon-and-oxygen-containing layer to the plasma. Etchant species from the plasma may react and remove exposed portions of the silicon-and-oxygen-containing layer.
  • Embodiments may also include methods of forming a fluorinated carbon layer while etching a trench in a silicon-and-oxygen-containing dielectric layer. The methods may include forming a plasma from a precursor mixture including a fluorocarbon and an iodo-fluorocarbon. The plasma generates one or more etching species and one or more polymer deposition precursors. The methods further include exposing the dielectric layer to the plasma. The etching species may react and remove silicon-and-oxygen-containing material in the layer to form a trench having sidewalls. The polymer deposition precursors may react to form the fluorinated carbon layer on the sidewalls of the trench.
  • Additional embodiments and features are set forth in part in the description that follows, and in part will become apparent to those skilled in the art upon examination of the specification or may be learned by the practice of the disclosed embodiments. The features and advantages of the disclosed embodiments may be realized and attained by means of the instrumentalities, combinations, and methods described in the specification.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • A further understanding of the nature and advantages of the disclosed embodiments may be realized by reference to the remaining portions of the specification and the drawings.
  • FIG. 1 is a high aspect ratio trench etching process according to disclosed embodiments.
  • FIG. 2 is a graph of silicon oxide etch rates of iodo-fluorocarbons for use in etching processes.
  • FIG. 3 is a graph of silicon nitride etch rates of iodo-fluorocarbons for use in etching processes.
  • FIG. 4 is a chemical schematic of plasma products formed from a fluorocarbon (C4F6) and an iodo-fluorocarbon (C2F3I) in an etch process according to disclosed embodiments.
  • FIG. 5 is a chemical schematic of plasma products formed from a fluorocarbon (C4F6) and an iodo-fluorocarbon (C2F3I) in an etch process according to disclosed embodiments.
  • FIG. 6 is a chemical schematic of a trench forming during an etch process according to disclosed embodiments.
  • FIG. 7 is a graph of substrate thickness measurements following a plasma treatment with a plasma formed by a gas mixture that includes C2F3I and C4F6.
  • FIG. 8 is a graph of substrate thickness measurements following a plasma treatment with a plasma formed by a gas mixture that includes C4F6.
  • FIG. 9 is a graph of substrate thickness measurements following a plasma treatment with a plasma formed by a gas mixture that includes C2F5I and C4F6.
  • FIG. 10 is a graph of substrate thickness measurements following a plasma treatment with a plasma formed by a gas mixture that includes CF3I and C4F6.
  • In the appended figures, similar components and/or features may have the same reference label. Further, various components of the same type may be distinguished by following the reference label by a dash and a second label that distinguishes among the similar components. If only the first reference label is used in the specification, the description is applicable to any one of the similar components having the same first reference label irrespective of the second reference label.
  • DETAILED DESCRIPTION OF THE INVENTION
  • Methods of etching high-aspect-ratio features in dielectric materials such as silicon oxide are described. The methods may include a concurrent introduction of a fluorocarbon precursor and an iodo-fluorocarbon precursor into a substrate processing system housing a substrate. Exemplary fluorocarbon precursors may have a fluorine-to-carbon (“F:C”) atomic ratio from about 2:1 to about 1:1. These precursors may include, for example, C3F6, C4F6 (e.g., 1,3-hexafluorobutadiene), C5F8, C6F6 (e.g., hexafluorobenzene), and mono or poly-olefinic C7 perfluorocarbons (e.g., C7F14, C7F12, C7F10, etc.), among other fluorocarbons. Exemplary iodofluorocarbons may have an F:C atomic ratio from about 1.75:1 to about 1.5:1. These precursors may include singly substituted iodofluorocarbons such as mono-iodofluorocarbons (e.g., C2F3I, C3F5I, and C4F7I, etc.) among iodofluorocarbons. The substrate processing system may be configured to allow creation of a plasma (e.g., a high-density plasma) useful for accelerating ions created in the plasma toward the substrate. The substrate may have regions of exposed silicon oxide and an overlying patterned photoresist layer which exposes narrow regions of silicon oxide. The etch process may remove the silicon oxide to a significant depth while maintaining a relatively constant width down the trench.
  • Without wishing to be bound by a particular theory, it is thought that the inclusion of iodine in one of the precursors helps form a more dense polymer layer on the sidewalls of the trench. The polymer film restricts the etching to a substantially perpendicular direction in the dielectric layer, and reduces unwanted etching extending laterally into the sidewalls. The iodine ions in the plasma also increase the sputtering activity when a plasma is biased relative to the substrate. Sputtering allows further mass transport of etchants to the etch front at the bottom of a forming trench. Iodine may also be incorporated into the protective polymer present on the sidewall. The incorporated iodine may increase the density of the protective polymer layer, further reducing the etching through the sidewalls as the high-aspect-ratio trenches are formed. The incorporated iodine may also lower the resistivity of the polymer and allow the increased charge dissipation, especially near the bottom of the trench where excessive charge accumulation is common. Dissipation of positive charge at the bottom of the trench may remove an electrostatic barrier to further ionic bombardment.
  • In order to better understand and appreciate the invention, reference is now made to FIG. 1, which is a flowchart showing selective steps in a high aspect ratio trench etching process according to embodiments of the invention. The process may include transferring a substrate having a patterned photoresist layer overlying a silicon oxide layer into a processing chamber (operation 110). A flow of, for example, 1,3-hexafluorobutadiene (C4F6) may be introduced to the processing chamber (operation 115) and a concurrent flow of C2F3I may also introduced (operation 120). A plasma may be formed (operation 122) from the two precursors (C4F6 and C2F3I) to create plasma products. The plasma may be biased (operation 125) such that charged plasma products are accelerated toward the substrate surface. Charged and neutral plasma products may collide with the exposed silicon oxide surface and progressively remove material to form a narrow trench in the surface of the substrate (operation 130). After the narrow trench is etched, the etched substrate may remain in the chamber for additional process steps, or may be removed from the processing chamber (operation 145).
  • Maintaining a narrow trench profile while the etching process proceeds may benefit from the formation of a dense polymer on the walls of the trench. The dense polymer resists attack from the continued exposure of the plasma products, which reduces the extent of sidewall etching. The atomic mass of iodine (roughly ten times greater than carbon or fluorine) enables the iodine to arrive in the trench with greater kinetic energy. Charged fragments which include iodine, carbon and fluorine arrive with a similar impact. All these species serve to sputter the polymer that forms at the bottom of the trench more than the polymer forming on the trench sidewalls. This allows the protective layer on the sidewalls to be retained while the etching proceeds at the bottom. Some of the charged iodine may carry fluorocarbon radicals that chemically etch exposed regions of the bottom of the trench. Thus, the exposed silicon oxide may be removed by chemical etching as well as physical sputtering.
  • A variety of fluorocarbons can be used to chemically etch silicon oxide as well as to form a protective polymer sidewall coating. For example, carbon tetrafluoride (CF4) possesses a fluorine-to-carbon ratio of 4:1 and exhibits some sidewall etching as a narrow trench is formed using CF4 plasma products. Etch parameters may improve as the fluorine-to-carbon ratio is decreased. CHF3 has a F:C ratio of 3:1. Greater sidewall protection is experienced for C4F8 and C3F6 which each have an F:C ratio of 2:1. C5F8 and C4F6 have F:C ratios of 1.6:1 and 1.5:1, respectively. When the fluorocarbons are fully-saturated perfluorocarbons, decreasing the F:C ratio may increase the number of double bonds in the fluorocarbon, which may influence the plasma fragmentation pattern and chemical species formed during plasma excitation. Regardless of the mechanism, increasing the carbon proportion in the plasma results in an increase in concentration of etching species like CFz + ions (where z is an integer from 1 to 3) and CxFy fragments which grow the protective polymer layer on the sidewalls. Exemplary F:C ratios for the fluorocarbons may be about 2:1 or less, for example about 1.33:1 or less. The above-described precursors are perfluorocarbons, however less substituted and/or differently substituted fluorocarbons, for example where one or more hydrogens replace fluorine groups, may also be used. In the case of hydrogenated fluorocarbons, the F:C ratio is calculated only from the carbons and fluorines present in the molecule. Thus, substituting hydrogens in for fluorines will decrease the F:C ratio.
  • The fluorocarbons just described are combined with an iodo-fluorocarbon to realize the benefits of iodine in sputtering and the other process benefits iodine provides. FIGS. 2-3 are graphs of silicon oxide and silicon nitride etch rates of iodo-fluorocarbons for use in etching processes according to disclosed embodiments. These graphs include only iodo-fluorocarbon precursors without the concurrent contribution of non-iodine containing fluorocarbons, such as the above-described perfluorocarbons. Silicon oxide etch rates are all significant for each of the iodo-fluorocarbons shown. The etch rates of silicon nitride, on the other hand, are very low for two of the precursors. C3F7I etches silicon nitride much less than silicon oxide, and C2F3I actually deposits a polymer on the silicon nitride which is represented as a negative etch rate in FIG. 3. The etch selectivity of either of these precursors may be beneficial, for example, when a silicon nitride etch stop layer is used in a process flow.
  • Combining an iodo-fluorocarbon with a fluorocarbon enables etch rates of high-aspect-ratio trenches to be high while further reducing sidewall etch rates via the production of the protective polymer layer. The combination of the two precursors may be referred to herein as an etchant precursor mixture. This combination significantly reduces the defects, such as twisting, bowing and bending, which can occur during formation of narrow trenches. In one exemplary combination both the fluorocarbon and the iodofluorocarbon have F:C ratios of 1.5:1. FIG. 4 is a chemical schematic of plasma products formed from a fluorocarbon (C4F6) and an iodo-fluorocarbon (C2F3I) in an etch process according to embodiments of the invention. The presence of C4F6 is generally associated with the formation of CxFy (x>=2) which helps in the production of the protective sidewall polymer, however, it also is thought to produce CF+ etching fragments. The inclusion of C2F3I in the process plasma contributes to the polymer since it is thought to produce CF2 fragments but its inclusion also produces CFx + and I+ fragments which assist in the etch process. Fragments which participate in the protective polymer may be described as polymer deposition precursors. Oxygen may simultaneously be added to the plasma to adjust the polymer deposition rate. A higher concentration of oxygen can be used to reduce the deposition rate by reacting with some of the polymer deposition precursors (CxFy(x>=2) and CF2) before they are included in the growing polymer. In some cases, it may be desirable to reduce the polymer deposition rate to retain physical access to a very narrow trench. Beyond a certain polymer thickness (e.g., about 2 nm), additional thickness may be unnecessary. Trenches etched with the present methods may have an aspect ratio of the height to the width that is, for example, greater than about 5:1; greater than about 10:1; greater than about 20:1; greater than about 30:1; or greater than about 60:1, among other aspect ratios. The widths of the narrow trenches may be, for example, less than about 40 nm; less than about 30 nm; less than about 25 nm; or less than about 20 nm, among other trench widths.
  • FIG. 5 also shows a chemical schematic of plasma products formed with the same precursors (C4F6 and C2F3I) as FIG. 4. Additional fragments (charged and neutral) are shown on the right-hand side and compared to the fragments which would be present in the absence of the iodo-fluorocarbon (left side). The polymer becomes more dense when the iodo-fluorocarbon is included due to the formation of a tightly cross-linked network. Iodine is present and may enable the cross-linking due to its role in polymerization, in disclosed embodiments. The dense polymer is shown on a horizontal surface in the figure but the productive polymer would actually be present on a vertical surface (the sidewalls of a forming trench).
  • FIG. 6 is a chemical schematic of a trench forming during an etch process according to disclosed embodiments. The silicon oxide (SiO2) forms a flat surface having patterned photoresist (PR) on top. Both C4F6 and C2F3I are concurrently flowed into an process chamber (e.g., an HDP-CVD chamber) and excited in the plasma. Plasma products are produced and react with the exposed silicon oxide surface. Through various chemical and physical means the silicon oxide is removed and protective polymer (marked as CxFyI) may be formed on the sidewalls of the deepening trench. Iodine more easily donates electrons, which reduces the resistance along the protective polymer. This draws the electrons from the bottom of the trench and can reduce the electrostatic repulsion experienced by further incoming charged plasma products. The reduction in the electrostatic repulsion enables the sputtering mechanism to continue which, in turn, allows a relatively high etch rate to be maintained at the bottom of the trench. The sidewalls of the trench may be, for example, within 1° of perpendicular to the initially-exposed surface of the silicon oxide layer.
  • Generally speaking silicon oxide may also be silicon-and-oxygen-containing material and, as such, may include concentrations of other elemental constituents such as nitrogen, hydrogen, carbon and the like. In some embodiments, silicon oxide consists essentially of silicon and oxygen. The delivery of the iodo-fluorocarbon and the fluorocarbon may be assisted by flowing a matrix gas (or carrier gas) through a bubbler which allows a vapor pressure of either (or both) precursors to be carried into the plasma excitation region near the substrate. The matrix gas contains no halogen, in disclosed embodiments, and may include He, Ar, Ne, N2 and/or H2. The fluorocarbon may have be saturated (i.e., all single bonds between linked carbon atoms) or it may be unsaturated (i.e., at least one double bond between linked carbon atoms). Exemplary fluorocarbons may also be unsubstituted or substituted (e.g., where one or more fluoroines are replaced with a hydrogen, another halogen, an oxygen moiety, a nitrogen moiety, etc). The fluorocarbon may be non-cyclic or it may be cyclic (e.g., perfluorobenzene-C6F6). The fluorocarbon may also be a branched or unbranched fluorocarbon, in different embodiments. Exemplary fluorocarbons include CF4, CH2F2, CHF3, C4F6, C4F8, C5F8, C3F6 or C6F6. The fluorocarbon may also be an oxyfluorocarbon (e.g. C3F6O or C4F8O). Oxygen may be added to the plasma through a separate oxygen-containing compound which may include O2, O3, CO, CO2, N2O, NO and/or NO2. Regardless of the source of the oxygen, the inclusion of oxygen in a polymer precursor results in an oxygen-containing polymer precursor. The iodo-fluorocarbon may be C2F3I, C3F5I or C4F7I, in disclosed embodiments. The etchant precursor may further include a bombardment gas (e.g., Xe) to augment the sputtering action of iodine and iodine-containing radicals.
  • Experimental
  • FIGS. 7-10 show plots of plasma deposition and etch rates on various substrates using various fluorocarbons and fluorocarbon/iodo-fluorocarbon mixtures. The experiments show that a plasma formed from a combination of 1,3-hexafluorobutadiene (C4F6) and iodo-trifluoroethene (C2F3I) has surprisingly improved etch selectivity for silicon oxide compared to apparently similar mixtures of fluorocarbons and iodo-fluorocarbons. Specifically, tests were conducted to measure the degree of plasma deposition or etching on various substrates, including a photoresist film. a hard-mask film, polysilicon layer, and a silicon dioxide layer. In one experiment, a gas mixture was supplied to the plasma reaction chamber that included C2F3I and 1,3-hexafluorbutadiene (C4F6), mixed with molecular oxygen and argon. After the different substrates were exposed to a plasma made from the gas mixture for a predetermined period of time, changes in the substrate thicknesses were measured and recorded in the graph shown in FIG. 7. Additional comparative tests were done by changing fluorocarbon and iodo-fluorocarbon gases while leaving other parameters constant, such as oxygen and argon gas flow rates, plasma reaction chamber pressure, and plasma power, among other process conditions. FIG. 8 shows a graph of changes in substrate thickness when only C4F6 was used in the gas mixture without any iodo-fluorocarbon, but otherwise having the same process conditions. FIG. 9 shows a graph of thicknesses when a combination of C4F6 and C2F5I were used, while FIG. 10 shows a graph of thicknesses when a combination of C4F6 and CF3I were used. Table 1 below summarizes the thickness results for the various combinations of plasma gases:
  • TABLE 1
    Changes In Substrate Thickness After Exposure to Plasma From
    Various Gas Mixtures
    Photo
    Gas Mixture Resist Hard Mask Poly Silicon Silicon Dioxide
    C2F3I + C4F6 +2004 Å +1364 Å  +937 Å −263 Å
    C4F6 +1111 Å +1116 Å +1198 Å +451 Å
    C2F5I + C4F6  −595 Å  +505 Å −2199 Å −314 Å
    CF3I + C4F6  −124 Å  −380 Å −1122 Å −177 Å
  • The empirical results show that only the combination of C2F3I and C4F6 selectively etch silicon dioxide while depositing material on the other three substrate materials tested (i.e., photo resist, hard mask, and poly-silicon). When C4F6 was used without an iodo-fluorocarbon, material was deposited on all the substrate tested. Conversely, when a mixture of CF3I and C4F6 was used, all the substrates were etched to some degree. While mixture of C2F5I and C4F6 did appear to selectively etch the photo resist over the hard mask, it also appeared to unselectively etch both the poly-silicon and the silicon dioxide. Only the combination of C2F3I and C4F6 showed selective etching of silicon dioxide while depositing material on poly-silicon.
  • These empirically derived results highlight the benefit and performance characteristics shown by the combination of C2F3I and C4F6 to selectively etch SiO2 over other materials such as hardmasks, poly-silicon, and photoresist, which may be present on the substrate. While not wishing to be bound to any particular theory, it is believed that the olefinic bonds present in both the C4F6 and C2F3I may favor the production of plasma excited species that selectively etch silicon dioxide over the other materials tested. In addition to both molecules containing a double bond between two carbon atoms, the fluorine to carbon ratio for each molecule is 1:1.5, F:C. It is thought that when exposed to plasma conditions, the fragmentation pattern of both molecules are similar which leads to similar fragments formed that are responsible for both etching and polymer deposition. It is also thought that the presence of iodine facilitates etching at the bottom of the trench via a sputtering mechanism wherein heavy ions are accelerated to the bottom of the trench and remove the substrate of interest.
  • As used herein “substrate” may be a support substrate with or without layers formed thereon. The support substrate may be an insulator or a semiconductor of a variety of doping concentrations and profiles and may, for example, be a semiconductor substrate of the type used in the manufacture of integrated circuits. The term “precursor” is used to refer to any process gas which takes part in a reaction to either remove material from or deposit material onto a surface. A gas (or precursor) may be a combination of two or more gases (or precursors) and may include substances which are normally liquid or solid but temporarily carried along with other “matrix gases” or, synonymously, “carrier gases”. Matrix gases or carrier gases may be an “inert gas” which refers to any gas which does not form chemical bonds when etching or being incorporated into a film. Exemplary inert gases include noble gases but may include other gases so long as no chemical bonds are formed when (typically) trace amounts are trapped in a film. The terms “trench” and “gap” may be used essentially interchangeably throughout the application and are not limited to a particular etched geometry or group of geometries. Examples of trenches may include vias, openings, holes, channels, etc., having a variety of cross-sectional shapes such as circular, oval, polygonal, and rectangular, and trapezoidal, among other geometries.
  • Having disclosed several embodiments, it will be recognized by those of skill in the art that various modifications, alternative constructions, and equivalents may be used without departing from the spirit of the disclosed embodiments. Additionally, a number of well known processes and elements have not been described in order to avoid unnecessarily obscuring the present invention. Accordingly, the above description should not be taken as limiting the scope of the invention.
  • Where a range of values is provided, it is understood that each intervening value, to the tenth of the unit of the lower limit unless the context clearly dictates otherwise, between the upper and lower limits of that range is also specifically disclosed. Each smaller range between any stated value or intervening value in a stated range and any other stated or intervening value in that stated range is encompassed. The upper and lower limits of these smaller ranges may independently be included or excluded in the range, and each range where either, neither or both limits are included in the smaller ranges is also encompassed within the invention, subject to any specifically excluded limit in the stated range. Where the stated range includes one or both of the limits, ranges excluding either or both of those included limits are also included.
  • As used herein and in the appended claims, the singular forms “a”, “an”, and “the” include plural referents unless the context clearly dictates otherwise. Thus, for example, reference to “a process” includes a plurality of such processes and reference to “the dielectric material” includes reference to one or more dielectric materials and equivalents thereof known to those skilled in the art, and so forth.
  • Also, the words “comprise,” “comprising,” “include,” “including,” and “includes” when used in this specification and in the following claims are intended to specify the presence of stated features, integers, components, or steps, but they do not preclude the presence or addition of one or more other features, integers, components, steps, acts, or groups.

Claims (25)

1. A method of etching silicon-and-oxygen-containing layer, the method comprising:
forming a plasma from an etchant precursor mixture, the etchant precursor mixture comprising:
a first precursor comprising fluorocarbon having at least one double bond, and
a second precursor comprising iodo-fluorocarbon having at least one double bond;
exposing the silicon-and-oxygen-containing layer to the plasma, wherein the plasma reacts with and removes an exposed portion of the silicon-and-oxygen-containing layer.
2. The method of claim 1 wherein the etchant precursor mixture further comprises a matrix gas that does not contain a halogen.
3. The method of claim 1 wherein the fluorocarbon is a perfluorocarbon or a hydrofluorocarbon.
4. The method of claim 1 wherein the fluorocarbon comprises a substituted or unsubstituted fluorocarbon; a cyclic or non-cyclic fluorocarbon; or a branched or unbranched fluorocarbon.
5. The method of claim 1 wherein the fluorocarbon has an empirical formula selected from the group consisting of CF4, CH2F2, CHF3, C4F6, C4F8, C5F8, C3F6, C6F6.
6. The method of claim 1 wherein the fluorocarbon is an oxyfluorocarbon.
7. The method of claim 6 wherein the oxyfluorocarbon has an empirical formula selected from the group consisting of C3F6O and C4F8O.
8. The method of claim 1 wherein the silicon-and-oxygen-containing layer consists essentially of silicon and oxygen.
9. The method of claim 1 wherein the iodofluorocarbon has an empirical formula selected from the group consisting of C2F3I, C3F5I, and C4F7I.
10. The method of claim 1 wherein the fluorocarbon is C4F6 and the iodofluorocarbon is C2F3I.
11. The method of claim 10 wherein C4F6 comprises 1,3-hexafluorobutadiene.
12. The method of claim 1 wherein the fluorocarbon has an atomic F:C ratio of about 2:1 or less.
13. The method of claim 1 wherein the iodo-fluorocarbon has an atomic F:C ratio of about 1.75:1 to about 1.5:1.
14. The method of claim 2 wherein the matrix gas is selected from the group consisting of He, Ar, Ne, N2, and H2.
15. The method of claim 1 wherein the etchant precursor mixture further comprises an oxidative precursor comprising an oxygen-containing compound.
16. The method of claim 15 wherein the oxygen-containing compound is selected from the group consisting of O2, O3, CO, CO2, N2O, NO, and NO2.
17. The method of claim 1 wherein the etchant precursor mixture further comprises a bombardment gas comprising Xe.
18. A method of forming a fluorinated carbon layer while etching a trench into a silicon-and-oxygen-containing layer, the method comprising:
forming a plasma from a precursor mixture comprising a fluorocarbon and an iodo-fluorocarbon, wherein the plasma generates one or more etching species and one or more polymer deposition precursors; and
exposing the silicon-and-oxygen-containing layer to the plasma, wherein the etching species react with and remove silicon-and-oxygen-containing material to form a trench having sidewalls within the silicon-and-oxygen-containing layer, and the polymer deposition precursors react to form the fluorinated carbon layer on the sidewalls of the trench.
19. The method of claim 18 wherein the etching species comprise CF+.
20. The method of claim 18 wherein the fluorocarbon has an atomic F:C ratio of about 2:1 or less.
21. The method of claim 18 wherein the iodo-fluorocarbon has an atomic F:C ratio of about 1.75:1 to about 1.5:1.
22. The method of claim 18 wherein the sidewalls of the trench are within 1 of perpendicular to an initially-exposed surface of the silicon-and-oxygen-containing layer.
23. The method of claim 18 wherein the precursor mixture further comprises an oxygenated additive.
24. The method of claim 23 wherein the oxygenated additive forms an oxygen-containing polymer precursor.
25. The method of claim 18 wherein the silicon-and-oxygen-containing layer consists essentially of silicon and oxygen.
US12/878,171 2009-09-10 2010-09-09 High aspect ratio silicon oxide etch Abandoned US20110059617A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/878,171 US20110059617A1 (en) 2009-09-10 2010-09-09 High aspect ratio silicon oxide etch

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US24128709P 2009-09-10 2009-09-10
US12/878,171 US20110059617A1 (en) 2009-09-10 2010-09-09 High aspect ratio silicon oxide etch

Publications (1)

Publication Number Publication Date
US20110059617A1 true US20110059617A1 (en) 2011-03-10

Family

ID=43646724

Family Applications (3)

Application Number Title Priority Date Filing Date
US12/878,556 Abandoned US20110073136A1 (en) 2009-09-10 2010-09-09 Removal of gallium and gallium containing materials
US12/878,195 Expired - Fee Related US8623148B2 (en) 2009-09-10 2010-09-09 NF3 chamber clean additive
US12/878,171 Abandoned US20110059617A1 (en) 2009-09-10 2010-09-09 High aspect ratio silicon oxide etch

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US12/878,556 Abandoned US20110073136A1 (en) 2009-09-10 2010-09-09 Removal of gallium and gallium containing materials
US12/878,195 Expired - Fee Related US8623148B2 (en) 2009-09-10 2010-09-09 NF3 chamber clean additive

Country Status (3)

Country Link
US (3) US20110073136A1 (en)
TW (2) TW201125956A (en)
WO (2) WO2011031858A1 (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110056515A1 (en) * 2009-09-10 2011-03-10 Matheson Tri-Gas, Inc. Nf3 chamber clean additive
US20170178923A1 (en) * 2016-12-30 2017-06-22 American Air Liquide, Inc. Iodine-containing compounds for etching semiconductor structures
US10276439B2 (en) 2017-06-02 2019-04-30 International Business Machines Corporation Rapid oxide etch for manufacturing through dielectric via structures
US20200051827A1 (en) * 2018-08-09 2020-02-13 Toshiba Memory Corporation Manufacturing method of semiconductor device and etching gas
US20210407817A1 (en) * 2020-06-26 2021-12-30 American Air Liquide, Inc. Iodine-containing fluorocarbon and hydrofluorocarbon compounds for etching semiconductor structures
US20220093400A1 (en) * 2020-09-18 2022-03-24 Changxin Memory Technologies, Inc. Manufacturing method of semiconductor structure
WO2022146697A1 (en) * 2020-12-28 2022-07-07 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procededs Georges Claude High conductive passivation layers and method of forming the same during high aspect ratio plasna etching
US20230193460A1 (en) * 2021-12-17 2023-06-22 American Air Liquide, Inc. Deposition of iodine-containing carbon films

Families Citing this family (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US8932406B2 (en) 2012-09-04 2015-01-13 Matheson Tri-Gas, Inc. In-situ generation of the molecular etcher carbonyl fluoride or any of its variants and its use
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
EP2934775B1 (en) * 2012-12-18 2021-03-17 Seastar Chemicals Inc. Process and method for in-situ dry cleaning of thin film deposition reactors and thin film layers
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) * 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10161034B2 (en) 2017-04-21 2018-12-25 Lam Research Corporation Rapid chamber clean using concurrent in-situ and remote plasma sources
TW202034427A (en) * 2018-10-05 2020-09-16 美商蘭姆研究公司 Removing metal contamination from surfaces of a processing chamber
CN110970285B (en) * 2019-12-16 2022-02-22 广东省半导体产业技术研究院 Method for maintaining benign environment of reaction chamber
CN111105994B (en) * 2019-12-17 2023-01-17 广东省半导体产业技术研究院 Method for preparing AlN epitaxial layer
CN111446167A (en) * 2020-03-16 2020-07-24 绍兴同芯成集成电路有限公司 Process for generating multi-step groove transistor by using polymer isolation layer
US11772137B2 (en) 2021-07-23 2023-10-03 Applied Materials, Inc. Reactive cleaning of substrate support

Citations (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4158675A (en) * 1977-09-23 1979-06-19 Imperial Chemical Industries Limited Manufacture of halogenated compounds
US4243770A (en) * 1977-04-08 1981-01-06 Daikin Kogyo Co., Ltd. Cross linkable fluorine-containing polymer and its production
US4530972A (en) * 1979-02-14 1985-07-23 Daikin Kogyo Co., Ltd. Fluoride-containing polymer and composition containing same
US5173553A (en) * 1989-07-10 1992-12-22 Ausimont S.R.L. Fluoroelastomers endowed with improved processability and process for preparing them
US5770098A (en) * 1993-03-19 1998-06-23 Tokyo Electron Kabushiki Kaisha Etching process
US6074888A (en) * 1998-08-18 2000-06-13 Trw Inc. Method for fabricating semiconductor micro epi-optical components
US20020106460A1 (en) * 2001-02-07 2002-08-08 Lee Gil Sik Low dielectric constant fluorocarbonated silicon films for integrated circuits and method of preparation
US6613691B1 (en) * 1998-03-27 2003-09-02 Applied Materials, Inc. Highly selective oxide etch process using hexafluorobutadiene
US6635229B1 (en) * 1999-09-21 2003-10-21 Texas Instruments Incorporated Method for low perfluorocarbon compound emission
US20040035825A1 (en) * 2000-11-08 2004-02-26 Shingo Nakamura Dry etching gas and method for dry etching
US6743874B2 (en) * 1999-05-28 2004-06-01 Bayer Aktiengesellschaft Rapidly crosslinking fluoropolymer
US20050161060A1 (en) * 2004-01-23 2005-07-28 Johnson Andrew D. Cleaning CVD chambers following deposition of porogen-containing materials
US20050266691A1 (en) * 2004-05-11 2005-12-01 Applied Materials Inc. Carbon-doped-Si oxide etch using H2 additive in fluorocarbon etch chemistry
US7033954B2 (en) * 2001-06-28 2006-04-25 Micron Technology, Inc. Etching of high aspect ration structures
US20070224829A1 (en) * 2003-07-15 2007-09-27 Air Products And Chemicals, Inc. Use Of Hypofluorites, Fluoroperoxides, And/Or Fluorotrioxides As Oxidizing Agent In Fluorocarbon Etch Plasmas
US20080131793A1 (en) * 2006-03-06 2008-06-05 Samsung Electronics Co., Ltd. Method for forming hard mask patterns having a fine pitch and method for forming a semiconductor device using the same
US7517804B2 (en) * 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
US20090102025A1 (en) * 2006-04-07 2009-04-23 Toshio Hayashi Semiconductor device and method for manufacturing the same, dry-etching process, method for making electrical connections, and etching apparatus
US20090149008A1 (en) * 2007-10-05 2009-06-11 Applied Materials, Inc. Method for depositing group iii/v compounds
US7553543B2 (en) * 2005-12-16 2009-06-30 E. I. Du Pont De Nemours And Company Composite structure having a fluoroelastomeric anti-reflective coating with non-fluorinated cross-linking
US20090176375A1 (en) * 2008-01-04 2009-07-09 Benson Russell A Method of Etching a High Aspect Ratio Contact
US20090191715A1 (en) * 2006-03-09 2009-07-30 Toshio Hayashi Method for etching interlayer dielectric film
US7655742B2 (en) * 2003-03-26 2010-02-02 Daikin Industries, Ltd. Method of forming thin film
US20100055921A1 (en) * 2008-08-29 2010-03-04 Air Products And Chemicals, Inc. Selective Etching of Silicon Dioxide Compositions
US20100215854A1 (en) * 2007-06-24 2010-08-26 Burrows Brian H Hvpe showerhead design
US20100273291A1 (en) * 2009-04-28 2010-10-28 Applied Materials, Inc. Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
US20110056515A1 (en) * 2009-09-10 2011-03-10 Matheson Tri-Gas, Inc. Nf3 chamber clean additive
US20110079251A1 (en) * 2009-04-28 2011-04-07 Olga Kryliouk Method for in-situ cleaning of deposition systems

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS584728B2 (en) * 1976-06-30 1983-01-27 ダイキン工業株式会社 Method for producing fluorine-containing multi-segmented polymer
EP0854502A3 (en) 1997-01-21 1998-09-02 Texas Instruments Incorporated Iodofluorocarbon gas for the etching of dielectric layers and the cleaning of process chambers
DE10025296C2 (en) * 2000-05-22 2003-03-20 Fci Automotive Deutschland Gmb Connectors, in particular for airbag ignition systems
WO2002007194A2 (en) * 2000-07-18 2002-01-24 Showa Denko K.K. Cleaning gas for semiconductor production equipment
CN100410421C (en) 2001-05-04 2008-08-13 拉姆研究公司 Duo-step plasma cleaning of chamber residues
JP2011512015A (en) * 2008-02-11 2011-04-14 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Ion source cleaning in semiconductor processing systems.

Patent Citations (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4243770A (en) * 1977-04-08 1981-01-06 Daikin Kogyo Co., Ltd. Cross linkable fluorine-containing polymer and its production
US4158675A (en) * 1977-09-23 1979-06-19 Imperial Chemical Industries Limited Manufacture of halogenated compounds
US4530972A (en) * 1979-02-14 1985-07-23 Daikin Kogyo Co., Ltd. Fluoride-containing polymer and composition containing same
US5173553A (en) * 1989-07-10 1992-12-22 Ausimont S.R.L. Fluoroelastomers endowed with improved processability and process for preparing them
US5770098A (en) * 1993-03-19 1998-06-23 Tokyo Electron Kabushiki Kaisha Etching process
US6613691B1 (en) * 1998-03-27 2003-09-02 Applied Materials, Inc. Highly selective oxide etch process using hexafluorobutadiene
US6074888A (en) * 1998-08-18 2000-06-13 Trw Inc. Method for fabricating semiconductor micro epi-optical components
US6743874B2 (en) * 1999-05-28 2004-06-01 Bayer Aktiengesellschaft Rapidly crosslinking fluoropolymer
US6635229B1 (en) * 1999-09-21 2003-10-21 Texas Instruments Incorporated Method for low perfluorocarbon compound emission
US20040035825A1 (en) * 2000-11-08 2004-02-26 Shingo Nakamura Dry etching gas and method for dry etching
US20020106460A1 (en) * 2001-02-07 2002-08-08 Lee Gil Sik Low dielectric constant fluorocarbonated silicon films for integrated circuits and method of preparation
US7033954B2 (en) * 2001-06-28 2006-04-25 Micron Technology, Inc. Etching of high aspect ration structures
US20100093962A1 (en) * 2003-03-26 2010-04-15 Daikin Industries, Ltd. Method of forming thin film
US7655742B2 (en) * 2003-03-26 2010-02-02 Daikin Industries, Ltd. Method of forming thin film
US20070224829A1 (en) * 2003-07-15 2007-09-27 Air Products And Chemicals, Inc. Use Of Hypofluorites, Fluoroperoxides, And/Or Fluorotrioxides As Oxidizing Agent In Fluorocarbon Etch Plasmas
US20050161060A1 (en) * 2004-01-23 2005-07-28 Johnson Andrew D. Cleaning CVD chambers following deposition of porogen-containing materials
US20050266691A1 (en) * 2004-05-11 2005-12-01 Applied Materials Inc. Carbon-doped-Si oxide etch using H2 additive in fluorocarbon etch chemistry
US7553543B2 (en) * 2005-12-16 2009-06-30 E. I. Du Pont De Nemours And Company Composite structure having a fluoroelastomeric anti-reflective coating with non-fluorinated cross-linking
US20080131793A1 (en) * 2006-03-06 2008-06-05 Samsung Electronics Co., Ltd. Method for forming hard mask patterns having a fine pitch and method for forming a semiconductor device using the same
US20090191715A1 (en) * 2006-03-09 2009-07-30 Toshio Hayashi Method for etching interlayer dielectric film
US20090102025A1 (en) * 2006-04-07 2009-04-23 Toshio Hayashi Semiconductor device and method for manufacturing the same, dry-etching process, method for making electrical connections, and etching apparatus
US20090159560A1 (en) * 2006-08-31 2009-06-25 Micron Technology, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
US7517804B2 (en) * 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
US20100215854A1 (en) * 2007-06-24 2010-08-26 Burrows Brian H Hvpe showerhead design
US20090149008A1 (en) * 2007-10-05 2009-06-11 Applied Materials, Inc. Method for depositing group iii/v compounds
US20090176375A1 (en) * 2008-01-04 2009-07-09 Benson Russell A Method of Etching a High Aspect Ratio Contact
US20100055921A1 (en) * 2008-08-29 2010-03-04 Air Products And Chemicals, Inc. Selective Etching of Silicon Dioxide Compositions
US20100273291A1 (en) * 2009-04-28 2010-10-28 Applied Materials, Inc. Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
US20110079251A1 (en) * 2009-04-28 2011-04-07 Olga Kryliouk Method for in-situ cleaning of deposition systems
US20110056515A1 (en) * 2009-09-10 2011-03-10 Matheson Tri-Gas, Inc. Nf3 chamber clean additive
US20110073136A1 (en) * 2009-09-10 2011-03-31 Matheson Tri-Gas, Inc. Removal of gallium and gallium containing materials

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110056515A1 (en) * 2009-09-10 2011-03-10 Matheson Tri-Gas, Inc. Nf3 chamber clean additive
US8623148B2 (en) 2009-09-10 2014-01-07 Matheson Tri-Gas, Inc. NF3 chamber clean additive
WO2018126206A1 (en) * 2016-12-30 2018-07-05 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Iodine-containing compounds for etching semiconductor structures
US11430663B2 (en) 2016-12-30 2022-08-30 American Air Liquide, Inc. Iodine-containing compounds for etching semiconductor structures
CN110178206A (en) * 2016-12-30 2019-08-27 乔治洛德方法研究和开发液化空气有限公司 For etch semiconductor structure containing iodine compound
US20170178923A1 (en) * 2016-12-30 2017-06-22 American Air Liquide, Inc. Iodine-containing compounds for etching semiconductor structures
KR102626466B1 (en) 2016-12-30 2024-01-17 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Iodine-containing compounds for etching semiconductor structures
US10607850B2 (en) * 2016-12-30 2020-03-31 American Air Liquide, Inc. Iodine-containing compounds for etching semiconductor structures
JP2020515047A (en) * 2016-12-30 2020-05-21 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Iodine-containing compounds for semiconductor structure etching
EP3563406A4 (en) * 2016-12-30 2020-08-26 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Iodine-containing compounds for etching semiconductor structures
KR20230070539A (en) * 2016-12-30 2023-05-23 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Iodine-containing compounds for etching semiconductor structures
JP7227135B2 (en) 2016-12-30 2023-02-21 レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Iodine-containing compounds for semiconductor structure etching
US10276439B2 (en) 2017-06-02 2019-04-30 International Business Machines Corporation Rapid oxide etch for manufacturing through dielectric via structures
US20200051827A1 (en) * 2018-08-09 2020-02-13 Toshiba Memory Corporation Manufacturing method of semiconductor device and etching gas
US11367622B2 (en) * 2018-08-09 2022-06-21 Kioxia Corporation Manufacturing method of semiconductor device and etching gas
US10804113B2 (en) * 2018-08-09 2020-10-13 Toshiba Memory Corporation Manufacturing method of semiconductor device and etching gas
CN110828308A (en) * 2018-08-09 2020-02-21 东芝存储器株式会社 Method for manufacturing semiconductor device and etching gas
US20210407817A1 (en) * 2020-06-26 2021-12-30 American Air Liquide, Inc. Iodine-containing fluorocarbon and hydrofluorocarbon compounds for etching semiconductor structures
US11798811B2 (en) * 2020-06-26 2023-10-24 American Air Liquide, Inc. Iodine-containing fluorocarbon and hydrofluorocarbon compounds for etching semiconductor structures
US20220093400A1 (en) * 2020-09-18 2022-03-24 Changxin Memory Technologies, Inc. Manufacturing method of semiconductor structure
US11915933B2 (en) * 2020-09-18 2024-02-27 Changxin Memory Technologies, Inc. Manufacturing method of semiconductor structure
WO2022146697A1 (en) * 2020-12-28 2022-07-07 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procededs Georges Claude High conductive passivation layers and method of forming the same during high aspect ratio plasna etching
US20220223431A1 (en) * 2020-12-28 2022-07-14 American Air Liquide, Inc. High conductive passivation layers and method of forming the same during high aspect ratio plasma etching
US20230193460A1 (en) * 2021-12-17 2023-06-22 American Air Liquide, Inc. Deposition of iodine-containing carbon films

Also Published As

Publication number Publication date
US20110073136A1 (en) 2011-03-31
TW201125956A (en) 2011-08-01
WO2011031860A1 (en) 2011-03-17
TW201130030A (en) 2011-09-01
WO2011031858A1 (en) 2011-03-17
US8623148B2 (en) 2014-01-07
US20110056515A1 (en) 2011-03-10

Similar Documents

Publication Publication Date Title
US20110059617A1 (en) High aspect ratio silicon oxide etch
JP6527214B2 (en) Method of depositing etch resistant polymer layer and method of manufacturing patterned etch structure
TWI756330B (en) Iodine-containing compounds for etching semiconductor structures
JP6676724B2 (en) Method for depositing an etch-resistant polymer layer or an S-containing passivation layer on a substrate
KR102444697B1 (en) Chemistries for tsv/mems/power device etching
KR101158205B1 (en) Method of etching a high aspect ratio contact
US20210358762A1 (en) Dry Etching Method
CN110571150B (en) Etching method of high-aspect-ratio opening and semiconductor device
KR20210023906A (en) Plasma etching method using gas molecules containing sulfur atoms
US20230307244A1 (en) Method of manufacturing semiconductor device, and etching gas

Legal Events

Date Code Title Description
AS Assignment

Owner name: MATHESON TRI-GAS, INC., NEW JERSEY

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:MITCHELL, GLENN;TORRES, ROBERT JR.;SEYMOUR, ADAM;SIGNING DATES FROM 20101001 TO 20101005;REEL/FRAME:025230/0787

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION