US20110036500A1 - Wide area radio frequency plasma apparatus for processing multiple substrates - Google Patents

Wide area radio frequency plasma apparatus for processing multiple substrates Download PDF

Info

Publication number
US20110036500A1
US20110036500A1 US12/910,096 US91009610A US2011036500A1 US 20110036500 A1 US20110036500 A1 US 20110036500A1 US 91009610 A US91009610 A US 91009610A US 2011036500 A1 US2011036500 A1 US 2011036500A1
Authority
US
United States
Prior art keywords
conductor segment
antenna array
process chamber
generating apparatus
plasma generating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/910,096
Inventor
William F. Divergilio
Aseem K. Srivastava
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Axcelis Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Axcelis Technologies Inc filed Critical Axcelis Technologies Inc
Priority to US12/910,096 priority Critical patent/US20110036500A1/en
Publication of US20110036500A1 publication Critical patent/US20110036500A1/en
Assigned to SILICON VALLEY BANK reassignment SILICON VALLEY BANK FIRST AMENDMENT TO SECURITY AGREEMENT Assignors: AXCELIS TECHNOLOGIES, INC.
Assigned to LAM RESEARCH CORPORATION reassignment LAM RESEARCH CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: AXCELIS TECHNOLOGIES, INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q1/00Details of, or arrangements associated with, antennas
    • H01Q1/36Structural form of radiating elements, e.g. cone, spiral, umbrella; Particular materials used therewith
    • H01Q1/364Structural form of radiating elements, e.g. cone, spiral, umbrella; Particular materials used therewith using a particular conducting material, e.g. superconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q21/00Antenna arrays or systems
    • H01Q21/06Arrays of individually energised antenna units similarly polarised and spaced apart
    • H01Q21/061Two dimensional planar arrays
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Definitions

  • the present disclosure relates to a semiconductor apparatus, and more particularly, to a wide area radio frequency plasma source suitable for simultaneously ashing or etching one or multiple semiconductor substrates.
  • RF radio frequency
  • induction RF plasma reactors induction by RF occurs by generating a plasma discharge with a metal exciter i.e., electrode (typically in the form of a coil).
  • a metal exciter typically in the form of a coil.
  • the wafer holder serves as an opposing electrode, while at the same time acts as a heating/cooling platen in order to maintain certain substrate temperature.
  • the metal exciter is physically removed from the plasma discharge by a dielectric window of some sort, through which the high-frequency energy can be coupled.
  • the term “wafer” shall mean any material substrate, including but not limited to silicon wafers, glass panels, dielectrics, metal films or other semiconductor material.
  • RF power at 13.56 MHz is predominantly used in plasma reactors because this frequency is an ISM (industry, Scientific, Medical) standard frequency for which government mandated radiation limits are less stringent than at non-ISM frequencies, particularly those within the communication bands.
  • ISM instry, Scientific, Medical
  • the substantially universal use of 13.56 MHz is further encouraged by the large amount of equipment available at that frequency because of this ISM standard.
  • Other ISM standard frequencies are at 27.12 and 40.68 MHz, which are the second and third order harmonics of the 13.56 MHz ISM standard frequency.
  • a single quartz piece (dielectric) is projected to be as great as 8 cm thick and weigh greater than 90 kilograms (kg), making it very expensive.
  • plasma uniformity is generally an issue if one simply increases the area processed in the RF reactor.
  • an antenna array for a radio frequency plasma process chamber comprises at least one conductor segment comprising first and second linear portions and an arcuate portion coupling the first linear portion to the second linear portion.
  • a plasma generating apparatus for processing a substrate comprises a gas source; a process chamber adapted to process the substrate disposed therein, the process chamber having a top wall, a bottom wall and sidewalls extending therebetween, the top wall including one or more openings in fluid communication with the gas source; an antenna array intermediate the one or more openings and the substrate; the antenna array comprising at least one conductor segment comprising first and second linear portions and an arcuate portion coupling the first linear portion to the second linear portion; and a power source in electrical communication with the antenna array.
  • a plasma generating apparatus for processing a substrate comprises a gas source; a process chamber adapted to process the substrate disposed therein, the process chamber having a top wall, a bottom wall and sidewalls extending therebetween, the top wall including one or more openings in fluid communication with the gas source; an antenna array intermediate the one or more openings and the substrate, the antenna array comprising at least one conductor segment in sliding engagement with the sidewalls; and a power source in electrical communication with the conductor segments.
  • FIG. 1 shows a partial perspective view illustrating a wide area RF plasma reactor apparatus capable of processing up to four wafers simultaneously;
  • FIG. 2 illustrates a partial perspective exploded view illustrating the area RF plasma reactor apparatus of FIG. 1 ;
  • FIG. 3 is a cross-sectional view of an exemplary conductor segment
  • FIG. 4 shows a perspective view of the antenna system, which generates plasma in the process chamber
  • FIG. 5 illustrates a sectional view of a cooling tube connection for connecting the conductor segments
  • FIG. 6 illustrates a top-down view of a RF plasma reactor apparatus.
  • FIGS. 1 and 2 generally illustrate a wide area RF plasma reactor apparatus 10 suitable for use in ashing or etching applications where multiple wafers can be processed simultaneously.
  • a wide area plasma source can be created without the need for a large and expensive dielectric window or bell jar, such as noted in the background section.
  • plasma is prevented from contacting the exciter elements.
  • the vacuum connection for the wide surface area needed to process four wafers at a time can be made using a metal lid less than 2 centimeters (cm) thick.
  • the excitation elements can be subdivided into multiple straight tubes depending on the desired plasma area for a given application.
  • a plasma generating apparatus designed in this manner provides lightweight, low-cost flexibility over the standard plasma generating apparatus.
  • the wide area RF plasma reactor apparatus 10 generally comprises a process chamber 12 , a power source 14 , and an exhaust assembly component 16 .
  • the apparatus 10 in FIG. 1 has a square shape, other suitable shapes will be apparent to those skilled in the art in view of this disclosure and the desired plasma surface area.
  • the terms “a”, “an”, and “the” do not denote a limitation of quantity, but rather denote the presence of at least one of the referenced item.
  • the process chamber 12 generally has a top wall 18 , a bottom portion 20 , and sidewalls 22 extending therebetween.
  • the top wall further comprises at least one gas input flanges (openings) 24 , and in the present example there are four flanges.
  • the process chamber 12 further comprises a wafer pedestal 26 within an interior of the process chamber.
  • the wafer pedestals 26 function as a temperature control mechanism for the wafer, whereby a heated platen provides heat to the wafer, or a cooled platen removes heat from the wafer.
  • the number of wafer pedestals 26 generally corresponds to the number of gas flanges.
  • the diameter of the gas flanges is about the same as or greater than the diameter of a wafer pedestal 26 .
  • each gas input flange 24 is positioned such that when the process chamber 12 is sealed, each flange 24 is coaxial to the corresponding wafer pedestal 26 . It should be clear to those practiced in the art that the number of gas input flanges need not be coaxial to the pedestal, and need not be equal to the number of pedestals in the chamber.
  • a gas source (not shown) may be disposed in fluid communication with gas input flange 24 .
  • Suitable gases for generating plasma are well known to those skilled in the art of both etching and ashing, which include, but are not intended to be limited to, oxygen or oxygen containing gases, fluorine containing gases, hydrogen or hydrogen containing gases, helium, argon, neon, other inert gases, hydrocarbons, and combinations comprising one or more of the foregoing gases.
  • the wafer substrate pedestal 26 can be any suitable support generally known in the art such as, heated wafer chucks, lift pins, and the like.
  • the process chamber 12 further includes an antenna system comprising a planar array 28 of single antenna conductors 30 coupled together and in electrical communication with the power source 14 and with discrete electric components. Each conductor 30 is substantially parallel to an adjacent conductor.
  • the antenna array 28 in the present example extends from one sidewall to an opposing sidewall to form a grating and is positioned intermediate the gas flanges 24 and the underlying wafer pedestal 26 . As will be discussed in greater detail below, the antenna array 28 provides excitation energy for plasma generation of gases flowing through the gas flanges 24 within the process chamber 12 .
  • Additional openings 19 may also be disposed in the process chamber 12 for purposes generally known in the art such as, for example, a mass spectrometer inlet for analyzing gaseous species evolved during processing, endpoint detection, and the like.
  • the process chamber 12 may further include additional features depending on the application. For example, in ashing applications, a quartz window may be installed and a UV light source may be placed in proximity to the wafer. Such a non-columnar light source may have a wavelength similar to UV excited lasers, which have been shown to enhance photoresist removal in bulk strip applications, and as such, could be used in parallel with the plasma generated reactive gases.
  • pre- and post-photoresist strip exposure to the light source could also provide residue removal and implanted resist removal advantages.
  • Overhead RF sources, optical ports, gas analyzers, additional light sources, and the like could also be used either independently, or in combination, with the process chamber 12 providing an extremely flexible process platform.
  • Other openings include one or more slit valves (not shown) disposed in the sidewall 22 for inserting and removing the substrates from the process chamber 12 .
  • the process chamber 12 also includes an exhaust opening (not shown) disposed in the bottom wall 20 so as to provide an axial fluid flow in the chamber 12 .
  • An inlet of the exhaust conduit 32 is fluidly attached to the exhaust opening below each wafer chuck in the process chamber 12 .
  • the exhaust conduit 32 has been simplified to illustrate only those components that are relevant to an understanding of the present disclosure. Those of ordinary skill in the art will recognize that other components such as capacitance manometers, throttle and isolation valves, etc. may be required to produce an operational plasma generating apparatus 10 . However, because such components are well known in the art, and because they do not further aid in the understanding of the present disclosure, a detailed discussion of such components is not provided.
  • operating pressures within the process chamber 12 are preferably about 1 millitorr to about 3 torr, with about 200 millitorr to about 2 torr more preferred, and with about 500 millitorr to about 1.5 ton even more preferred.
  • These operating pressures in the chamber are achieved using adequate process gas flows through the gas source and by using a throttle, or butterfly valve in fluid contact with the exhaust conduit 32 and exhaust opening.
  • the power is in a range of less than about 100 watts up to a few thousand watts, at a frequency of about 0.5 megahertz (MHz) to 30 MHz.
  • the conductor segment 30 comprises a metal electrode 34 in the form of a tube.
  • the metal electrode 34 may be a solid rod.
  • the electrode tube may further be selected to have an outer diameter up to 0.25 inches.
  • Surrounding the electrode 34 is a dielectric material 36 .
  • the dielectric material 36 is in the form of a tube and encases the electrode 34 to define a chamber between an outer surface of the electrode tube 34 and an inner surface of the dielectric tube 36 . Fluid, such as air or low loss dielectric fluid, under positive pressure, can be fed through this chamber between the two tubes for system cooling purposes.
  • a washer 35 is disposed intermediate the electrode 34 and the dielectric tube 36 .
  • the washer 35 may be formed of a ceramic, a fluoropolymer, and the like.
  • the washer 35 has an inner diameter just larger than an outer diameter of the electrode 34 , yet smaller than an inner diameter of the dielectric tube 36 .
  • the washer 35 advantageously keeps the electrode 34 floating to inhibit the cool electrode 34 from contacting the hot dielectric tube 36 and prevent thermal shock.
  • a hermetic seal 40 placed at each end of the dielectric tube 36 seals the dielectric tube 36 to selected walls 22 of the process chamber 12 . Consequently, the process chamber 12 can be maintained at a desired vacuum pressure while the electrode 34 within the dielectric tube 36 remains at atmospheric pressure, even though the antenna array 28 is exposed to the vacuum pressure.
  • the electrode 34 is preferably made of copper, but can be any metal commonly used as suitable electrodes for plasma generation such as aluminum, brass, copper-beryllium, etc.
  • the dielectric tube 36 is preferably made of quartz. However, other dielectric materials such as sapphire or ceramic materials can also be used. Further, the electrode 34 may also be made of a dielectric material, such as those disclosed above, which has an outer surface coated with a conducting metal, such as aluminum, silver, copper, and the like. In another optional embodiment, the electrode 34 can be the dielectric tube 36 with an electrically conducting coating on the inner surface of the dielectric tube. Additionally, cooling fluid, such as water, can be passed through the electrode tube 34 to provide effective cooling of the conductor segments during plasma generation.
  • the antenna array 28 is comprised of 16 separate conductor segments 30 .
  • each conductor segment 30 is spaced 5 cm apart and the antenna array 28 illustrates a possible design for covering up to four standard 300 millimeter (mm) wafers in one chamber.
  • mm millimeter
  • any number “N” of such conductor segments 30 may be employed and such variations are contemplated by the present disclosure, wherein “N” is an integer greater than 1.
  • Similar antenna systems with varying numbers of conductor segments and alternate spacing may be suitable in view of the particular process application and the desired plasma surface area and will be apparent to those skilled in the art.
  • the antenna array 28 is fed with energy by the power source 14 (shown in FIG. 1 ) which travels through each electrode tube 34 of each conductor segment 30 .
  • the power source 14 shown in FIG. 1
  • other means could be employed in an effective manner such as by capacitive excitation or a combination thereof.
  • other frequencies in the ISM band may be used to excite the plasma depending on the desired application. Frequencies outside of the ISM band may also be employed, given adequate shielding of any stray radiation such that radiation emission levels from the tool are within FCC regulations.
  • a variable frequency system such as, but not limited to, the one described in U.S. Pat. No.
  • 6,305,316 may also be used as a power source.
  • the load reactance is part of the tank circuit that determines the frequency of operation, and as the load changes, e.g., during the removal of photoresist from the substrate, the frequency changes to adapt to the load.
  • One advantage of this technique is that by monitoring the frequency change one can very effectively and reliably determine end-of-process on the wafers without the added expense and complexity of optically monitoring the plasma glow for surface reaction products.
  • the electrically continuous inductive antenna array will provide excitation for plasma generation within process chamber 12 .
  • each conductor segment 30 can be connected with the adjacent conductor segment in the array 28 with a cooling tube 42 .
  • the cooling tube 42 is formed of an RF compatible material and allows a cooling fluid to pass through the entire antenna array 28 , providing effective cooling of the system during plasma generation.
  • the cooling tubes 42 are connected to each conductor segment with dielectric fittings 44 .
  • the fittings 44 and cooling tubes 42 are preferably made of Teflon or any other compatible dielectric.
  • FIG. 5 illustrates the cooling tube connection.
  • the path length of the electrical current supplied by power source 14 becomes large as the number of conductor segments 30 in antenna array 28 increases, a large voltage difference across the antenna array 28 may be generated. Higher voltage portions of the antenna array 28 will couple more energy capacitively to the plasma than lower voltage portions, leading to plasma non-uniformities.
  • the conductor segments in the array 28 are serially coupled together through capacitors (not shown), and two of the conductor segments are coupled externally to the power source 14 .
  • the antenna array 28 of the present disclosure operates in the following manner.
  • a time dependent current is generated in the conductor segments 30 via the power source 14 .
  • the time-varying current produces a magnetic field that surrounds the conductive segments in accordance with Faraday's law. Because the current is time-varying, the produced magnetic field is a time-varying field.
  • the time-varying magnetic field induces a time-varying electric field normal thereto; wherein the time-varying electric field extends along a direction of the conductor segments 30 and decays as the field extends away therefrom.
  • This time- varying electric field is referred to as the inductive electric field component since it is induced from the time-varying magnetic field.
  • the time-varying inductive electric field accelerates charged particles such as free electrons in the chamber near the conductor segments 30 .
  • the conductor segments are configured such that the velocity of the accelerated charged particles is sufficient so that the charged particles move through the region associated with a conductor in a time that is short compared to the period (T) of the time-varying current. Consequently, the charged particles see a substantially steady field as it travels along the conductor segment 30 . Therefore the time-varying electric field “heats” the charged particles that then have sufficient energy to ionize the source gas atoms within the process chamber 12 upon collision therewith.
  • the ionizing collisions operate to generate the plasma and such plasma generation is substantially symmetric in accordance with the configuration of the antenna array 28 .
  • the antenna array 28 has a voltage across each conductor segment that spatially varies along a length of the electrode tube 34 . Consequently, the varying charge distribution along a conductor segment 30 produces an electrostatic field that extends from the conductor segments outwardly, and the strength of the field varies spatially along the length of the electrode tube 34 .
  • This electrostatic field component is referred to as the capacitive field component. Because this field is not uniform, the contribution of this field component to plasma generation is non-uniform, and thus it is desirable for this electric field component to be reduced as much as possible.
  • a faraday shield may be used to block or minimize the capacitive field component.
  • the faraday shield is placed between the conductors and the plasma.
  • Such a solution increases circuit losses and is practically difficult to configure.
  • the antenna system 28 of the present disclosure overcomes the disadvantages of the prior art and provides a structure that substantially reduces the capacitive field contribution of the system without use of a faraday shield, as will be further appreciated below.
  • the values of L (inductance associated with the conductors 30 ), C (the capacitors between each antenna segment), and f (the frequency of the signal from the power source 14 ) are selected so that the magnitude of the reactance of the inductive component (2 ⁇ fL) is equal to the magnitude of the reactance of the capacitive component (1 ⁇ 2 ⁇ fC).
  • the antenna array 28 thus operates to generate plasma within the process chamber 12 , wherein the generated plasma is symmetric.
  • the symmetry of the plasma advantageously helps to provide a spatially uniform current at the wafers.
  • an electromechanically controlled mechanism can be used to selectively turn off and/or turn on sections of the antenna array 28 to allow plasma processing in only certain portions of the process chamber.
  • FIG. 6 shows another exemplary embodiment of a RF plasma reactor apparatus 60 .
  • the plasma reactor 60 generally comprises a process chamber 62 .
  • the process chamber 62 has a gas input flange 64 , but may optionally have more than one gas input flange.
  • the process chamber 62 further comprises a wafer pedestal 66 , but may optionally have more than one pedestal, situated within an interior of the process chamber for receiving and maintaining a wafer thereon.
  • the wafer pedestal(s) 66 can also function as a temperature control mechanism for the wafer, whereby a heated platen provides heat to the wafer, or a cooled platen removes heat from the wafer.
  • the process chamber further includes a planar antenna array 68 composed of a single antenna conductor segments in sliding engagement with the side wall of the process chamber.
  • a planar antenna array 68 composed of a single antenna conductor segments in sliding engagement with the side wall of the process chamber.
  • three discrete conductors are shown in FIG. 6 , it is to be understood that any number of conductor segments can be used depending on various factors, such as process chamber size, number of wafers for simultaneous processing, plasma region, and the like. While the conductor segments are similar to those described above and shown in FIGS. 3-5 , the conductors of FIG. 6 include arcuate portions rather than the linear rods as shown in FIGS. 3-5 . It is to be understood, however, that the conductor segments 30 are not limited to the specific shapes shown.
  • the conductor could have any shape. If the dielectric material is a tube concentrically disposed about the electrode and is used to form the conductor segment, then physical constraints in disposing the electrode within the tube may limit the choice of shapes, such as would be appreciated by those skilled in the art.
  • the plasma reactor apparatus 60 advantageously allows for an individual conductor segment to be slideably adjusted through the sidewall in the process chamber 62 .
  • This planar adjustment can beneficially be done without making contact with the other conductor segments of the planar array 68 .
  • plasma generation regions within the process chamber 62 can be dynamically changed or otherwise selectively modified to affect photoresist removal, etching, and plasma uniformity, as desired.
  • the materials for fabricating the various components include metals, ceramics, glasses, quartz, polymers, composite materials, and combinations comprising at least one of the foregoing materials.
  • suitable metals include, but are not limited to copper, anodized aluminum, and/or stainless steel.
  • Suitable ceramic materials include silicon carbide, or aluminum oxide (e.g., single crystal or polycrystalline).
  • the components and systems of plasma generation disclosed herein may allow for a reduction in production and equipment cost and increased operating life over existing plasma processing systems. More particularly, the wide area plasma generation that is capable due to the conductive element being disposed within the plasma vacuum chamber rather than outside over a cumbersome and expensive dielectric window, makes processing multiple wafer-substrates simultaneously more cost effective than existing processing apparatuses. Additionally, such an antenna system and process hardware is no longer limited by the size of the substrate. Any substrate size—from 100 mm diameter to 450 mm diameter and beyond—can be processed by equivalently scaling the hardware. This scaling is exceedingly difficult to do in conventional “downstream” plasma devices. Additionally, the antenna system disclosed above generates uniform plasma capable of ashing or etching multiple wafers at the same time, thereby increasing throughput and decreasing production cost per wafer, all without sacrificing plasma process quality.

Abstract

An antenna array for a radio frequency plasma process chamber including, an array of electrodes, an array of dielectric tubes concentrically disposed about each electrode tube to define a chamber configured to be at atmospheric pressure between an outer surface of each electrode tube and an inner surface of the corresponding dielectric tube, and a hermetic seal between each dielectric tube and the plasma process chamber configured to allow a vacuum or low pressure environment in the plasma process chamber.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • The present application is a continuation of and claims priority to U.S. patent application Ser. No. 11/635,227 filed on Dec. 6, 2006, incorporated herein by reference in its entirety.
  • BACKGROUND
  • The present disclosure relates to a semiconductor apparatus, and more particularly, to a wide area radio frequency plasma source suitable for simultaneously ashing or etching one or multiple semiconductor substrates.
  • In ashing and etching applications, the use of radio frequency (RF) or microwave power is common. In induction RF plasma reactors, induction by RF occurs by generating a plasma discharge with a metal exciter i.e., electrode (typically in the form of a coil). Typically, in a capacitive discharge the wafer holder serves as an opposing electrode, while at the same time acts as a heating/cooling platen in order to maintain certain substrate temperature. The metal exciter is physically removed from the plasma discharge by a dielectric window of some sort, through which the high-frequency energy can be coupled. Having the metal exciter separated from the plasma discharge by a dielectric is necessary to prevent the plasma from striking the metal exciter and causing sputtering of metal from the exciter, which can deposit on the wafers leading to defects on the wafers, and substantially shorten exciter lifetime. As used herein, the term “wafer” shall mean any material substrate, including but not limited to silicon wafers, glass panels, dielectrics, metal films or other semiconductor material.
  • RF power at 13.56 MHz is predominantly used in plasma reactors because this frequency is an ISM (industry, Scientific, Medical) standard frequency for which government mandated radiation limits are less stringent than at non-ISM frequencies, particularly those within the communication bands. The substantially universal use of 13.56 MHz is further encouraged by the large amount of equipment available at that frequency because of this ISM standard. Other ISM standard frequencies are at 27.12 and 40.68 MHz, which are the second and third order harmonics of the 13.56 MHz ISM standard frequency.
  • In the semiconductor industry, throughput is often a very important issue. With large volumes and low profit margins in the more competitive areas, incremental improvements in throughput can provide the necessary edge to compete successfully. In order to reduce manufacturing costs and increase throughput, it is advantageous to process more than one wafer simultaneously. Not only does this reduce the cost of ownership for the process tool, but also, the cost of generating the plasma can be amortized over multiple wafers thereby reducing the production cost per wafer. The difficulty in simultaneously processing multiple wafers in RF reactors is that significant mechanical problems arise. For example, when multiple wafers are processed in the same vacuum chamber and using one RF exciter, the excitation region is about 70 cm in diameter, which would require a very large, thick and heavy dielectric to make the vacuum. For such a three-wafer vacuum chamber, a single quartz piece (dielectric) is projected to be as great as 8 cm thick and weigh greater than 90 kilograms (kg), making it very expensive. Moreover, plasma uniformity is generally an issue if one simply increases the area processed in the RF reactor.
  • Accordingly, there remains a need for improved apparatuses for processing multiple wafers simultaneously.
  • BRIEF SUMMARY
  • Disclosed herein are plasma generating components, apparatuses, and methods for generating a plasma. In one embodiment, an antenna array for a radio frequency plasma process chamber comprises at least one conductor segment comprising first and second linear portions and an arcuate portion coupling the first linear portion to the second linear portion.
  • In this embodiment, a plasma generating apparatus for processing a substrate comprises a gas source; a process chamber adapted to process the substrate disposed therein, the process chamber having a top wall, a bottom wall and sidewalls extending therebetween, the top wall including one or more openings in fluid communication with the gas source; an antenna array intermediate the one or more openings and the substrate; the antenna array comprising at least one conductor segment comprising first and second linear portions and an arcuate portion coupling the first linear portion to the second linear portion; and a power source in electrical communication with the antenna array.
  • In another embodiment, a plasma generating apparatus for processing a substrate comprises a gas source; a process chamber adapted to process the substrate disposed therein, the process chamber having a top wall, a bottom wall and sidewalls extending therebetween, the top wall including one or more openings in fluid communication with the gas source; an antenna array intermediate the one or more openings and the substrate, the antenna array comprising at least one conductor segment in sliding engagement with the sidewalls; and a power source in electrical communication with the conductor segments.
  • The above described and other features are exemplified by the following figures and detailed description.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Referring now to the figures, which are exemplary embodiments, and wherein like elements are numbered alike:
  • FIG. 1 shows a partial perspective view illustrating a wide area RF plasma reactor apparatus capable of processing up to four wafers simultaneously;
  • FIG. 2 illustrates a partial perspective exploded view illustrating the area RF plasma reactor apparatus of FIG. 1;
  • FIG. 3 is a cross-sectional view of an exemplary conductor segment;
  • FIG. 4 shows a perspective view of the antenna system, which generates plasma in the process chamber;
  • FIG. 5 illustrates a sectional view of a cooling tube connection for connecting the conductor segments; and
  • FIG. 6 illustrates a top-down view of a RF plasma reactor apparatus.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • FIGS. 1 and 2 generally illustrate a wide area RF plasma reactor apparatus 10 suitable for use in ashing or etching applications where multiple wafers can be processed simultaneously. Briefly stated, it has been discovered that by placing high frequency excitation elements inside the vacuum process chamber (i.e., inside the plasma), with each element contained within a dielectric tube, a wide area plasma source can be created without the need for a large and expensive dielectric window or bell jar, such as noted in the background section. As such, plasma is prevented from contacting the exciter elements. In one embodiment, the vacuum connection for the wide surface area needed to process four wafers at a time, as an example, can be made using a metal lid less than 2 centimeters (cm) thick. Moreover, the excitation elements, collectively referred to as the antenna system, can be subdivided into multiple straight tubes depending on the desired plasma area for a given application. A plasma generating apparatus designed in this manner provides lightweight, low-cost flexibility over the standard plasma generating apparatus.
  • Referring now to FIGS. 1 and 2, the wide area RF plasma reactor apparatus 10 generally comprises a process chamber 12, a power source 14, and an exhaust assembly component 16. Although the apparatus 10 in FIG. 1 has a square shape, other suitable shapes will be apparent to those skilled in the art in view of this disclosure and the desired plasma surface area. Also, the terms “a”, “an”, and “the” do not denote a limitation of quantity, but rather denote the presence of at least one of the referenced item.
  • The process chamber 12 generally has a top wall 18, a bottom portion 20, and sidewalls 22 extending therebetween. The top wall further comprises at least one gas input flanges (openings) 24, and in the present example there are four flanges. The process chamber 12 further comprises a wafer pedestal 26 within an interior of the process chamber. The wafer pedestals 26 function as a temperature control mechanism for the wafer, whereby a heated platen provides heat to the wafer, or a cooled platen removes heat from the wafer. In one embodiment, the number of wafer pedestals 26 generally corresponds to the number of gas flanges. Likewise, the diameter of the gas flanges is about the same as or greater than the diameter of a wafer pedestal 26.
  • In the embodiment described above, each gas input flange 24 is positioned such that when the process chamber 12 is sealed, each flange 24 is coaxial to the corresponding wafer pedestal 26. It should be clear to those practiced in the art that the number of gas input flanges need not be coaxial to the pedestal, and need not be equal to the number of pedestals in the chamber. A gas source (not shown) may be disposed in fluid communication with gas input flange 24. Suitable gases for generating plasma are well known to those skilled in the art of both etching and ashing, which include, but are not intended to be limited to, oxygen or oxygen containing gases, fluorine containing gases, hydrogen or hydrogen containing gases, helium, argon, neon, other inert gases, hydrocarbons, and combinations comprising one or more of the foregoing gases. The wafer substrate pedestal 26 can be any suitable support generally known in the art such as, heated wafer chucks, lift pins, and the like.
  • The process chamber 12 further includes an antenna system comprising a planar array 28 of single antenna conductors 30 coupled together and in electrical communication with the power source 14 and with discrete electric components. Each conductor 30 is substantially parallel to an adjacent conductor. The antenna array 28 in the present example extends from one sidewall to an opposing sidewall to form a grating and is positioned intermediate the gas flanges 24 and the underlying wafer pedestal 26. As will be discussed in greater detail below, the antenna array 28 provides excitation energy for plasma generation of gases flowing through the gas flanges 24 within the process chamber 12.
  • Additional openings 19 may also be disposed in the process chamber 12 for purposes generally known in the art such as, for example, a mass spectrometer inlet for analyzing gaseous species evolved during processing, endpoint detection, and the like. Moreover, the process chamber 12 may further include additional features depending on the application. For example, in ashing applications, a quartz window may be installed and a UV light source may be placed in proximity to the wafer. Such a non-columnar light source may have a wavelength similar to UV excited lasers, which have been shown to enhance photoresist removal in bulk strip applications, and as such, could be used in parallel with the plasma generated reactive gases. Moreover, pre- and post-photoresist strip exposure to the light source could also provide residue removal and implanted resist removal advantages. Overhead RF sources, optical ports, gas analyzers, additional light sources, and the like could also be used either independently, or in combination, with the process chamber 12 providing an extremely flexible process platform. Other openings include one or more slit valves (not shown) disposed in the sidewall 22 for inserting and removing the substrates from the process chamber 12.
  • The process chamber 12 also includes an exhaust opening (not shown) disposed in the bottom wall 20 so as to provide an axial fluid flow in the chamber 12. An inlet of the exhaust conduit 32 is fluidly attached to the exhaust opening below each wafer chuck in the process chamber 12. It is to be understood that the exhaust conduit 32 has been simplified to illustrate only those components that are relevant to an understanding of the present disclosure. Those of ordinary skill in the art will recognize that other components such as capacitance manometers, throttle and isolation valves, etc. may be required to produce an operational plasma generating apparatus 10. However, because such components are well known in the art, and because they do not further aid in the understanding of the present disclosure, a detailed discussion of such components is not provided.
  • Depending on the desired process (i.e., etching, ashing), operating pressures within the process chamber 12 are preferably about 1 millitorr to about 3 torr, with about 200 millitorr to about 2 torr more preferred, and with about 500 millitorr to about 1.5 ton even more preferred. These operating pressures in the chamber are achieved using adequate process gas flows through the gas source and by using a throttle, or butterfly valve in fluid contact with the exhaust conduit 32 and exhaust opening. The power is in a range of less than about 100 watts up to a few thousand watts, at a frequency of about 0.5 megahertz (MHz) to 30 MHz.
  • Turning now to FIG. 3, a single conductor segment 30 of the antenna array 28 is illustrated. The conductor segment 30 comprises a metal electrode 34 in the form of a tube. In one embodiment, the metal electrode 34 may be a solid rod. The electrode tube may further be selected to have an outer diameter up to 0.25 inches. Surrounding the electrode 34 is a dielectric material 36. The dielectric material 36 is in the form of a tube and encases the electrode 34 to define a chamber between an outer surface of the electrode tube 34 and an inner surface of the dielectric tube 36. Fluid, such as air or low loss dielectric fluid, under positive pressure, can be fed through this chamber between the two tubes for system cooling purposes.
  • A washer 35 is disposed intermediate the electrode 34 and the dielectric tube 36. The washer 35 may be formed of a ceramic, a fluoropolymer, and the like. The washer 35 has an inner diameter just larger than an outer diameter of the electrode 34, yet smaller than an inner diameter of the dielectric tube 36. The washer 35 advantageously keeps the electrode 34 floating to inhibit the cool electrode 34 from contacting the hot dielectric tube 36 and prevent thermal shock. A hermetic seal 40 placed at each end of the dielectric tube 36 seals the dielectric tube 36 to selected walls 22 of the process chamber 12. Consequently, the process chamber 12 can be maintained at a desired vacuum pressure while the electrode 34 within the dielectric tube 36 remains at atmospheric pressure, even though the antenna array 28 is exposed to the vacuum pressure. The electrode 34 is preferably made of copper, but can be any metal commonly used as suitable electrodes for plasma generation such as aluminum, brass, copper-beryllium, etc. The dielectric tube 36 is preferably made of quartz. However, other dielectric materials such as sapphire or ceramic materials can also be used. Further, the electrode 34 may also be made of a dielectric material, such as those disclosed above, which has an outer surface coated with a conducting metal, such as aluminum, silver, copper, and the like. In another optional embodiment, the electrode 34 can be the dielectric tube 36 with an electrically conducting coating on the inner surface of the dielectric tube. Additionally, cooling fluid, such as water, can be passed through the electrode tube 34 to provide effective cooling of the conductor segments during plasma generation.
  • Referring now to FIG. 4, a perspective view of the antenna array 28 is illustrated. In the present example, the antenna array 28 is comprised of 16 separate conductor segments 30. In this example, each conductor segment 30 is spaced 5 cm apart and the antenna array 28 illustrates a possible design for covering up to four standard 300 millimeter (mm) wafers in one chamber. It should be understood, however, that any number “N” of such conductor segments 30 may be employed and such variations are contemplated by the present disclosure, wherein “N” is an integer greater than 1. Similar antenna systems with varying numbers of conductor segments and alternate spacing may be suitable in view of the particular process application and the desired plasma surface area and will be apparent to those skilled in the art. The antenna array 28 is fed with energy by the power source 14 (shown in FIG. 1) which travels through each electrode tube 34 of each conductor segment 30. Although reference is made to inductively coupling the gas mixture with RF power to form the plasma, other means could be employed in an effective manner such as by capacitive excitation or a combination thereof. Additionally, other frequencies in the ISM band may be used to excite the plasma depending on the desired application. Frequencies outside of the ISM band may also be employed, given adequate shielding of any stray radiation such that radiation emission levels from the tool are within FCC regulations. A variable frequency system, such as, but not limited to, the one described in U.S. Pat. No. 6,305,316, incorporated herein by reference in its entirety, may also be used as a power source. In such a variable frequency system the load reactance is part of the tank circuit that determines the frequency of operation, and as the load changes, e.g., during the removal of photoresist from the substrate, the frequency changes to adapt to the load. One advantage of this technique is that by monitoring the frequency change one can very effectively and reliably determine end-of-process on the wafers without the added expense and complexity of optically monitoring the plasma glow for surface reaction products.
  • The electrically continuous inductive antenna array will provide excitation for plasma generation within process chamber 12.
  • In one embodiment, each conductor segment 30 can be connected with the adjacent conductor segment in the array 28 with a cooling tube 42. The cooling tube 42 is formed of an RF compatible material and allows a cooling fluid to pass through the entire antenna array 28, providing effective cooling of the system during plasma generation. The cooling tubes 42 are connected to each conductor segment with dielectric fittings 44. The fittings 44 and cooling tubes 42 are preferably made of Teflon or any other compatible dielectric. FIG. 5 illustrates the cooling tube connection.
  • Because the path length of the electrical current supplied by power source 14 becomes large as the number of conductor segments 30 in antenna array 28 increases, a large voltage difference across the antenna array 28 may be generated. Higher voltage portions of the antenna array 28 will couple more energy capacitively to the plasma than lower voltage portions, leading to plasma non-uniformities. In order to reduce the voltage difference problem, the conductor segments in the array 28 are serially coupled together through capacitors (not shown), and two of the conductor segments are coupled externally to the power source 14.
  • The antenna array 28 of the present disclosure operates in the following manner. A time dependent current is generated in the conductor segments 30 via the power source 14. The time-varying current produces a magnetic field that surrounds the conductive segments in accordance with Faraday's law. Because the current is time-varying, the produced magnetic field is a time-varying field. In accordance with Maxwell's equations, the time-varying magnetic field induces a time-varying electric field normal thereto; wherein the time-varying electric field extends along a direction of the conductor segments 30 and decays as the field extends away therefrom. This time- varying electric field is referred to as the inductive electric field component since it is induced from the time-varying magnetic field.
  • The time-varying inductive electric field accelerates charged particles such as free electrons in the chamber near the conductor segments 30. Further, the conductor segments are configured such that the velocity of the accelerated charged particles is sufficient so that the charged particles move through the region associated with a conductor in a time that is short compared to the period (T) of the time-varying current. Consequently, the charged particles see a substantially steady field as it travels along the conductor segment 30. Therefore the time-varying electric field “heats” the charged particles that then have sufficient energy to ionize the source gas atoms within the process chamber 12 upon collision therewith. The ionizing collisions operate to generate the plasma and such plasma generation is substantially symmetric in accordance with the configuration of the antenna array 28.
  • The antenna array 28 has a voltage across each conductor segment that spatially varies along a length of the electrode tube 34. Consequently, the varying charge distribution along a conductor segment 30 produces an electrostatic field that extends from the conductor segments outwardly, and the strength of the field varies spatially along the length of the electrode tube 34. This electrostatic field component is referred to as the capacitive field component. Because this field is not uniform, the contribution of this field component to plasma generation is non-uniform, and thus it is desirable for this electric field component to be reduced as much as possible.
  • A faraday shield may be used to block or minimize the capacitive field component. In such a solution, the faraday shield is placed between the conductors and the plasma. Such a solution, however, increases circuit losses and is practically difficult to configure. The antenna system 28 of the present disclosure overcomes the disadvantages of the prior art and provides a structure that substantially reduces the capacitive field contribution of the system without use of a faraday shield, as will be further appreciated below.
  • The antenna array 28 divides what conventionally was a single conductor into “N” conductor segments 30 (e.g., N=16 as illustrated in FIG. 4), wherein each conductor segment 30 is direct current isolated from one another, but in series via capacitors. Such an arrangement reduces the peak capacitive electric field component by a factor of N. Preferably the values of L (inductance associated with the conductors 30), C (the capacitors between each antenna segment), and f (the frequency of the signal from the power source 14) are selected so that the magnitude of the reactance of the inductive component (2πfL) is equal to the magnitude of the reactance of the capacitive component (½πfC). In the above manner, a resonant circuit exists, in which the voltage drop across each inductive element L is equal and opposite to the voltage drop across each capacitive element C. Thus, the maximum voltage drop is reduced by a factor of “N” compared to the case of N inductive elements in series without capacitive elements. Also, although some variation still occurs along a length of a conductor segment 30, it is N times smaller than a conventional arrangement, and as illustrated in FIG. 4, such variation is itself symmetric due to the arrangement of the conductor segments.
  • The antenna array 28 thus operates to generate plasma within the process chamber 12, wherein the generated plasma is symmetric. The symmetry of the plasma advantageously helps to provide a spatially uniform current at the wafers. Optionally, an electromechanically controlled mechanism can be used to selectively turn off and/or turn on sections of the antenna array 28 to allow plasma processing in only certain portions of the process chamber.
  • FIG. 6 shows another exemplary embodiment of a RF plasma reactor apparatus 60. The plasma reactor 60 generally comprises a process chamber 62. The process chamber 62 has a gas input flange 64, but may optionally have more than one gas input flange. Likewise, the process chamber 62 further comprises a wafer pedestal 66, but may optionally have more than one pedestal, situated within an interior of the process chamber for receiving and maintaining a wafer thereon. As previously discussed, the wafer pedestal(s) 66 can also function as a temperature control mechanism for the wafer, whereby a heated platen provides heat to the wafer, or a cooled platen removes heat from the wafer. The process chamber further includes a planar antenna array 68 composed of a single antenna conductor segments in sliding engagement with the side wall of the process chamber. Although three discrete conductors are shown in FIG. 6, it is to be understood that any number of conductor segments can be used depending on various factors, such as process chamber size, number of wafers for simultaneous processing, plasma region, and the like. While the conductor segments are similar to those described above and shown in FIGS. 3-5, the conductors of FIG. 6 include arcuate portions rather than the linear rods as shown in FIGS. 3-5. It is to be understood, however, that the conductor segments 30 are not limited to the specific shapes shown. For example, if the dielectric material is coated on the outer surface of the electrode to form the conductor segment, then the conductor could have any shape. If the dielectric material is a tube concentrically disposed about the electrode and is used to form the conductor segment, then physical constraints in disposing the electrode within the tube may limit the choice of shapes, such as would be appreciated by those skilled in the art.
  • The plasma reactor apparatus 60 advantageously allows for an individual conductor segment to be slideably adjusted through the sidewall in the process chamber 62. This planar adjustment can beneficially be done without making contact with the other conductor segments of the planar array 68. Moreover, it is possible for the adjustment to be done dynamically during processing. By repositioning, adding, or removing individual conductor segments 30 in the plasma reactor apparatus, plasma generation regions within the process chamber 62 can be dynamically changed or otherwise selectively modified to affect photoresist removal, etching, and plasma uniformity, as desired.
  • Unless otherwise specified, the materials for fabricating the various components include metals, ceramics, glasses, quartz, polymers, composite materials, and combinations comprising at least one of the foregoing materials. For example, suitable metals include, but are not limited to copper, anodized aluminum, and/or stainless steel. Suitable ceramic materials include silicon carbide, or aluminum oxide (e.g., single crystal or polycrystalline).
  • Advantageously, as mentioned above, the components and systems of plasma generation disclosed herein may allow for a reduction in production and equipment cost and increased operating life over existing plasma processing systems. More particularly, the wide area plasma generation that is capable due to the conductive element being disposed within the plasma vacuum chamber rather than outside over a cumbersome and expensive dielectric window, makes processing multiple wafer-substrates simultaneously more cost effective than existing processing apparatuses. Additionally, such an antenna system and process hardware is no longer limited by the size of the substrate. Any substrate size—from 100 mm diameter to 450 mm diameter and beyond—can be processed by equivalently scaling the hardware. This scaling is exceedingly difficult to do in conventional “downstream” plasma devices. Additionally, the antenna system disclosed above generates uniform plasma capable of ashing or etching multiple wafers at the same time, thereby increasing throughput and decreasing production cost per wafer, all without sacrificing plasma process quality.
  • While the invention has been described with reference to an exemplary embodiment, it will be understood by those skilled in the art that various changes may be made and equivalents may be substituted for elements thereof without departing from the scope of the invention. In addition, many modifications may be made to adapt a particular situation or material to the teachings of the invention without departing from the essential scope thereof. Therefore, it is intended that the invention not be limited to the particular embodiment disclosed as the best mode contemplated for carrying out this invention, but that the invention will include all embodiments falling within the scope of the appended claims.

Claims (28)

1. An antenna array for a radio frequency plasma process chamber comprising:
at least one conductor segment comprising first and second linear portions and an arcuate portion coupling the first linear portion to the second linear portion.
2. The antenna array of claim 1, wherein the first and second linear portions are in sliding engagement with a sidewall opening of the radio frequency plasma process chamber so as to provide sliding engagement of the at least one conductor segment relative to the radio frequency plasma process chamber.
3. The antenna array of claim 1, wherein the at least one conductor segment comprises a dielectric tube concentrically disposed and spaced about a metal electrode.
4. The antenna array of claim 3, wherein the space between the dielectric tube concentrically disposed and spaced about the metal electrode is filled with a fluid.
5. The antenna array of claim 3, wherein the dielectric tube is a non-electrically conducting coating applied to the outer surface of the metal electrode.
6. The antenna array of claim 3, wherein the metal electrode is an electrically conducting coating applied to the inner surface of the dielectric tube.
7. The antenna array of claim 1, wherein the at least one conductor segment is hermetically sealed relative to the radio frequency plasma process chamber to allow a vacuum or low pressure environment in the radio frequency plasma process chamber
8. A plasma generating apparatus for processing at least one substrate, the apparatus comprising:
a gas source;
a process chamber adapted to process the at least one substrate disposed therein, the process chamber having a top wall, a bottom wall and sidewalls extending therebetween, the top wall including one or more openings in fluid communication with the gas source;
an antenna array intermediate the one or more openings and the at least one substrate; the antenna array comprising at least one conductor segment comprising first and second linear portions and an arcuate portion coupling the first linear portion to the second linear portion; and
a power source in electrical communication with the antenna array.
9. The plasma generating apparatus of claim 8, wherein the at least one conductor segment comprises an electrode and a dielectric material concentrically disposed and spaced apart from the electrode, wherein the dielectric material is hermetically sealed at each end against the sidewalls of the process chamber
10. The plasma generating apparatus of claim 8, wherein the at least one conductor segment comprising the first and second linear portions and the arcuate portion is serially connected to each additional conductor segment.
11. The plasma generating apparatus of claim 8, wherein the first and second linear portions of the at least one conductor segment are slideably disposed in the sidewall.
12. The plasma generating apparatus of claim 9, wherein the electrode is a tube.
13. The plasma generating apparatus of claim 8, wherein the at least one conductor segment is fluidly and serially coupled to a cooling tube.
14. The plasma generating apparatus of claim 8, further comprising a capacitor at each end of the conductor segment.
15. The plasma generating apparatus of claim 8, wherein the power source is a radio frequency power supply.
16. The plasma generating apparatus of claim 8, wherein the antenna array is substantially planar and is oriented parallel to the substrate.
17. The plasma generating apparatus of claim 9, wherein the electrode is formed of a copper metal and the dielectric tube is formed of a quartz metal.
18. The plasma generating apparatus of claim 7, wherein the at least one conductor segment is direct current isolated from each additional conductor segment and disposed in series via capacitors such that the at least one conductor segment and each additional conductor segment provide a resonant circuit, with a resonant frequency substantially the same as an operating frequency.
19. The plasma generating apparatus of claim 8, further comprising an electromechanically controlled mechanism configured to selectively turn off and turn on sections of the antenna array.
20. The plasma generating apparatus claim 8, wherein the at least one conductor segment is hermetically sealed relative to the plasma process chamber to allow a vacuum or low pressure environment in the process chamber.
21. A plasma generating apparatus for processing a substrate, the apparatus comprising:
a gas source;
a process chamber adapted to process the substrate disposed therein, the process chamber having a top wall, a bottom wall and sidewalls extending therebetween, the top wall including one or more openings in fluid communication with the gas source;
an antenna array intermediate the one or more openings and the substrate, the antenna array comprising at least one conductor segment in sliding engagement with the sidewalls; and
a power source in electrical communication with the conductor segments.
22. The plasma generating apparatus of claim 21, wherein the at least one conductor segment comprises first and second linear portions and an arcuate portion coupling the first linear portion to the second linear portion.
23. The plasma generating apparatus of claim 21, wherein the at least one conductor segment comprises a dielectric tube concentrically disposed and spaced about a metal electrode.
24. The plasma generating apparatus of claim 23, wherein a space between the dielectric tube concentrically disposed and spaced about the metal electrode is filled with a fluid.
25. The antenna array of claim 23, wherein the dielectric tube is a non-electrically conducting coating applied to the outer surface of the metal electrode.
26. The antenna array of claim 23, wherein the metal electrode is an electrically conducting coating applied to the inner surface of the dielectric tube.
27. The plasma generating apparatus of claim 21, wherein the at least one conductor segment is direct current isolated from each additional conductor segment and disposed in series via capacitors such that the at least one conductor segment and each additional conductor segment provide a resonant circuit, with a resonant frequency substantially the same as an operating frequency.
28. The plasma generating apparatus of claim 21, wherein the at least one conductor segment is hermetically sealed relative to the plasma process chamber to allow a vacuum or low pressure environment in the process chamber.
US12/910,096 2006-12-06 2010-10-22 Wide area radio frequency plasma apparatus for processing multiple substrates Abandoned US20110036500A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/910,096 US20110036500A1 (en) 2006-12-06 2010-10-22 Wide area radio frequency plasma apparatus for processing multiple substrates

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/635,227 US7845310B2 (en) 2006-12-06 2006-12-06 Wide area radio frequency plasma apparatus for processing multiple substrates
US12/910,096 US20110036500A1 (en) 2006-12-06 2010-10-22 Wide area radio frequency plasma apparatus for processing multiple substrates

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/635,227 Continuation US7845310B2 (en) 2006-12-06 2006-12-06 Wide area radio frequency plasma apparatus for processing multiple substrates

Publications (1)

Publication Number Publication Date
US20110036500A1 true US20110036500A1 (en) 2011-02-17

Family

ID=39253924

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/635,227 Expired - Fee Related US7845310B2 (en) 2006-12-06 2006-12-06 Wide area radio frequency plasma apparatus for processing multiple substrates
US12/910,096 Abandoned US20110036500A1 (en) 2006-12-06 2010-10-22 Wide area radio frequency plasma apparatus for processing multiple substrates

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/635,227 Expired - Fee Related US7845310B2 (en) 2006-12-06 2006-12-06 Wide area radio frequency plasma apparatus for processing multiple substrates

Country Status (4)

Country Link
US (2) US7845310B2 (en)
JP (2) JP2010512024A (en)
TW (1) TWI465157B (en)
WO (1) WO2008070002A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110122033A1 (en) * 2009-11-20 2011-05-26 Rohn Sauer Cooling system for panel array antenna
JP7359839B2 (en) 2018-07-26 2023-10-11 ラム リサーチ コーポレーション Compact high-density plasma source

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7845310B2 (en) * 2006-12-06 2010-12-07 Axcelis Technologies, Inc. Wide area radio frequency plasma apparatus for processing multiple substrates
KR101594636B1 (en) 2008-03-05 2016-02-16 가부시키가이샤 이엠디 High frequency antenna unit and plasma processing apparatus
US8917022B2 (en) * 2008-05-22 2014-12-23 Emd Corporation Plasma generation device and plasma processing device
BRPI0924314B1 (en) * 2009-02-10 2020-02-18 HELYSSEN Sàrl APPLIANCE FOR THE PROCESSING OF LARGE AREA PLASMA
SG175243A1 (en) 2009-04-08 2011-11-28 Accelbeam Devices Llc Microwave processing chamber
US20110136346A1 (en) * 2009-12-04 2011-06-09 Axcelis Technologies, Inc. Substantially Non-Oxidizing Plasma Treatment Devices and Processes
KR101205242B1 (en) * 2010-04-30 2012-11-27 주식회사 테라세미콘 Plasma processing apparatus
WO2012032596A1 (en) * 2010-09-06 2012-03-15 株式会社イー・エム・ディー Plasma processing apparatus
WO2012148370A1 (en) 2011-04-27 2012-11-01 Axcelis Technologies, Inc. Substantially non-oxidizing plasma treatment devices and processes
KR101241049B1 (en) 2011-08-01 2013-03-15 주식회사 플라즈마트 Plasma generation apparatus and plasma generation method
KR101246191B1 (en) * 2011-10-13 2013-03-21 주식회사 윈텔 Plasma generation apparatus and substrate processing apparatus
CN104080947B (en) * 2012-01-27 2016-08-24 应用材料公司 Sectional antenna assembly
US9067273B1 (en) * 2012-05-17 2015-06-30 Clemson University High density atmospheric plasma jet devices by jet-to-jet interaction
WO2014155877A1 (en) 2013-03-26 2014-10-02 ソニー株式会社 Image processing device, image processing method, and program
US9613777B2 (en) 2014-09-11 2017-04-04 Varian Semiconductor Equipment Associates, Inc. Uniformity control using adjustable internal antennas
JP6692902B2 (en) * 2016-05-30 2020-05-13 株式会社Jcu Plasma processing apparatus and method
KR102235221B1 (en) * 2017-02-16 2021-04-02 닛신덴키 가부시키 가이샤 Plasma generating antenna, plasma processing apparatus and antenna structure including the same
JP6988411B2 (en) * 2017-12-01 2022-01-05 日新電機株式会社 Plasma processing equipment

Citations (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5368710A (en) * 1992-05-14 1994-11-29 Lam Research Corporation Method of treating an article with a plasma apparatus in which a uniform electric field is induced by a dielectric window
US5434353A (en) * 1992-12-11 1995-07-18 Max-Planck-Gesellschaft Zur Foerderung Der Wissenschaften E.V. Berlin Self-supporting insulated conductor arrangement suitable for arrangement in a vacuum container
US5554223A (en) * 1993-03-06 1996-09-10 Tokyo Electron Limited Plasma processing apparatus with a rotating electromagnetic field
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US6136165A (en) * 1997-11-26 2000-10-24 Cvc Products, Inc. Apparatus for inductively-coupled-plasma-enhanced ionized physical-vapor deposition
US6155203A (en) * 1997-03-31 2000-12-05 Lam Research Corporation Apparatus for control of deposit build-up on an inner surface of a plasma processing chamber
US6181069B1 (en) * 1998-02-17 2001-01-30 Kabushiki Kaisha Toshiba High frequency discharging method and apparatus, and high frequency processing apparatus
US20020020499A1 (en) * 1991-06-27 2002-02-21 Applied Materials, Inc. Inductively coupled RF plasma reactor and plasma chamber enclosure structure therefor
US20030111962A1 (en) * 2001-12-18 2003-06-19 Steven Shannon Plasma reactor with spoke antenna having a VHF mode with the spokes in phase
US20030168172A1 (en) * 2002-03-11 2003-09-11 Yuri Glukhoy Plasma treatment apparatus with improved uniformity of treatment and method for improving uniformity of plasma treatment
US20030183169A1 (en) * 1999-09-09 2003-10-02 Ishikawajima-Harima Heavy Industries Co., Ltd. Internal electrode type plasma processing apparatus and plasma processing method
US20040020432A1 (en) * 2000-05-17 2004-02-05 Tomoko Takagi Plasma cvd apparatus and method
US20040053479A1 (en) * 2001-01-22 2004-03-18 Norikazu Ito Method and device for plasma cvd
US20040060662A1 (en) * 2002-09-26 2004-04-01 Sungkyunkwan University Inductively coupled plasma processing apparatus having internal linear antenna for large area processing
US6811757B2 (en) * 2001-04-04 2004-11-02 Ecozone Technologies Ltd. Dielectric barrier discharge fluid purification system
US20050098117A1 (en) * 2003-11-06 2005-05-12 Divergilio William F. Segmented resonant antenna for radio frequency inductively coupled plasmas
US20060049138A1 (en) * 2002-12-16 2006-03-09 Shoji Miyake Plasma generation device, plasma control method, and substrate manufacturing method
US20060289409A1 (en) * 2005-05-23 2006-12-28 Dae-Kyu Choi Plasma source with discharge inducing bridge and plasma processing system using the same
US20070144440A1 (en) * 2005-10-27 2007-06-28 Nissin Electric Co., Ltd. Plasma producing method and apparatus as well as plasma processing apparatus
US20080138992A1 (en) * 2006-12-06 2008-06-12 Divergilio William F Wide area radio frequency plasma apparatus for processing multiple substrates

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6155199A (en) * 1998-03-31 2000-12-05 Lam Research Corporation Parallel-antenna transformer-coupled plasma generation system
JP2003017473A (en) * 2001-06-29 2003-01-17 Toshiba Corp Plasma treatment apparatus, method and manufacturing method of semiconductor device
JP2004055600A (en) * 2002-07-16 2004-02-19 Tokyo Electron Ltd Plasma processing apparatus
JP2005285564A (en) * 2004-03-30 2005-10-13 Mitsui Eng & Shipbuild Co Ltd Plasma treatment device
JP4554380B2 (en) * 2005-01-21 2010-09-29 三井造船株式会社 Plasma generating apparatus and plasma generating method

Patent Citations (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US20020020499A1 (en) * 1991-06-27 2002-02-21 Applied Materials, Inc. Inductively coupled RF plasma reactor and plasma chamber enclosure structure therefor
US5368710A (en) * 1992-05-14 1994-11-29 Lam Research Corporation Method of treating an article with a plasma apparatus in which a uniform electric field is induced by a dielectric window
US5434353A (en) * 1992-12-11 1995-07-18 Max-Planck-Gesellschaft Zur Foerderung Der Wissenschaften E.V. Berlin Self-supporting insulated conductor arrangement suitable for arrangement in a vacuum container
US5554223A (en) * 1993-03-06 1996-09-10 Tokyo Electron Limited Plasma processing apparatus with a rotating electromagnetic field
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US6155203A (en) * 1997-03-31 2000-12-05 Lam Research Corporation Apparatus for control of deposit build-up on an inner surface of a plasma processing chamber
US6136165A (en) * 1997-11-26 2000-10-24 Cvc Products, Inc. Apparatus for inductively-coupled-plasma-enhanced ionized physical-vapor deposition
US6181069B1 (en) * 1998-02-17 2001-01-30 Kabushiki Kaisha Toshiba High frequency discharging method and apparatus, and high frequency processing apparatus
US20030183169A1 (en) * 1999-09-09 2003-10-02 Ishikawajima-Harima Heavy Industries Co., Ltd. Internal electrode type plasma processing apparatus and plasma processing method
US20040020432A1 (en) * 2000-05-17 2004-02-05 Tomoko Takagi Plasma cvd apparatus and method
US20040053479A1 (en) * 2001-01-22 2004-03-18 Norikazu Ito Method and device for plasma cvd
US6811757B2 (en) * 2001-04-04 2004-11-02 Ecozone Technologies Ltd. Dielectric barrier discharge fluid purification system
US20030111962A1 (en) * 2001-12-18 2003-06-19 Steven Shannon Plasma reactor with spoke antenna having a VHF mode with the spokes in phase
US20030168172A1 (en) * 2002-03-11 2003-09-11 Yuri Glukhoy Plasma treatment apparatus with improved uniformity of treatment and method for improving uniformity of plasma treatment
US20040060662A1 (en) * 2002-09-26 2004-04-01 Sungkyunkwan University Inductively coupled plasma processing apparatus having internal linear antenna for large area processing
US20060049138A1 (en) * 2002-12-16 2006-03-09 Shoji Miyake Plasma generation device, plasma control method, and substrate manufacturing method
US20050098117A1 (en) * 2003-11-06 2005-05-12 Divergilio William F. Segmented resonant antenna for radio frequency inductively coupled plasmas
US20060289409A1 (en) * 2005-05-23 2006-12-28 Dae-Kyu Choi Plasma source with discharge inducing bridge and plasma processing system using the same
US20070144440A1 (en) * 2005-10-27 2007-06-28 Nissin Electric Co., Ltd. Plasma producing method and apparatus as well as plasma processing apparatus
US20080138992A1 (en) * 2006-12-06 2008-06-12 Divergilio William F Wide area radio frequency plasma apparatus for processing multiple substrates
US7845310B2 (en) * 2006-12-06 2010-12-07 Axcelis Technologies, Inc. Wide area radio frequency plasma apparatus for processing multiple substrates

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110122033A1 (en) * 2009-11-20 2011-05-26 Rohn Sauer Cooling system for panel array antenna
US8537059B2 (en) * 2009-11-20 2013-09-17 Raytheon Company Cooling system for panel array antenna
JP7359839B2 (en) 2018-07-26 2023-10-11 ラム リサーチ コーポレーション Compact high-density plasma source

Also Published As

Publication number Publication date
US20080138992A1 (en) 2008-06-12
US7845310B2 (en) 2010-12-07
WO2008070002A1 (en) 2008-06-12
TW200850081A (en) 2008-12-16
JP2012238881A (en) 2012-12-06
JP2010512024A (en) 2010-04-15
TWI465157B (en) 2014-12-11

Similar Documents

Publication Publication Date Title
US7845310B2 (en) Wide area radio frequency plasma apparatus for processing multiple substrates
US6172321B1 (en) Method and apparatus for plasma processing apparatus
JP6568050B2 (en) Microwave plasma spectrometer using a dielectric resonator.
JP5231308B2 (en) Plasma processing equipment
US5226967A (en) Plasma apparatus including dielectric window for inducing a uniform electric field in a plasma chamber
KR101833127B1 (en) Microwave plasma source and plasma processing apparatus
JP3907087B2 (en) Plasma processing equipment
JP2013182996A (en) Dry etching apparatus and dry etching method
EP0395798A2 (en) Microwave resonant cavity
US6908530B2 (en) Microwave plasma processing apparatus
WO2003012821A2 (en) Method and apparatus for producing uniform process rates
JPH09106900A (en) Plasma processing method and plasma processing device
JP5419055B1 (en) Plasma processing apparatus and plasma processing method
JPWO2013121467A1 (en) Plasma processing apparatus and plasma processing method
US7482757B2 (en) Inductively coupled high-density plasma source
KR100332257B1 (en) Plasma processing apparatus
US6097157A (en) System for ion energy control during plasma processing
KR100806522B1 (en) Inductively coupled plasma reactor
JP2009231247A (en) Plasma treatment device, and supplying method of high frequency power
KR102207755B1 (en) Plasma treatment device
KR101336796B1 (en) Plasma reactor having multi discharging tube
JP5273759B1 (en) Plasma processing apparatus and plasma processing method
KR102616743B1 (en) Plasma chamber having one body connector having plasma state sensor and adapter having plasma state sensor
JP2001358131A (en) Method and apparatus for plasma processing
KR20080069755A (en) Inductively coupled plasma reactor capable

Legal Events

Date Code Title Description
AS Assignment

Owner name: SILICON VALLEY BANK, MASSACHUSETTS

Free format text: FIRST AMENDMENT TO SECURITY AGREEMENT;ASSIGNOR:AXCELIS TECHNOLOGIES, INC.;REEL/FRAME:026250/0524

Effective date: 20110425

AS Assignment

Owner name: LAM RESEARCH CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:AXCELIS TECHNOLOGIES, INC.;REEL/FRAME:029529/0757

Effective date: 20121203

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION