US20100273291A1 - Decontamination of mocvd chamber using nh3 purge after in-situ cleaning - Google Patents

Decontamination of mocvd chamber using nh3 purge after in-situ cleaning Download PDF

Info

Publication number
US20100273291A1
US20100273291A1 US12/731,030 US73103010A US2010273291A1 US 20100273291 A1 US20100273291 A1 US 20100273291A1 US 73103010 A US73103010 A US 73103010A US 2010273291 A1 US2010273291 A1 US 2010273291A1
Authority
US
United States
Prior art keywords
chamber
gas
processing chamber
substrate
sccm
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/731,030
Inventor
Olga Kryliouk
Jie Su
Kevin Griffin
Sung Won Jun
Sandeep Nijwahan
Xizi Dong
Tze Poon
Lori D. Washington
Jacob Grayson
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US12/731,030 priority Critical patent/US20100273291A1/en
Priority to PCT/US2010/032592 priority patent/WO2010129289A2/en
Priority to CN201080019364.4A priority patent/CN102414786B/en
Priority to TW099113537A priority patent/TWI496935B/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: WASHINGTON, LORI D., NIJWAHAN, SANDEEP, GRAYSON, JACOB, JUN, SUNG WON, KRYLIOUK, OLGA, DONG, XIZI, GRIFFIN, KEVIN, POON, TZE, SU, JIE
Publication of US20100273291A1 publication Critical patent/US20100273291A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/02Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor bodies
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/005Processes
    • H01L33/0062Processes for devices with an active region comprising only III-V compounds
    • H01L33/0066Processes for devices with an active region comprising only III-V compounds with a substrate not being a III-V compound
    • H01L33/007Processes for devices with an active region comprising only III-V compounds with a substrate not being a III-V compound comprising nitride compounds

Definitions

  • Embodiments of the present invention generally relate to methods and apparatus for removing unwanted deposition build-up from one or more interior surfaces of a substrate processing chamber after a substrate is processed in the chamber to form, for example, Group III-V materials by metal-organic chemical vapor deposition (MOCVD) deposition processes and/or hydride vapor phase epitaxial (HVPE) deposition processes.
  • MOCVD metal-organic chemical vapor deposition
  • HVPE hydride vapor phase epitaxial
  • Group III-V films are finding greater importance in the development and fabrication of a variety of semiconductor devices, such as short wavelength Light-emitting diodes (LEDs), laser diodes (LDs), and electronic devices including high power, high frequency, high temperature transistors and integrated circuits.
  • LEDs Light-emitting diodes
  • LDs laser diodes
  • electronic devices including high power, high frequency, high temperature transistors and integrated circuits.
  • short wavelength LEDs e.g., blue/green to ultraviolet
  • GaN gallium nitride
  • MOCVD metal organic chemical vapor deposition
  • This chemical vapor deposition method is generally performed in a reactor having a temperature controlled environment to assure the stability of a first precursor gas which contains at least one element from Group III, such as gallium (Ga).
  • a second precursor gas such as ammonia (NH 3 )
  • NH 3 ammonia
  • the two precursor gases are injected into a processing zone within the reactor where they mix and move towards a heated substrate in the processing zone.
  • a carrier gas may be used to assist in the transport of the precursor gases towards the substrate.
  • the precursors react at the surface of the heated substrate to form a Group III-nitride layer, such as GaN, on the substrate surface.
  • HVPE hydride vapor phase epitaxy
  • a carrier gas is used to carry Group III halide and Group V vapor towards the substrate within the reactor.
  • the mixed Group III halide, such as GaCl 3 , and nitrogen containing precursor, such as ammonia (NH 3 ), carried by the carrier gas is subsequently eptaxially grown into a Group III-V layer (GaN) on the substrate surface.
  • Unwanted deposition on the interior surfaces such as the walls and the showerheads of the processing chambers may occur in both MOCVD and HVPE chambers during MOCVD and HVPE processes. Such unwanted deposition may create particles and flakes within the chamber, resulting in the drift of process conditions and more importantly affecting the process reproducibility and uniformity.
  • the steel parts of the reactor may be cleaned with a sodium-hydroxide or potassium-hydroxide solution, accelerated by heating or addition of peroxide additives, while the quartz and graphite parts are cleaned using a cleaning solution such as nitro-hydrochloric acid (HCl:HNO 3 ) containing solution or hydrofluoric acid containing solution.
  • a cleaning solution such as nitro-hydrochloric acid (HCl:HNO 3 ) containing solution or hydrofluoric acid containing solution.
  • HCl:HNO 3 nitro-hydrochloric acid
  • Embodiments of the present invention generally relate to methods and apparatus for removing unwanted deposition build-up from one more interior surfaces of a substrate processing chamber after a substrate is processed in a chamber to form, for example, Group III-V materials by metal-organic chemical vapor deposition (MOCVD) deposition processes and/or hydride vapor phase epitaxial (HVPE) deposition processes.
  • MOCVD metal-organic chemical vapor deposition
  • HVPE hydride vapor phase epitaxial
  • the method comprises depositing one or more Group III containing layers over a substrate disposed in the processing chamber, transferring the substrate out of the processing chamber, pulsing a halogen cleaning gas into the processing chamber to remove at least a portion of the unwanted deposition build-up from one or more interior surfaces of the processing chamber, and pulsing a purge gas into the processing chamber after pulsing the halogen cleaning gas to remove reaction by-products formed from the reaction of the halogen cleaning gas with the unwanted deposition build-up from the processing chamber, wherein the pulsing a purge gas immediately follows the pulsing a halogen cleaning gas to remove reaction by-products from the interior surfaces of the processing chamber before the reaction by-products condense on the interior surface of the substrate processing chamber.
  • a method for removing unwanted deposition build-up from one or more interior surfaces of a substrate processing chamber comprises positioning a substrate on a susceptor in a processing region of a substrate processing chamber comprising a showerhead for supplying processing gases to the processing region, depositing one or more gallium containing layers over the substrate disposed in the processing region, transferring the substrate out of the substrate processing chamber, pulsing chlorine gas into the substrate processing chamber to remove at least a portion of the unwanted deposition build-up from one or more interior surfaces and the showerhead of the substrate processing chamber, and pulsing a first purge gas into the processing chamber to remove the chlorine gas and reaction by-products formed from the reaction of the chlorine gas with the unwanted deposition build-up from the substrate processing chamber.
  • an integrated processing system for manufacturing compound nitride semiconductor devices comprises one or more substrate processing chambers operable to form one or more Group III compound nitride semiconductor layers on one or more substrates positioned in the substrate processing chamber, a halogen gas source coupled with at least one of the one or more substrate processing chambers operable for pulsing a halogen gas into the substrate processing chamber to remove at least a portion of unwanted deposition build-up deposited when forming one or more Group III compound nitride semiconductor layers on the one or more substrates from one or more interior surfaces of the substrate processing chambers, and a purge gas source coupled with at least one of the one or more substrate processing chamber operable for pulsing purge gas into the one or more substrate processing chamber to remove reaction by-products formed from the reaction of the halogen gas with the unwanted deposition build-up from the substrate processing chamber.
  • FIG. 1A is a gallium-chloride phase diagram
  • FIG. 1B is a schematic illustration of a structure of a GaN-based LED
  • FIG. 1C is a schematic illustration of a GaN based LD structure
  • FIG. 2 is a schematic top view illustrating one embodiment of a processing system for fabricating compound nitride semiconductor devices according to embodiments described herein;
  • FIG. 3 is a schematic cross-sectional view of one embodiment of a metal-organic chemical vapor deposition (MOCVD) chamber for fabricating compound nitride semiconductor devices according to embodiments described herein;
  • MOCVD metal-organic chemical vapor deposition
  • FIG. 4 is a schematic cross-sectional view of one embodiment of a hydride vapor phase epitaxy (HVPE) chamber for fabricating compound nitride semiconductor devices according to embodiments described herein;
  • HVPE hydride vapor phase epitaxy
  • FIG. 5 is a flow diagram of one embodiment of an in-situ cleaning process that may be used for cleaning substrate processing chambers according to embodiments described herein;
  • FIG. 6A is a flow diagram of one embodiment of an in-situ cleaning process that may be used for cleaning substrate processing chambers according to embodiments described herein;
  • FIG. 6B is a flow diagram of one embodiment of an in-situ pulse cleaning process that may be used for cleaning substrate processing chambers according to embodiments described herein;
  • FIG. 7 is a flow diagram of one embodiment of an in-situ cleaning process that may be used for cleaning substrate processing chambers according to embodiments described herein;
  • FIG. 8 is a flow diagram of one embodiment of a cleaning process that may be used for cleaning a substrate processing chamber such as an HVPE chamber according to embodiments described herein;
  • FIG. 9A is a SIMS depth profile of full LED growth after the chamber cleaning process described herein.
  • FIG. 9B is a SIMS depth profile of full LED growth after the chamber cleaning process described herein.
  • Embodiments described herein provide improved methods and apparatus for chamber cleaning which may be performed in-situ to remove unwanted deposition build-up off the interior surfaces of a substrate processing chamber thus reducing particle contamination while maintaining system uptime.
  • the chamber cleaning process is performed by pulsing a halogen containing gas, such as chlorine containing cleaning gas, into the substrate processing chamber to convert the unwanted deposition, such as gallium coating, on the surfaces of the chamber and the chamber components into a gaseous form, such as GaCl 3 , which may then be removed from the chamber.
  • a halogen containing gas such as chlorine containing cleaning gas
  • FIG. 1A is a gallium-chloride (GaCl 3 ) phase diagram.
  • the main reaction product of a chlorine cleaning process is generally gallium chloride (GaCl 3 ).
  • GaCl 3 condenses inside the chamber. Based on pressure-temperature phase diagram for GaCl 3 shown in FIG. 1A , it is possible to predict conditions favorable for keeping GaCl 3 in the vapor phase and preventing any residual deposition or condensation inside the chamber, especially on the showerhead. In certain embodiments, a temperature greater than 100° C. and a pressure lower than 20 Torr is required for keeping a reaction product such as GaCl 3 in the gas phase.
  • FIG. 1B One example of a nitride-based structure that may be formed using any combination of MOCVD and/or HVPE techniques is illustrated in FIG. 1B as a GaN-based LED structure 100 . It is fabricated over a substrate 104 . Substrate size may range from 50 mm-100 mm in diameter or larger. It is to be understood that the substrates may consist of at least one of sapphire, SiC, GaN, silicon, quartz, GaAs, AlN, and glass.
  • An undoped gallium nitride (u-GaN layer) followed by an n-type GaN layer 112 is deposited over a GaN or aluminum nitride (AlN) buffer layer 108 formed over the substrate.
  • An active region of the device is embodied in a multi-quantum-well layer 116 , shown in the drawing to comprise an InGaN layer.
  • a p-n junction is formed with an overlying p-type AlGaN layer 120 , with a p-type GaN layer 124 acting as a contact layer.
  • MOCVD deposition is accomplished by providing flows of suitable precursors to the processing chamber and using thermal processes to achieve deposition.
  • a GaN layer may be deposited using Ga and nitrogen containing precursors, perhaps with a flow of a fluent gas like N 2 , H 2 , and NH 3 .
  • HVPE deposition is used.
  • the GaN layer may be deposited using HVPE techniques by flowing a Group III halide vapor formed by reacting a Group III source, such as a gallium (Ga) metal source, with a halide, such as hydrogen chloride (HCl) gas, forming Group III halide vapor.
  • a Group III source such as a gallium (Ga) metal source
  • a halide such as hydrogen chloride (HCl) gas
  • a nitrogen containing precursor such as ammonia (NH 3 )
  • NH 3 ammonia
  • a carrier gas is used to carry Group III halide and Group V vapor towards the substrate within the reaction zone.
  • the mixed Group III halide, such as GaCl 3 , and nitrogen containing precursor, such as ammonia (NH 3 ) carried by the carrier gas is subsequently eptaxially grown into a Group III-V layer (GaN) on the substrate surface.
  • An InGaN layer may be deposited using Ga, N, and In precursors, perhaps with a flow of a fluent gas.
  • An AlGaN layer may be deposited using Ga, N, and Al precursors, also perhaps with a flow of a fluent gas.
  • the GaN buffer layer 108 has a thickness of about 500 ⁇ , and may have been deposited at a temperature of about 550° C. Subsequent deposition of the u-GaN and n-GaN layer 112 is typically performed at a higher temperature, such as around 1,050° C. in one embodiment.
  • the u-GaN and n-GaN layer 112 is relatively thick, with deposition of a thickness on the order of about 4 ⁇ m requiring about 140 minutes for deposition.
  • the InGaN multi-quantum-well (MQW) layer 116 may have a thickness of about 750 ⁇ , which may be deposited over a period of about 40 minutes at a temperature of about 750° C.
  • the p-AlGaN layer 120 may have a thickness of about 200 ⁇ , which may be deposited in about five minutes at a temperature from about 950° C. to about 1020° C.
  • the thickness of the contact layer 124 that completes the structure may be about 0.4 ⁇ m in one embodiment, and may be deposited at a temperature of about 1,050° C.
  • dopants such as silicon (Si) or magnesium (Mg) may be added to the films.
  • the films may be doped by adding small amounts of dopant gases during the deposition process.
  • dopant gases may include Bis(cyclopentadienyl) magnesium (Cp 2 Mg or (C 5 H 5 ) 2 Mg).
  • FIG. 1C is a schematic illustration of one example of a GaN based LD structure 150 formed on a substrate 105 .
  • the substrate 105 may be similar to the substrate 104 of FIG. 1B .
  • the LD structure 150 is formed on the substrate 105 after a thermal cleaning procedure and a pretreatment process.
  • the thermal cleaning procedure may be performed by exposing the substrate 105 to a cleaning gas mixture comprising ammonia and carrier gas while the substrate 105 is being heated.
  • the pretreatment process comprises exposing the substrate to a pretreatment gas mixture while the substrate is heated an elevated temperature range.
  • the pretreatment gas mixture is an etching agent comprising a halogen gas.
  • the LD structure 150 is a stack of formed on the substrate 105 .
  • the LD structure 150 starts from an n-type GaN contact layer 152 .
  • the LD structure 150 further comprises an n-type cladding layer 154 .
  • the cladding layer 154 may comprise AlGaN.
  • An undoped guide layer 156 is formed over the cladding layer 154 .
  • the guide layer 156 may comprise InGaN.
  • An active layer 158 having a multiquantum well (MQW) structure is formed on the guide layer 156 .
  • An undoped guide layer 160 is formed over the active layer 158 .
  • a p-type electron block layer 162 is formed over the undoped guide layer 160 .
  • a p-type contact GaN layer 164 is formed over the p-type electron block layer 162 .
  • GaN gallium rich depositions cause problems due to the nature of gallium itself which acts as a trap, reacting with the gas phase precursors used for deposition of subsequent single layers of LED, such as, for example, tri-methyl indium (TMI), tri-methyl aluminum (TMA), n-type dopants such as silane (SiH 4 ) and disilane (Si 2 H 6 ), and p-type dopants such as Cp 2 Mg.
  • TMI tri-methyl indium
  • TMA tri-methyl aluminum
  • n-type dopants such as silane (SiH 4 ) and disilane (Si 2 H 6 )
  • p-type dopants such as Cp 2 Mg.
  • FIG. 2A is a schematic top view illustrating one embodiment of a processing system 200 comprising one HVPE chamber 202 and multiple MOCVD chamber 203 a and 203 b for fabricating compound nitride semiconductor devices according to embodiments described herein.
  • the environment within the processing system 200 is maintained as a vacuum environment or at a pressure below atmospheric pressure. In certain embodiments it may be desirable to backfill the processing system 200 with an inert gas such as nitrogen.
  • an inert gas such as nitrogen.
  • the processing system 200 may comprise 3 MOCVD chambers.
  • the processes described herein may be performed in a single MOCVD chamber. It should also be understood that although a cluster tool is shown, the embodiments described herein may be performed using linear track systems.
  • an additional chamber 204 is coupled with the transfer chamber 206 .
  • the additional chamber 204 comprises an additional processing chamber such as an MOCVD chamber or an HVPE chamber.
  • the additional chamber 204 may comprise a metrology chamber.
  • the additional chamber 204 may contain pre-processing or post-processing chambers, such as service chambers that are adapted for degassing, orientation, cool down, pretreatment/preclean, post-anneal and the like.
  • the transfer chamber is six-sided and hexagonal in shape with six positions for process chamber mounting.
  • the transfer chamber 206 may have other shapes and have five, seven, eight, or more sides with a corresponding number of process chamber mounting positions.
  • the HVPE chamber 202 is adapted to perform HVPE processes in which gaseous metal halides are used to epitaxially grow thick layers of compound nitride semiconductor materials on heated substrates.
  • the HVPE chamber 202 comprises a chamber body 214 where a substrate is placed to undergo processing, a chemical delivery module 218 from which gas precursors are delivered to the chamber body 214 , and an electrical module 222 that includes the electrical system for the HVPE chamber of the processing system 200 .
  • Each MOCVD chamber 203 a , 203 b comprises a chamber body 212 a , 212 b forming a processing region where a substrate is placed to undergo processing, a chemical delivery module 216 a , 216 b from which gases such as precursors, purge gases, and cleaning gases are delivered to the chamber body 212 a , 212 b and an electrical module 220 a , 220 b for each MOCVD chamber 203 a , 203 b that includes the electrical system for each MOCVD chamber of the processing system 200 .
  • Each MOCVD chamber 203 a , 203 b is adapted to perform CVD processes in which metalorganic elements react with metal hydride elements to form thin layers of compound nitride semiconductor materials.
  • the processing system 200 comprises a transfer chamber 206 housing a robot assembly 207 , an HVPE chamber 202 , a first MOCVD chamber 203 a , and a second MOCVD chamber 203 b coupled with the transfer chamber 206 , a loadlock chamber 208 coupled with the transfer chamber 206 , a batch loadlock chamber 209 , for storing substrates, coupled with the transfer chamber 206 , and a load station 210 , for loading substrates, coupled with the loadlock chamber 208 .
  • the transfer chamber 206 comprises a robot assembly 207 operable to pick up and transfer substrates between the loadlock chamber 208 , the batch loadlock chamber 209 , the HVPE chamber 202 , the first MOCVD chamber 203 a , and the second MOCVD chamber 203 b.
  • the transfer chamber 206 may remain under vacuum and/or at a pressure below atmosphere during the process.
  • the vacuum level of the transfer chamber 206 may be adjusted to match the vacuum level of corresponding processing chambers. For example, when transferring a substrate from a transfer chamber 206 into the HVPE chamber 202 (or vice versa), the transfer chamber 206 and the HVPE chamber 202 may be maintained at the same vacuum level. Then, when transferring a substrate from the transfer chamber 206 to the load lock chamber 208 or batch load lock chamber 209 (or vice versa), the transfer chamber vacuum level may match the vacuum level of the loadlock chamber 208 or batch load lock chamber 209 even through the vacuum level of the loadlock chamber 208 or batch load lock chamber 209 and the HVPE chamber 202 may be different.
  • the vacuum level of the transfer chamber may be adjusted.
  • the substrate is transferred in an environment having greater than 90% N 2 .
  • the substrate is transferred in a high purity NH 3 environment.
  • the substrate is transferred in an environment having greater than 90% NH 3 .
  • the substrate is transferred in a high purity H 2 environment.
  • the substrate is transferred in an environment having greater than 90% H 2 .
  • the robot assembly transfers a carrier plate 211 under vacuum loaded with substrates into the HVPE chamber 202 to undergo a first deposition process.
  • the carrier plate 211 size may range from 200 mm-750 mm.
  • the carrier plate 211 may be formed from a variety of materials, including SiC or SiC-coated graphite.
  • the robot assembly transfers the carrier plate 211 under vacuum into the first MOCVD chamber 203 a to undergo a second deposition process.
  • the robot assembly transfers the carrier plate 211 under vacuum into the second MOCVD chamber 203 b to undergo a third deposition process.
  • the carrier plate 211 is transferred from either the HVPE chamber 202 or one of the MOCVD chambers 203 a , 203 b back to the loadlock chamber 208 . In one embodiment, the carrier plate 211 is then released toward the load station 210 . In another embodiment, the carrier plate 211 may be stored in either the loadlock chamber 208 or the batch load lock chamber 209 prior to further processing in the HVPE chamber 202 or MOCVD chambers 203 a , 203 b .
  • One exemplary system is described in U.S. patent application Ser. No. 12/023,572, filed Jan. 31, 2008, now published as US 2009-0194026, titled PROCESSING SYSTEM FOR FABRICATING COMPOUND NITRIDE SEMICONDUCTOR DEVICES, which is hereby incorporated by reference in its entirety.
  • a system controller 260 controls activities and operating parameters of the processing system 200 .
  • the system controller 260 includes a computer processor and a computer-readable memory coupled to the processor.
  • the processor executes system control software, such as a computer program stored in memory. Aspects of the processing system and methods of use are further described in U.S. patent application Ser. No. 11/404,516, filed Apr. 14, 2006, now published as US 2007-0240631, titled EPITAXIAL GROWTH OF COMPOUND NITRIDE STRUCTURES, which is hereby incorporated by reference in its entirety.
  • FIG. 3 is a schematic cross-sectional view of an MOCVD chamber 203 (also referred to herein as 203 a and 203 b ) according to embodiments described herein.
  • the MOCVD chamber 203 comprises a chamber body 212 , a chemical delivery module 216 for delivering precursor gases, carrier gases, cleaning gases, and/or purge gases, a remote plasma system 326 with a plasma source, a susceptor or substrate support 314 , and a vacuum system 312 .
  • the chamber 203 includes a chamber body 212 that encloses a processing volume 308 .
  • a showerhead assembly 304 is disposed at one end of the processing volume 308
  • the carrier plate 211 is disposed at the other end of the processing volume 308 .
  • the carrier plate 211 may be disposed on the substrate support 314 .
  • the substrate support 314 has z-lift capability for moving in a vertical direction, as shown by arrow 315 .
  • the z-lift capability may be used to move the substrate support either upward and closer to the showerhead assembly 304 or downward and further away from the showerhead assembly 304 .
  • the substrate support 314 comprises a heating element, for example, a resistive heating element (not shown) for controlling the temperature of the substrate support 314 and consequently controlling the temperature of the carrier plate 211 and substrates 340 positioned on the substrate support 314 .
  • the showerhead assembly 304 has a first processing gas channel 304 A coupled with the chemical delivery module 216 for delivering a first precursor or first process gas mixture to the processing volume 308 , a second processing gas channel 304 B coupled with the chemical delivery module 216 for delivering a second precursor or second process gas mixture to the processing volume 308 and a temperature control channel 304 C coupled with a heat exchanging system 370 for flowing a heat exchanging fluid to the showerhead assembly 304 to help regulate the temperature of the showerhead assembly 304 .
  • Suitable heat exchanging fluids include but are not limited to water, water-based ethylene glycol mixtures, a perfluoropolyether (e.g. Galden® fluid), oil-based thermal transfer fluids, or similar fluids.
  • the first precursor or first process gas mixture may be delivered to the processing volume 308 via gas conduits 346 coupled with the first processing gas channel 304 A in the showerhead assembly 304 and the second precursor or second process gas mixture may be delivered to the processing volume 308 via gas conduits 345 coupled with the second gas processing channel 304 B.
  • the plasma may be delivered to the processing volume 308 via conduit 304 D.
  • the process gas mixtures or precursors may comprise one or more precursor gases or process gases as well as carrier gases and dopant gases which may be mixed with the precursor gases.
  • Exemplary showerheads that may be adapted to practice embodiments described herein are described in U.S. patent application Ser. No. 11/873,132, filed Oct. 16, 2007, now published as US 2009-0098276, entitled MULTI-GAS STRAIGHT CHANNEL SHOWERHEAD, U.S. patent application Ser. No. 11/873,141, filed Oct. 16, 2007, now published as US 2009-0095222, entitled MULTI-GAS SPIRAL CHANNEL SHOWERHEAD, and U.S. patent application Ser. No. 11/873,170, filed Oct. 16, 2007, now published as US 2009-0095221, entitled MULTI-GAS CONCENTRIC INJECTION SHOWERHEAD, all of which are incorporated by reference in their entireties.
  • a lower dome 319 is disposed at one end of a lower volume 310 , and the carrier plate 211 is disposed at the other end of the lower volume 310 .
  • the carrier plate 211 is shown in process position, but may be moved to a lower position where, for example, the substrates 340 may be loaded or unloaded.
  • An exhaust ring 320 may be disposed around the periphery of the carrier plate 211 to help prevent deposition from occurring in the lower volume 310 and also help direct exhaust gases from the chamber 203 to exhaust ports 309 .
  • the lower dome 319 may be made of transparent material, such as high-purity quartz, to allow light to pass through for radiant heating of the substrates 340 .
  • the radiant heating may be provided by a plurality of inner lamps 321 A and outer lamps 321 B disposed below the lower dome 319 and reflectors 366 may be used to help control the chamber 203 exposure to the radiant energy provided by inner and outer lamps 321 A, 321 B. Additional rings of lamps may also be used for finer temperature control of the substrates 340 .
  • a purge gas (e.g., a nitrogen containing gas) may be delivered into the chamber 203 from the showerhead assembly 304 and/or from inlet ports or tubes (not shown) disposed below the carrier plate 211 and near the bottom of the chamber body 212 .
  • the purge gas enters the lower volume 310 of the chamber 203 and flows upwards past the carrier plate 211 and exhaust ring 320 and into multiple exhaust ports 309 which are disposed around an annular exhaust channel 305 .
  • An exhaust conduit 306 connects the annular exhaust channel 305 to a vacuum system 312 which includes a vacuum pump 307 .
  • the chamber 203 pressure may be controlled using a valve system which controls the rate at which the exhaust gases are drawn from the annular exhaust channel.
  • Other aspects of the MOCVD chamber 203 are described in U.S.
  • a cleaning gas (e.g., a halogen gas) may be delivered into the chamber 203 from the showerhead assembly 304 and/or from inlet ports or tubes (not shown) disposed near the processing volume 308 .
  • the cleaning gas enters the processing volume 308 of the chamber 203 to remove deposits from chamber components such as the substrate support 314 and the showerhead assembly 304 and exits the chamber via multiple exhaust ports 309 which are disposed around the annular exhaust channel 305 .
  • the chemical delivery module 216 supplies chemicals to the MOCVD chamber 203 .
  • Reactive gases, carrier gases, purge gases, and cleaning gases are supplied from the chemical delivery system through supply lines and into the chamber 203 .
  • the gases are supplied through supply lines and into a gas mixing box where they are mixed together and delivered to showerhead 304 .
  • the gases are delivered to the showerhead 304 through separate supply lines and mixed within the chamber 203 .
  • supply lines for each of the gases include shut-off valves that can be used to automatically or manually shut-off the flow of the gas into its associated line, and mass flow controllers or other types of controllers that measure the flow of gas or liquid through the supply lines.
  • Supply lines for each of the gases may also include concentration monitors for monitoring precursor concentrations and providing real time feedback, backpressure regulators may be included to control precursor gas concentrations, valve switching control may be used for quick and accurate valve switching capability, moisture sensors in the gas lines measure water levels and can provide feedback to the system software which in turn can provide warnings/alerts to operators.
  • the gas lines may also be heated to prevent precursors and cleaning gases from condensing in the supply lines.
  • some of the sources may be liquid rather than gas.
  • the chemical delivery module includes a liquid injection system or other appropriate mechanism (e.g. a bubbler) to vaporize the liquid. Vapor from the liquids is then usually mixed with a carrier gas as would be understood by a person of skill in the art.
  • Remote plasma system 326 can produce plasma for selected applications, such as chamber cleaning or etching residue from a process substrate.
  • the remote plasma system 326 is a remote microwave plasma system.
  • Plasma species produced in the remote plasma system 326 from precursors supplied via an input line are sent via a conduit for dispersion through the showerhead assembly 304 to the MOCVD chamber 203 .
  • Precursor gases for a cleaning application may include chlorine containing gases, fluorine containing gases, iodine containing gases, bromine containing gases, nitrogen containing gases, and/or other reactive elements.
  • Remote plasma system 326 may also be adapted to deposit CVD layers flowing appropriate deposition precursor gases into remote plasma system 326 during a layer deposition process.
  • the remote plasma system 326 is used to deliver active nitrogen species to the processing volume 308 .
  • the temperature of the walls of the MOCVD chamber 203 and surrounding structures, such as the exhaust passageway, may be further controlled by circulating a heat-exchange liquid through channels (not shown) in the walls of the chamber.
  • the heat-exchange liquid can be used to heat or cool the chamber walls depending on the desired effect. For example, hot liquid may help maintain an even thermal gradient during a thermal deposition process, whereas a cool liquid may be used to remove heat from the system during an in-situ plasma process, or to limit formation of deposition products on the walls of the chamber.
  • the showerhead assembly 304 may also have heat exchanging passages (not shown). Typical heat-exchange fluids water-based ethylene glycol mixtures, oil-based thermal transfer fluids, or similar fluids.
  • heating beneficially reduces or eliminates condensation of undesirable reactant products and improves the elimination of volatile products of the process gases and other contaminants that might contaminate the process if they were to condense on the walls of cool vacuum passages and migrate back into the processing chamber during periods of no gas flow.
  • MOCVD chamber 203 may be modified to accommodate and process substrates in an in-line conveyor processing system, such as processing system 200 , by modifying the chamber to include a conveyor.
  • FIG. 4 is a schematic cross-sectional view of one embodiment of a hydride vapor phase epitaxy (HVPE) apparatus 400 for fabricating compound nitride semiconductor devices according to embodiments described herein.
  • the apparatus includes a chamber 402 enclosed by a lid 404 .
  • Processing gas from a first gas source 410 is delivered to the chamber 402 through a gas distribution showerhead 406 .
  • the first gas source 410 may comprise a nitrogen containing compound.
  • the first gas source 410 may comprise ammonia.
  • an inert gas such as helium or diatomic nitrogen may be introduced as well either through the gas distribution showerhead 406 or through the walls 408 of the chamber 402 .
  • An energy source 412 may be disposed between the gas source 410 and the gas distribution showerhead 406 .
  • the energy source 412 may comprise a heater.
  • the energy source 412 may break up the gas from the gas source 410 , such as ammonia, so that the nitrogen from the nitrogen containing gas is more reactive.
  • precursor material may be delivered from one or more second sources 418 .
  • the one or more second sources 418 may comprise precursors such as gallium and aluminum. It is to be understood that while reference will be made to two precursors, more or less precursors may be delivered as discussed above.
  • the precursor comprises gallium present in the precursor source 418 in liquid form.
  • the precursor comprises aluminum present in the precursor source 418 in solid form.
  • the aluminum precursor may be in solid, powder form.
  • the precursor may be delivered to the chamber 402 by flowing a reactive gas over and/or through the precursor in the precursor source 418 .
  • the reactive gas may comprise a chlorine containing gas such as diatomic chlorine.
  • the chlorine containing gas may react with the precursor source such as gallium or aluminum to form a chloride.
  • the one or more second sources 418 may comprise eutectic materials and their alloys.
  • the HVPE apparatus 400 may be arranged to handle doped sources as well as at least one intrinsic source to control the dopant concentration.
  • the chlorine containing gas may snake through the source boat 434 in the chamber 432 and be heated with the resistive heater 420 .
  • the temperature of the chlorine containing gas may be controlled.
  • the chlorine may react with the precursor faster. In other words, the temperature is a catalyst to the reaction between the chlorine and the precursor.
  • the precursor may be heated by a resistive heater 420 within the second chamber 432 in the source boat 434 .
  • the gallium precursor may be heated to a temperature of between about 750 degrees Celsius to about 850 degrees Celsius.
  • the chloride reaction product may then be delivered to the chamber 402 .
  • the reactive chloride product first enters a tube 422 where it evenly distributes within the tube 422 .
  • the tube 422 is connected to another tube 424 .
  • the chloride reaction product enters the second tube 424 after it has been evenly distributed within the first tube 422 .
  • the chloride reaction product then enters into the chamber 402 where it mixes with the nitrogen containing gas to form a nitride layer on the substrate 416 that is disposed on a susceptor 414 .
  • the susceptor 414 may comprise silicon carbide.
  • the nitride layer may comprise gallium nitride or aluminum nitride for example.
  • the other reaction product, such as nitrogen and chlorine, is exhausted through an exhaust 426 .
  • the chamber 402 may have a thermal gradient that can lead to a buoyancy effect.
  • the nitrogen based gas is introduced through the gas distribution showerhead 406 at a temperature between about 450 degrees Celsius and about 550 degrees Celsius.
  • the chamber walls 408 may have a temperature of about 600 degrees Celsius to about 700 degrees Celsius.
  • the susceptor 414 may have a temperature of about 1,050 to about 1,150 degrees Celsius.
  • the temperature difference within the chamber 402 may permit the gas to rise within the chamber 402 as it is heated and then fall as it cools. The raising and falling of the gas may cause the nitrogen gas and the chloride gas to mix.
  • the buoyancy effect will reduce the amount of gallium nitride or aluminum nitride that deposits on the walls 408 because of the mixing.
  • the heating of the processing chamber 402 is accomplished by heating the susceptor 414 with a lamp module 428 that is disposed below the susceptor 414 .
  • the lamp module 428 is the main source of heat for the processing chamber 402 . While shown and described as a lamp module 428 , it is to be understood that other heating sources may be used.
  • Additional heating of the processing chamber 402 may be accomplished by use of a heater 430 embedded within the walls 408 of the chamber 402 .
  • the heater 430 embedded in the walls 408 may provide little if any heat during the deposition process.
  • a thermocouple may be used to measure the temperature inside the processing chamber.
  • Output from the thermocouple may be fed back to a controller that controls the heating of the heater 430 based upon the reading from the thermocouple. For example, if the chamber is too cool, the heater 430 will be turned on. If the chamber is too hot, the heater 430 will be turned off. Additionally, the amount of heating from the heater 430 may be controlled such that a low amount of heat is provided from the heater 430 .
  • the substrate 416 is normally taken out of the processing chamber 402 .
  • the lamp module 428 is turned off. Without the heat from the lamp module 428 , the chamber 402 may rapidly cool.
  • the gallium nitride or aluminum nitride that may have deposited on the walls 408 may have a different coefficient of thermal expansion than the walls 408 themselves. Thus, the gallium nitride or the aluminum nitride may flake off due to thermal expansion.
  • the heater 430 embedded within the chamber walls 408 may be turned on to control the thermal expansion and maintain the chamber 402 at the desired chamber temperature. The control of the heater 430 may again be based upon real time feedback from the thermocouple.
  • the heater 430 may be turned on or up to maintain the temperature of the chamber 402 at the desired temperature so that gallium nitride or aluminum nitride may not flake off and contaminate the substrate or land on the susceptor 414 and create an uneven susceptor 414 surface.
  • the chlorine will be more effective in cleaning the depositions from the chamber walls 408 .
  • FIG. 5 is a flow diagram of one embodiment of a cleaning process 500 that may be used for cleaning substrate processing chambers.
  • a cleaning process 500 that may be used for cleaning substrate processing chambers.
  • the substrate is transferred out of the substrate processing chamber (block 520 ).
  • the carrier plate 211 is removed from the MOCVD chamber 203 the carrier plate 211 is inserted into the HVPE chamber 400 for cleaning.
  • an in-situ chamber clean is performed with a halogen containing gas (block 530 ) to remove unwanted deposition on the interior surfaces of the substrate processing chamber followed by an optional post in-situ clean chamber treatment (block 540 ).
  • FIG. 6 is a flow diagram of one embodiment of an in-situ cleaning process 600 that may be used for cleaning substrate processing chambers.
  • the in-situ cleaning process 600 depicted in FIG. 6 may be performed as the in-situ chamber clean with halogen gas performed in block 530 of FIG. 5 .
  • a cleaning gas is flowed into a processing chamber.
  • the cleaning gas may any suitable halogen containing gas. Suitable halogen containing gases include fluorine containing gases, chlorine containing gases, bromine containing gases, iodine containing gases, other reactive elements, and combinations thereof.
  • the cleaning gas may comprise at least one of Cl 2 , Br 2 , I 2 , F 2 , and NF 3 .
  • the cleaning gas is chlorine gas (Cl 2 ).
  • the processing chamber is an MOCVD chamber similar to the chamber 203 .
  • the flow rates in the present disclosure are expressed as sccm per interior chamber volume.
  • the interior chamber volume is defined as the volume of the interior of the chamber in which a gas can occupy.
  • the interior chamber volume of chamber 203 is the volume defined by the chamber body 212 minus the volume occupied therein by the showerhead assembly 304 and by the substrate support assembly 314 .
  • the cleaning gas may be flowed into the chamber at a flow rate of about 500 sccm to about 10,000 sccm. In one embodiment, the cleaning gas is flowed into the chamber at a flow rate from about 1,000 sccm to about 4,000 sccm.
  • the cleaning gas is flowed into the chamber at a flow rate of about 2,000 sccm. In one embodiment, the cleaning gas may be flowed into the chamber at a flow rate of about 12.5 sccm/L to about 250 sccm/L. In one embodiment, the cleaning gas is flowed into the chamber at a flow rate from about 25 sccmL to about 100 sccm/L. In one embodiment, the cleaning gas is flowed into the chamber at a flow rate of about 50 sccm/L.
  • the cleaning gas may be co-flowed with a carrier gas.
  • the carrier gas may be one or more gases selected from the group of argon, nitrogen, hydrogen, helium, neon, xenon, and combinations thereof.
  • the carrier gas is flowed into the chamber at a flow rate from about 500 sccm to about 3,000 sccm.
  • the carrier gas is flowed into the chamber at a flow rate of from about 1,000 sccm to about 2,000 sccm.
  • the carrier gas is flowed into the chamber at a flow rate from about 12.5 sccm/L to about 75 sccm/L.
  • the carrier gas is flowed into the chamber at a flow rate of from about 25 sccm/L to about 50 sccm/L.
  • a total pressure of the chamber is from about 5 Torr to about 500 Torr. In one embodiment, the total pressure of the chamber is from about 50 Torr to about 200 Torr. Lower pressure is generally favored to keep GaCl 3 in gaseous phase.
  • a temperature of the susceptor is from about 600° C. to about 700° C. In one embodiment, the temperature of the susceptor is about 650° C. In one embodiment, a temperature of the showerhead is from about 100° C. to about 200° C.
  • the cleaning gas may be flowed into the processing chamber for a time period of about 2 minutes to about 10 minutes. In one embodiment, the cleaning gas may be flowed into the processing chamber for a time period of about 5 minutes. It should be understood that several cycles of cleaning may apply with an optional purge process performed in between cleaning cycles. The time period of cleaning gas flow should be generally long enough to remove gallium containing deposits, such as gallium and GaN deposits, from the surface of the chamber and the surface of the chamber components including the showerhead.
  • a carrier gas may be flown in conjunction with the cleaning gas.
  • the carrier gas may be one or more gases selected from the group of argon, nitrogen (N 2 ), helium, neon, and xenon, among others.
  • the cleaning gas is a plasma containing cleaning gas.
  • the plasma may be in-situ plasma or ex-situ plasma. In embodiments where plasma is used, the temperature during the cleaning process may be much lower.
  • the processing chamber is purged/evacuated to remove cleaning by-products generated during the cleaning process.
  • the purge gas may be one or more purge gases selected from the group of argon, nitrogen, hydrogen, helium, neon, xenon, and combinations thereof may also be included.
  • the purge gas may be identical to the optional carrier gas of block 610 .
  • the processing chamber is purged by providing a purge gas at a flow rate of about 1,000 sccm to about 7,000 sccm.
  • the purge gas is provided to the processing chamber at a flow rate from about 2,000 sccm to about 4,000 sccm.
  • the chamber may be maintained at a total chamber pressure from about 0.5 Torr to about 10 Torr. In one embodiment, the total pressure of the chamber may be about 5 Torr. In one embodiment, a temperature of the susceptor is from about 600° C. to about 1,000° C. In one embodiment, the temperature of the susceptor is about 900° C. In one embodiment, a temperature of the showerhead is less than 100° C. In one embodiment, the purge gas may be flowed into the processing chamber for a time period of about 4 to 5 minutes. The time period of purge gas flow should be generally long enough to remove by-products of the cleaning process of block 610 from the processing chamber.
  • the process chamber may be depressurized in order to remove the residual cleaning gas as well as any by-products from the processing chamber.
  • the depressurization process may result in the chamber pressure being reduced to a pressure in the range of about 0.001 Torr to about 40 Torr within a time period of about 0.5 seconds to about 20 seconds.
  • the purge process of block 620 may be performed by ceasing the flow of the cleaning gas while continuing to flow the carrier gas. Thus allowing the carrier gas to function as the purge gas in the purge process of block 620 .
  • a cleaning gas is optionally flowed into the processing chamber.
  • the cleaning gas may include halogen containing gases as described above.
  • the cleaning gas is chlorine gas (Cl 2 ).
  • the cleaning gas in block 630 is identical to the cleaning gas used in block 610 .
  • the cleaning gases used in block 610 and block 630 are different cleaning gases.
  • the cleaning gas may be flowed into the chamber at a flow rate from about 1,000 sccm to about 10,000 sccm. In one embodiment, the cleaning gas may be flowed into the chamber at a flow rate from about 3,000 sccm to about 5,000 sccm. In one embodiment, the cleaning gas may be flowed into the processing chamber at a flow rate of about 4,000 sccm. In one embodiment, the cleaning gas may be flowed into the chamber at a flow rate from about 25 sccm/L to about 250 sccm/L. In one embodiment, the cleaning gas may be flowed into the chamber at a flow rate from about 75 sccm/L to about 125 sccm/L.
  • the cleaning gas may be flowed into the processing chamber at a flow rate of about 100 sccm/L.
  • a carrier gas may optionally be co-flowed in conjunction with the cleaning gas.
  • the carrier gas may be one or more gases selected from the group of argon, nitrogen, hydrogen, helium, neon, xenon, and combinations thereof.
  • the carrier gas is flowed into the chamber at a flow rate from about 25 sccm/L to about 125 sccm/L.
  • the carrier gas is flowed into the chamber at a flow rate from about 2,000 sccm to about 3,000 sccm.
  • the carrier gas is flowed into the chamber at a flow rate from about 50 sccm/L to about 75 sccm/L.
  • the chamber may be maintained at a total chamber pressure of about 300 Torr to about 700 Torr. In one embodiment, the chamber may be maintained at a total chamber pressure of about 600 Torr.
  • a temperature of the susceptor is about 400° C. to about 600° C. In one embodiment, the temperature of the susceptor is about 420° C.
  • a temperature of the showerhead is greater than 200° C. In one embodiment, the showerhead temperature is greater than 260° C., for example, from about 260° C. to about 400° C.
  • the cleaning gas may be flowed into the processing chamber for a time period of about 2 minutes to about 10 minutes. In one embodiment, the cleaning gas may be flowed into the processing chamber for a time period of about 3 minutes.
  • an optional soak process may be performed.
  • the flow of cleaning gas may be reduced while the susceptor temperature, showerhead temperature, and the chamber pressure may be maintained.
  • the flow rate of the cleaning gas may be reduced relative to the flow rate in block 630 to between about 250 sccm to about 1,000 sccm.
  • the flow rate of the cleaning gas may be reduced to about 500 sccm.
  • the flow rate of the cleaning gas may be reduced relative to the flow rate in block 630 to between about 6.25 sccm/L to about 25 sccm/L.
  • the flow rate of the cleaning gas may be reduced to about 12.5 sccm/L.
  • a total pressure of the chamber is from about 300 Torr to about 700 Torr. In one embodiment, the total pressure of the chamber is about 600 Torr.
  • the susceptor temperature is from about 400° C. to about 600° C. In one embodiment, the susceptor temperature is about 420° C. In one embodiment, a temperature of the showerhead is greater than 180° C. In one embodiment, the showerhead temperature is greater than 260° C., for example, from about 260° C. to about 400° C.
  • the soak process may be performed for a time period of about 1 minute to about 5 minutes. In one embodiment, the soak process may be performed for a time period of about 2 minutes.
  • the processing chamber may be purged/evacuated to remove cleaning by-products generated during the soak and cleaning processes.
  • the purge gas may be one or more purge gases selected from the group of argon, nitrogen, hydrogen, helium, neon, xenon, and combinations thereof.
  • the purge gas may be identical to the optional carrier gas of block 640 .
  • the processing chamber is purged by providing a purge gas at a flow rate of about 1,000 sccm to about 4,000 sccm.
  • the purge gas may be flowed into the processing chamber at a flow rate of about 3,000 sccm.
  • the cleaning gas may be flowed into the chamber at a flow rate from about 2,000 sccm to about 6,000 sccm. In one embodiment, the cleaning gas may be flowed into the chamber at a flow rate of about 4,000 sccm. In one embodiment, the processing chamber is purged by providing a purge gas at a flow rate of about 25 sccm/L to about 100 sccm/L. In one embodiment, the purge gas may be flowed into the processing chamber at a flow rate of about 75 sccm/L.
  • the cleaning gas may be flowed into the chamber at a flow rate from about 50 sccm/L to about 150 sccm/L. In one embodiment, the cleaning gas may be flowed into the chamber at a flow rate of about 100 sccm/L. In one embodiment, the cleaning gas is co-flowed with the purge gas. In one embodiment, the total chamber pressure is from about 0.5 Torr to about 10 Torr. In one embodiment, the total chamber pressure is about 5 Torr. In one embodiment, the purge gas may be flowed into the processing chamber for a time period of about 5 minutes. The time period of purge gas flow should be generally long enough to remove by-products of the cleaning process of block 630 and the soak process of block 640 from the processing chamber.
  • either or both of the purge processes of block 620 and block 650 may be performed with a nitrogen containing gas such as ammonia (NH 3 ) at an elevated temperature (>1,000° C.) to reduce the amount of residual GaCl 3 in the processing chamber after the cleaning process.
  • a chamber bake process may be performed in a nitrogen containing and/or hydrogen containing atmosphere at a high temperature from about 950° C. to about 1,050° C. at a low pressure from about 0.001 Torr to about 5 Torr to ensure that any residual deposition from the chamber clean process leave the chamber completely.
  • FIG. 6B is a flow diagram of one embodiment of an in-situ pulse cleaning process 660 that may be used for cleaning substrate processing chambers.
  • the in-situ pulse cleaning process 660 depicted in FIG. 6 may be performed as the in-situ chamber clean with halogen gas performed in block 530 of FIG. 5 .
  • the in-situ pulse cleaning process 660 shown in FIG. 6B is similar to the cleaning process 600 shown in FIG. 6A except that the initial purge/evacuation of the substrate processing chamber (block 620 ) is followed by a pulse/purge process (blocks 670 and 680 ) to remove unwanted reaction by-products from the substrate processing chamber.
  • the cleaning gas is chlorine
  • the chlorine gas reacts with the solid material of Gallium Nitride (GaN) deposited onto the interior surfaces of the chamber.
  • Gallium tri-chloride (GaCl 3 ) gas is formed as one of the by-products.
  • GaCl 3 is prone to condense on the interior surfaces of the substrate processing chamber.
  • the condensed GaCl 3 serves as a passivation layer on top of any GaN film deposited on the interior surfaces of the chamber, thereby prohibiting the further etching of GaN material, rendering certain in-situ clean processes ineffective.
  • a halogen containing gas such as Cl 2
  • Cl 2 a halogen containing gas
  • the short time span limits the amount of GaCl 3 by-product generation since only a thin layer of GaN is etched and thus formation of the GaCl 3 passivation layer is avoided.
  • a purge process immediately follows to remove the GaCl 3 from the reactor before it condenses onto any surface.
  • the pulse/purge sequence may be repeated as many times as desired or until the GaN film on the reactor surface is completed etched away.
  • a cleaning gas is pulsed into the processing chamber.
  • the cleaning gas may include halogen containing gases as described above.
  • the cleaning gas is chlorine gas (Cl 2 ).
  • the cleaning gas in block 670 is identical to the cleaning gas used in block 610 .
  • the cleaning gases used in block 610 and block 670 are different cleaning gases.
  • the cleaning gas may be pulsed into the chamber at a flow rate from about 500 sccm to about 10,000 sccm. In one embodiment, the cleaning gas may be pulsed into the chamber at a flow rate from about 500 sccm to about 1,500 sccm. In one embodiment, the cleaning gas may be pulsed into the processing chamber at a flow rate of about 700 sccm. In one embodiment, the cleaning gas may be pulsed into the chamber at a flow rate from about 12.5 sccm/L to about 250 sccm/L. In one embodiment, the cleaning gas may be pulsed into the chamber at a flow rate from about 12.5 sccm/L to about 37.5 sccm/L.
  • the cleaning gas may be pulsed into the processing chamber at a flow rate of about 17.5 sccm/L. In one embodiment, the cleaning gas may be pulsed into the processing chamber for a time period of about 5 seconds to about 1 minute. In one embodiment, the cleaning gas may be pulsed into the processing chamber for a time period of about 30 seconds.
  • a carrier gas may optionally be pulsed in conjunction with the cleaning gas.
  • the carrier gas may be one or more gases selected from the group of argon, nitrogen, hydrogen, helium, neon, xenon, and combinations thereof.
  • the carrier gas is pulsed into the chamber at a flow rate from about 0 sccm to about 5,000 sccm.
  • the carrier gas is flowed into the chamber at a flow rate from about 2,000 sccm to about 3,000 sccm.
  • the carrier gas is pulsed into the chamber at a flow rate from about 0 sccm/L to about 125 sccm/L.
  • the carrier gas is flowed into the chamber at a flow rate from about 50 sccm/L to about 75 sccm/L.
  • the chamber may be maintained at a total chamber pressure of about 10 Torr to about 700 Torr. In one embodiment, the chamber may be maintained at a total chamber pressure of between about 0.5 Torr and about 50 Torr.
  • a temperature of the susceptor is greater than 500° C. In one embodiment, a temperature of the susceptor is about 550° C. to about 700° C. In one embodiment, the temperature of the susceptor is about 650° C. In one embodiment, a temperature of the showerhead is greater than 180° C. In one embodiment, the showerhead temperature is greater than 260° C., for example, from about 260° C. to about 400° C.
  • the processing chamber may be purged/evacuated to remove cleaning by-products generated during the pulsed cleaning processes.
  • the purge gas may be one or more purge gases selected from the group of argon, nitrogen, hydrogen, helium, neon, xenon, and combinations thereof.
  • the purge gas may be identical to the optional carrier gas of block 640 .
  • the processing chamber is purged by providing a pulse of purge gas at a flow rate of about 100 sccm to about 4,000 sccm.
  • the purge gas may be pulsed into the processing chamber at a flow rate of about 500 sccm.
  • the processing chamber is purged by providing a pulse of purge gas at a flow rate of about 2.5 sccm/L to about 100 sccm/L.
  • the purge gas may be pulsed into the processing chamber at a flow rate of about 12.5 sccm/L.
  • the total chamber pressure is from about 0.5 Torr to about 50 Torr. In one embodiment, the total chamber pressure is about 10 Torr.
  • the purge/evacuation may be performed for a time period of about 5 seconds to about 1 minute. In one embodiment, the purge/evacuation may be performed for a time period of about 30 seconds. The time period of purge/evacuation flow should be generally long enough to remove by-products of the cleaning process of block 670 from the processing chamber.
  • the processes of blocks 670 and 680 may be repeated. In one embodiment, between 10 and 200 pulse/purge cleaning cycles may be performed. In one embodiment, between 50 and 100 pulse/purge cleaning cycles may be performed. The number of cleaning cycles is generally dependent on the thickness of the material deposited on the chamber components during the deposition process.
  • the pulse/purge sequence may be repeated as many times as desired or until the GaN film on the reactor surface is completely etched away. In certain embodiments, about 0.0001 ⁇ m/cleaning cycle to about 0.005 ⁇ m/cleaning cycle of GaN is removed from the chamber. In one embodiment, 0.003 ⁇ m/cleaning cycle is removed from the chamber.
  • a longer purge process similar to the purge process of block 650 may be performed to remove any remaining reaction by-products from the chamber.
  • FIG. 7 is a flow diagram of one embodiment of an in-situ cleaning process 700 that may be used for cleaning substrate processing chambers.
  • the in-situ cleaning process 700 depicted in FIG. 7 may be performed as the in-situ chamber clean with halogen gas performed in block 530 of FIG. 5 .
  • the processing chamber is purged/evacuated to remove unwanted reaction by-products formed during the deposition process.
  • the processing chamber is an MOCVD chamber similar to the MOCVD chamber 203 .
  • the purge gas may be one or more purge gases selected from the group of argon, nitrogen, hydrogen, helium, neon, xenon, and combinations thereof.
  • the processing chamber is purged by providing a purge gas at a flow rate of about 1,000 sccm to about 30,000 sccm.
  • the purge gas is provided to the processing chamber at a flow rate from about 15,000 sccm to about 20,000 sccm.
  • the processing chamber is purged by providing a purge gas at a flow rate of about 25 sccm/L to about 750 sccm/L. In one embodiment, the purge gas is provided to the processing chamber at a flow rate from about 375 sccm/L to about 500 sccm/L. In one embodiment, the chamber may be maintained at a total chamber pressure from about 0.5 Torr to about 150 Torr. In one embodiment, the total pressure of the chamber may be about 100 Torr. In one embodiment, the total chamber pressure may be varied throughout the purge process. In one embodiment, a power of from about 5 kW to about 20 kW is supplied through the susceptor. In one embodiment, the power supplied through the susceptor is about 10 kW.
  • a temperature of the showerhead is less than 100° C. In one embodiment, the temperature of the showerhead is maintained at about 80° C. In one embodiment, the purge process may last for a time period of between about 30 seconds and about 5 minutes. In one embodiment, the purge process may last for about 90 seconds. The time period of purge gas flow should be generally long enough to remove by-products remaining from the deposition process. It should be understood that several cycles of cleaning may apply with an optional purge process performed in between cleaning cycles. In one embodiment, between two and ten purge cycles may be performed.
  • the processing chamber may be depressurized in order to remove the residual cleaning gas as well as any by-products from the processing chamber.
  • the depressurization process may result in the chamber pressure being reduced to a pressure in the range of about 0.001 Torr to about 40 Torr. In one embodiment, the depressurization may last for a time period of about 0.5 seconds to about 20 seconds.
  • Block 720 it is determined whether multiple cycles of purge/evacuation are needed to remove reaction by-products from the processing chamber. If additional cycles are needed, the purge/evacuation process of block 710 is repeated until a desired level of reaction by-products are removed from the processing chamber.
  • a temperature ramp process is performed after the purge/evacuation process of block 720 and prior to the cleaning gas etch 730 A or the cleaning gas plasma etch at block 730 B.
  • the temperature of the susceptor may be ramped to a temperature greater than 500° C. In one embodiment, the temperature of the susceptor may be ramped to between about 550° C. to about 700° C. In one embodiment, the temperature of the susceptor may be ramped to about 650° C. The increased temperature of the susceptor helps form reactive radicals of the halogen gas. In one embodiment the temperature ramp process may be performed for a time period from about 15 seconds to about 3 minutes. In embodiments where a plasma source is used, the temperature of the susceptor may be lower since active halogen gas is formed during the plasma process.
  • the cleaning gas etch of block 730 A and the cleaning gas plasma etch of block 730 B may include any suitable halogen containing gas as described herein.
  • the cleaning gas is chlorine gas (Cl 2 ).
  • the cleaning gas is chlorine gas
  • the chlorine radicals formed by interaction with the heated susceptor will interact with GaN and Ga deposits on the interior surfaces of the chamber.
  • the GaN and Ga deposits are converted to GaCl 3 during this chlorination process according to the following reactions (1) and (2) which may then be purged from the chamber.
  • the cleaning gas etch begins with a high pressure process.
  • High pressure helps increase the reaction rate between the cleaning gas and the unwanted deposition products such as gallium and gallium nitride in the chamber.
  • a total pressure of the chamber is from about 5 Torr to about 500 Torr. In one embodiment, the total pressure of the chamber is from about 50 Torr to about 100 Torr. In one embodiment, the total pressure in the chamber is about 100 Torr.
  • a higher pressure, such as 100 Torr, helps increase the reaction rate between the cleaning gas and the contaminants such as gallium in the chamber.
  • the cleaning gas may be flowed into the chamber at a flow rate of from about 500 sccm to about 10,000 sccm. In one embodiment, the cleaning gas is flowed into the chamber at a flow rate from about 1,000 sccm to about 4,000 sccm. In one embodiment, the cleaning gas is flowed into the chamber at a flow rate of about 2,000 sccm. In one embodiment, during the high pressure process, the cleaning gas may be flowed into the chamber at a flow rate of from about 12.5 sccm/L to about 250 sccm/L.
  • the cleaning gas is flowed into the chamber at a flow rate from about 25 sccm/L to about 100 sccm/L. In one embodiment, the cleaning gas is flowed into the chamber at a flow rate of about 50 sccm/L.
  • the cleaning gas may be co-flowed with a carrier gas.
  • the carrier gas may be one or more gases selected from the group of argon, nitrogen, hydrogen, helium, neon, xenon, and combinations thereof.
  • the carrier gas is flowed into the chamber at a flow rate from about 500 sccm to about 3,000 sccm.
  • the carrier gas is flowed into the chamber at a flow rate of from about 1,000 sccm to about 2,000 sccm.
  • the carrier gas is flowed into the chamber at a flow rate from about 12.5 sccm/L to about 75 sccm/L.
  • the carrier gas is flowed into the chamber at a flow rate of from about 25 sccm/L to about 50 sccm/L.
  • the high pressure process may be performed for a time period from about two minutes to about 10 minutes.
  • the flow rate of cleaning gas may be increased during a flow rate ramping process. In one embodiment, where the flow rate of cleaning gas during the high pressure process is about 2,000 sccm, the flow rate of cleaning gas may be increased to about 4,000 sccm during the flow rate ramping process. In one embodiment, where the flow rate of cleaning gas during the high pressure process is about 50 sccm/L, the flow rate of cleaning gas may be increased to about 100 sccm/L during the flow rate ramping process. In one embodiment, the cleaning gas flow rate ramping process is performed in conjunction with the high pressure process. In another embodiment, the cleaning gas flow rate ramping process is performed after the high pressure process. In one embodiment the flow rate ramping process may be performed for a time period from about 15 seconds to about 3 minutes.
  • a halogen gas such as a chlorine gas plasma may be generated for cleaning/deposition processes.
  • the plasma may be in-situ plasma.
  • the plasma may be ex-situ plasma.
  • a remote plasma generator may be included as part of the MOCVD chamber hardware.
  • chlorine gas or plasma may be delivered from above a top plate or delivered through tubes that deliver a Ga-containing precursor.
  • the type of plasma that could be utilized is not limited exclusively to chlorine, but may include fluorine, iodine, or bromine.
  • the source gases used to generate plasma may be halogens, such as Cl 2 , Br 2 , F 2 , or I 2 , or may be gases that contain Group V elements (e.g., N, P, or As), such as NF 3 .
  • the chamber pressure is lowered to enhance the rate of evaporation.
  • the chamber pressure is lowered to from about 1 mTorr to about 5 Torr.
  • lower pressure is generally favored to keep GaCl 3 in gaseous phase.
  • the chamber pressure is partially or completely lowered during the flow rate ramping process.
  • the chamber pressure is lowered subsequent to the flow rate ramping process.
  • the chamber pressure lowering process may be performed for a time period from about two minutes and about 10 minutes.
  • a cleaning gas etch process is performed.
  • the cleaning gas etch is performed at a high pressure.
  • a total pressure of the chamber is from about 5 Torr to about 500 Torr.
  • the total pressure of the chamber is from about 50 Torr to about 100 Torr.
  • the total pressure in the chamber is about 100 Torr.
  • the cleaning gas may be flowed into the chamber at a flow rate of about 500 sccm to about 10,000 sccm.
  • the cleaning gas is flowed into the chamber at a flow rate from about 1,000 sccm to about 4,000 sccm.
  • the cleaning gas may be flowed into the chamber at a flow rate of about 12.5 sccm/L to about 250 sccm/L. In one embodiment, the cleaning gas is flowed into the chamber at a flow rate from about 25 sccm/L to about 100 sccm/L. In one embodiment, the cleaning gas is flowed into the chamber at a flow rate of about 100 sccm/L. In one embodiment, the cleaning gas may be co-flowed with a carrier gas.
  • the carrier gas may be one or more gases selected from the group of argon, nitrogen, hydrogen, helium, neon, xenon, and combinations thereof.
  • the carrier gas is flowed into the chamber at a flow rate from about 500 sccm to about 3,000 sccm. In one embodiment, the carrier gas is flowed into the chamber at a flow rate of from about 1,000 sccm to about 2,000 sccm. In one embodiment, the carrier gas is flowed into the chamber at a flow rate from about 12.5 sccm/L to about 75 sccm/L. In one embodiment, the carrier gas is flowed into the chamber at a flow rate of from about 25 sccm/L to about 50 sccm/L. In one embodiment, the cleaning gas etch process may be performed for a time period of about 2 minutes to about 10 minutes.
  • Cl 2 plasma may be generated for cleaning/deposition processes.
  • the plasma may be in-situ plasma.
  • the plasma may be ex-situ plasma.
  • the type of plasma that could be utilized is not limited exclusively to chlorine, but may include fluorine, iodine, or bromine.
  • the source gases used to generate plasma may be halogens, such as Cl 2 , Br 2 , F 2 , or I 2 , or may be gases that contain Group V elements (e.g., N, P, or As), such as NF 3 .
  • the temperature of the susceptor established during the temperature ramp process may be maintained throughout the process of blocks 730 A, 730 B, 740 , 750 A, and 750 B.
  • a temperature of the susceptor is greater than about 500° C.
  • a temperature of the susceptor is from about 550° C. to about 700° C.
  • the temperature of the susceptor is about 650° C.
  • a temperature of the showerhead is from about 50° C. to about 200° C.
  • a temperature of the showerhead is from about 80° C. to about 100° C.
  • the cleaning process of blocks 730 A, 730 B, 740 , 750 A, and 750 B may last for a time period generally long enough to remove gallium containing deposits, such as gallium and GaN deposits, from the surface of the chamber and the surface of the chamber components including the showerhead.
  • Block 760 it is determined whether additional cleaning cycles are needed. It should be understood that several cycles of cleaning may apply with an optional purge process performed in between cleaning cycles. If it is determined that additional cleaning cycles are needed, the processes of blocks 730 A, 730 B, 740 , 750 A, and 750 B may be repeated. In one embodiment, between 3 and 10 cleaning cycles may be performed. The number of cleaning cycles is generally dependent on the thickness of the material deposited on the chamber components during the deposition process.
  • the processing chamber is purged/evacuated to remove cleaning by-products formed during the cleaning process.
  • the purge gas may be one or more purge gases selected from the group of argon, nitrogen, hydrogen, helium, neon, xenon, and combinations thereof may also be included.
  • the processing chamber is purged by providing a purge gas at a flow rate of about 1,000 sccm to about 30,000 sccm.
  • the purge gas is provided to the processing chamber at a flow rate from about 15,000 sccm to about 20,000 sccm.
  • the processing chamber is purged by providing a purge gas at a flow rate of about 25 sccm/L to about 750 sccm/L. In one embodiment, the purge gas is provided to the processing chamber at a flow rate from about 375 sccm/L to about 500 sccm/L. In one embodiment, the chamber may be maintained at a total chamber pressure from about 0.5 Torr to about 150 Torr. In one embodiment, the total pressure of the chamber may be about 100 Torr. In one embodiment, a temperature of the susceptor is greater than about 500° C. In one embodiment, a temperature of the susceptor is from about 550° C. to about 700° C.
  • the temperature of the susceptor is about 650° C. In one embodiment, a temperature of the showerhead is less than 100° C. In one embodiment, the temperature of the showerhead is maintained at about 80° C. In one embodiment, the purge gas may be flowed into the processing chamber for a time period of between about 30 seconds and about 5 minutes. The time period of purge gas flow should be generally long enough to remove by-products remaining from the cleaning process.
  • FIG. 8 is a flow diagram of one embodiment of an in-situ cleaning process 800 that may be used for cleaning a substrate processing chamber such as an HVPE chamber.
  • the in-situ cleaning process 800 depicted in FIG. 8 may be performed as the in-situ chamber clean with halogen gas performed in block 530 of FIG. 5 .
  • Exemplary embodiments of an HVPE chamber and other aspects of the HVPE chamber are described in U.S. patent application Ser. No. 11/767,520, filed Jun. 24, 2007, now published as US 2008-0314311, entitled HVPE TUBE SHOWERHEAD DESIGN and commonly assigned U.S. patent application Ser. No. 12/637,019, filed Dec. 14, 2009, 2009, entitled HVPE CHAMBER HARDWARE, both of which are herein incorporated by reference in their entirety.
  • an in-situ halogen containing cleaning gas etch is performed.
  • the cleaning gas etch may be performed using any suitable cleaning gas described herein.
  • the cleaning gas etch is performed using chlorine gas.
  • a temperature of the susceptor is greater than about 500° C.
  • a temperature of the susceptor is from about 550° C. to about 700° C.
  • the temperature of the susceptor is about 650° C.
  • the chamber pressure is maintained from about 400 Torr to about 500 Torr.
  • the chamber pressure is maintained at about 450 Torr.
  • the flow rate of the cleaning gas is between about 1,000 sccm and about 5,000 sccm. In one embodiment, during the cleaning gas etch process, the flow rate of the cleaning gas is between about 25 sccm/L and about 125 sccm/L. In one embodiment, the cleaning gas etch is performed for a time period long enough to remove contaminants from the processing chamber. In one embodiment, the length of the cleaning gas etch process may vary between about 15 minutes and about 30 minutes.
  • a temperature ramp process (block 820 ) is performed after the cleaning gas etch of block 810 and prior to the optional post in-situ chamber clean process of block 540 .
  • the temperature may be increased from between about 600° C. to about 700° C. to between about 900° C. to about 1,100° C. in preparation for a chamber bake process.
  • an optional post in-situ chamber clean treatment may be performed.
  • the purpose of the post in-situ chamber clean treatment is to remove any residual cleaning by-products, e.g., residual chlorine containing compounds such as GaCl 3 , which remain in the chamber.
  • a halogen gas such as chlorine
  • coatings on the interior surfaces of the chamber are converted to GaCl 3 by the reaction with the chlorine-based cleaning gas. Due to the low vapor pressure of GaCl 3 , GaCl 3 condenses onto cold surfaces within the chamber, including water-cooled chamber walls or water cooled gas inlet ports, such as a showerhead.
  • the residual GaCl 3 has the potential to release chlorine into layers such as GaN epitaxial layers during growth, which can be detrimental to the material crystal quality, optical, and electrical properties of the layer.
  • the optional post in-situ chamber clean may be selected from the following processes: a low pressure purge, a pump/purge cycle, a chamber bake process, a showerhead flush process, and combinations thereof.
  • the post in-situ chamber clean treatment is a chamber bake process.
  • the chamber bake process may be performed in a nitrogen and/or hydrogen containing atmosphere at a high temperature from about 900° C. to about 1,100° C. In one embodiment, the temperature is between about 900° C. to about 1,000° C. In one embodiment, the temperature is between about 950° C. to about 1,050° C.
  • the chamber bake process is performed at a low chamber pressure. In one embodiment, the low chamber pressure is from about 0.001 Torr to about 10 Torr to ensure that any residual deposition from the chamber clean process is removed from the chamber. In one embodiment, the chamber pressure is about 7.5 Torr.
  • the chamber bake process is performed for a time period long enough to ensure that any residual deposition from the chamber clean process has left the chamber. In one embodiment, the bake time may vary between about 15 minutes and about 1 hour. In embodiments where the halogen containing gas is chlorine, the high temperature bake will remove residual GaCl 3 deposition from the chamber.
  • the chamber bake process may be performed with a nitrogen containing gas such as ammonia (NH 3 ) at an elevated temperature to reduce the amount of residual GaCl 3 in the processing chamber after the cleaning process.
  • a nitrogen containing gas such as ammonia (NH 3 ) at an elevated temperature to reduce the amount of residual GaCl 3 in the processing chamber after the cleaning process.
  • the NH 3 treatment is performed by flowing about 1,000 sccm to about 10,000 sccm of NH 3 to the coated chamber with temperatures>900° C. and pressures from about 100 Torr to about 760 Torr for a time period of, for example, about 30 minutes. In one embodiment, the NH 3 treatment is performed by flowing about 25 sccm/L to about 250 sccm/L of NH 3 to the coated chamber. In one embodiment, both the NH 3 flow rate and the chamber pressure are varied and/or cycled between lower (e.g. 100 Torr) and higher pressure (760 Torr) and/or lower and higher flow rates throughout the NH 3 treatment process.
  • lower e.g. 100 Torr
  • higher pressure 760 Torr
  • the NH 3 chamber treatment may be performed in lieu of or in conjunction with the in-situ chamber cleaning processes described herein.
  • the NH 3 chamber treatment stabilizes the unwanted deposition on the interior surfaces of the chamber including the showerhead in a manner such that the crystal and optical quality of subsequently deposited films such as MQWs can be produced at a level of quality equivalent to the quality of films produced prior to chamber contamination. That is, the NH 3 chamber treatment enables the production of high quality InGaN MQWs active layers even in the presence of significant coating on the showerhead.
  • NH 3 treatment efficiency may be enhanced by, for example, shortening the treatment time.
  • High pressure and low pressure NH 3 flows are essential to generate turbulent flows inside the chamber and increase the chance of interaction between ammonia and the chamber coating.
  • the post in-situ chamber clean treatment is a pump/purge cycle.
  • the purge gas of the pump/purge cycle may be one or more purge gases selected from the group of argon, nitrogen, hydrogen, helium, neon, xenon, and combinations thereof may also be included.
  • the processing chamber is purged by providing a purge gas at a flow rate of about 1,000 sccm to about 30,000 sccm. In one embodiment, the purge gas is provided to the processing chamber at a flow rate from about 15,000 sccm to about 20,000 sccm.
  • the processing chamber is purged by providing a purge gas at a flow rate of about 25 sccm/L to about 750 sccm/L. In one embodiment, the purge gas is provided to the processing chamber at a flow rate from about 375 sccm/L to about 500 sccm/L. In one embodiment, the chamber may be maintained at a total chamber pressure from about 0.5 Torr to about 150 Torr. In one embodiment, the total pressure of the chamber may be about 100 Torr. In one embodiment, a temperature of the susceptor is from about 600° C. to about 1,000° C. In one embodiment, the temperature of the susceptor is about 900° C. In one embodiment, a temperature of the showerhead is less than 100° C.
  • the temperature of the showerhead is maintained at about 80° C.
  • the purge gas may be flowed into the processing chamber for a time period of between about 30 seconds and about 5 minutes. The time period of purge gas flow should be generally long enough to remove by-products remaining from the cleaning process.
  • the post in-situ chamber clean treatment is a low pressure purge in which residual by-products in the chamber are evacuated from the chamber by lowering the pressure within the chamber to between about 0.001 Torr to about 5 Torr.
  • the post in-situ chamber clean treatment comprises a showerhead flush process.
  • the halogen cleaning gas e.g. Cl 2
  • the showerhead gas conduits as precursors (e.g. TMG). Reaction between residual precursor gas in the gas conduit and the cleaning gas may lead to clogging of the gas conduits of the showerhead.
  • an additional showerhead flush may be performed after the in-situ cleaning of block 530 .
  • the showerhead flush may be performed as part of the post-in-situ clean performed in block 540 .
  • the showerhead flush may be performed after the chamber bake process described herein.
  • the showerhead flush may be performed prior to the chamber bake process described herein.
  • the showerhead flush comprises flowing an inert gas through the conduits of the showerhead through which cleaning gases were previously flowed.
  • the inert gas may comprise any of the inert gases and/or purge gases described herein.
  • the inert gas flows through the gas conduits of the showerhead at a flow rate between about 100 sccm to about 1,000 sccm.
  • the showerhead flush may be performed for a time period sufficient to remove residual precursor deposits from the conduits of the showerhead. In one embodiment, the showerhead flush is performed for a time period between about 2 minutes and about 20 minutes.
  • a purge/evacuation process may be followed by a chamber bake process.
  • the optional post in-situ chamber treatment may include the deposition of a chamber coating such as GaN or AlN to further reduce any residual chlorine gas remaining in the chamber after the in-situ cleaning process.
  • a chamber coating such as GaN or AlN
  • TMGa or TMAl is flown into the chamber with NH 3 to form a thin layer of GaN or AlN (between about 10 nm and about 500 nm thick) on the interior surfaces of the chamber.
  • This additional GaN or AlN coating after the NH 3 treatment further reduces the chlorine level in subsequently deposited layers.
  • the susceptor may be positioned from about 3 mm to about 12 mm from the showerhead during the cleaning process. In another embodiment, the susceptor may be positioned from about 5 mm to about 10 mm from the showerhead during the cleaning process. In another embodiment, the susceptor may be positioned less than 10 mm from the showerhead. Typically, during deposition, the distance between the substrate support 314 and the showerhead assembly 304 is 10 mm or greater.
  • FIG. 9A is a SIMS depth profile of full LED growth after the chamber cleaning process described in FIG. 7 .
  • FIG. 9B is a SIMS depth profile of full LED growth after the chamber cleaning process described in FIG. 7 .
  • the quality of LEDs produced after the clean processes described herein is not affected.
  • a SIMS depth profile chemical composition of a full LED, and InGaN MQWS especially are not affected by the clean processes described herein.
  • the chlorine level is ⁇ 1 ⁇ 10 15 cm ⁇ 3 and is at SIMS detection limit.

Abstract

Embodiments of the present invention generally relate to methods and apparatus for removing unwanted deposition build-up from one more interior surfaces of a substrate processing chamber after a substrate is processed in a chamber to form, for example, Group III-V materials by metal-organic chemical vapor deposition (MOCVD) deposition processes and/or hydride vapor phase epitaxial (HVPE) deposition processes. In one embodiment, a method for removing unwanted deposition build-up from one or more interior surfaces of a substrate processing chamber is provided. The method comprises depositing one or more Group III containing layers over a substrate disposed in the substrate processing chamber, transferring the substrate out of the substrate processing chamber, and pulsing a halogen containing gas into the substrate processing chamber to remove at least a portion of the unwanted deposition build-up from one or more interior surfaces of the substrate processing chamber.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application claims benefit of U.S. provisional patent application Ser. No. 61/173,552 (14309L), filed Apr. 28, 2009, which is herein incorporated by reference it its entirety.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • Embodiments of the present invention generally relate to methods and apparatus for removing unwanted deposition build-up from one or more interior surfaces of a substrate processing chamber after a substrate is processed in the chamber to form, for example, Group III-V materials by metal-organic chemical vapor deposition (MOCVD) deposition processes and/or hydride vapor phase epitaxial (HVPE) deposition processes.
  • 2. Description of the Related Art
  • Group III-V films are finding greater importance in the development and fabrication of a variety of semiconductor devices, such as short wavelength Light-emitting diodes (LEDs), laser diodes (LDs), and electronic devices including high power, high frequency, high temperature transistors and integrated circuits. For example, short wavelength (e.g., blue/green to ultraviolet) LEDs are fabricated using the Group III-nitride semiconducting material gallium nitride (GaN). It has been observed that short wavelength LEDs fabricated using GaN can provide significantly greater efficiencies and longer operating lifetimes than short wavelength LEDs fabricated using non-nitride semiconducting materials, comprising Group II-VI elements.
  • One method that has been used for depositing Group III-nitrides, such as GaN, is metal organic chemical vapor deposition (MOCVD). This chemical vapor deposition method is generally performed in a reactor having a temperature controlled environment to assure the stability of a first precursor gas which contains at least one element from Group III, such as gallium (Ga). A second precursor gas, such as ammonia (NH3), provides the nitrogen needed to form a Group III-nitride. The two precursor gases are injected into a processing zone within the reactor where they mix and move towards a heated substrate in the processing zone. A carrier gas may be used to assist in the transport of the precursor gases towards the substrate. The precursors react at the surface of the heated substrate to form a Group III-nitride layer, such as GaN, on the substrate surface.
  • Another method that has been used to deposit Group III-nitrides, such as GaN, is hydride vapor phase epitaxy (HVPE). HVPE processes for growing Group III-V are generally performed in a reactor having a temperature controlled environment to assure the stability of a Group III metal used in the process. Group III metals provided by a Group III source, such as a gallium (Ga) metal source, in the reactor reacts with a halide, such as hydrogen chloride (HCl) gas, forming Group III halide vapor. A nitrogen containing precursor, such as ammonia (NH3), is subsequently transported by a separate gas line to a reaction zone in the reactor where it is heated and mixes with the Group III halide vapor, such as GaCl3. A carrier gas is used to carry Group III halide and Group V vapor towards the substrate within the reactor. The mixed Group III halide, such as GaCl3, and nitrogen containing precursor, such as ammonia (NH3), carried by the carrier gas is subsequently eptaxially grown into a Group III-V layer (GaN) on the substrate surface.
  • Unwanted deposition on the interior surfaces such as the walls and the showerheads of the processing chambers may occur in both MOCVD and HVPE chambers during MOCVD and HVPE processes. Such unwanted deposition may create particles and flakes within the chamber, resulting in the drift of process conditions and more importantly affecting the process reproducibility and uniformity. As is know in the industry, it is common to perform ex-situ cleans after every few processing runs. In certain ex-situ cleans, the chamber must be disassembled and manually cleaned every few runs. For example, in certain ex-situ cleans, the steel parts of the reactor may be cleaned with a sodium-hydroxide or potassium-hydroxide solution, accelerated by heating or addition of peroxide additives, while the quartz and graphite parts are cleaned using a cleaning solution such as nitro-hydrochloric acid (HCl:HNO3) containing solution or hydrofluoric acid containing solution. Subsequently, the parts are rinsed, baked dry outside the reactor in an oven, and finally baked again at a higher temperature (>100° C. above process temperature) before resuming the deposition process. This is a very cumbersome process, and represents an unreasonable limitation on any high-throughput, substrate processing system.
  • As the demand for LEDs, LDs, transistors, and integrated circuits increases, the efficiency of depositing high quality Group-III nitride films takes on greater importance. Therefore, there is a need for improved methods and apparatus for cleaning substrate chambers which reduce particle contamination while maintaining substrate throughput.
  • SUMMARY OF THE INVENTION
  • Embodiments of the present invention generally relate to methods and apparatus for removing unwanted deposition build-up from one more interior surfaces of a substrate processing chamber after a substrate is processed in a chamber to form, for example, Group III-V materials by metal-organic chemical vapor deposition (MOCVD) deposition processes and/or hydride vapor phase epitaxial (HVPE) deposition processes. In one embodiment, a method for removing unwanted deposition build-up from one or more interior surfaces of a metal organic chemical vapor deposition (MOCVD) processing chamber is provided. The method comprises depositing one or more Group III containing layers over a substrate disposed in the processing chamber, transferring the substrate out of the processing chamber, pulsing a halogen cleaning gas into the processing chamber to remove at least a portion of the unwanted deposition build-up from one or more interior surfaces of the processing chamber, and pulsing a purge gas into the processing chamber after pulsing the halogen cleaning gas to remove reaction by-products formed from the reaction of the halogen cleaning gas with the unwanted deposition build-up from the processing chamber, wherein the pulsing a purge gas immediately follows the pulsing a halogen cleaning gas to remove reaction by-products from the interior surfaces of the processing chamber before the reaction by-products condense on the interior surface of the substrate processing chamber.
  • In another embodiment, a method for removing unwanted deposition build-up from one or more interior surfaces of a substrate processing chamber is provided. The method comprises positioning a substrate on a susceptor in a processing region of a substrate processing chamber comprising a showerhead for supplying processing gases to the processing region, depositing one or more gallium containing layers over the substrate disposed in the processing region, transferring the substrate out of the substrate processing chamber, pulsing chlorine gas into the substrate processing chamber to remove at least a portion of the unwanted deposition build-up from one or more interior surfaces and the showerhead of the substrate processing chamber, and pulsing a first purge gas into the processing chamber to remove the chlorine gas and reaction by-products formed from the reaction of the chlorine gas with the unwanted deposition build-up from the substrate processing chamber.
  • In yet another embodiment, an integrated processing system for manufacturing compound nitride semiconductor devices is provided. The integrated processing system comprises one or more substrate processing chambers operable to form one or more Group III compound nitride semiconductor layers on one or more substrates positioned in the substrate processing chamber, a halogen gas source coupled with at least one of the one or more substrate processing chambers operable for pulsing a halogen gas into the substrate processing chamber to remove at least a portion of unwanted deposition build-up deposited when forming one or more Group III compound nitride semiconductor layers on the one or more substrates from one or more interior surfaces of the substrate processing chambers, and a purge gas source coupled with at least one of the one or more substrate processing chamber operable for pulsing purge gas into the one or more substrate processing chamber to remove reaction by-products formed from the reaction of the halogen gas with the unwanted deposition build-up from the substrate processing chamber.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIG. 1A is a gallium-chloride phase diagram;
  • FIG. 1B is a schematic illustration of a structure of a GaN-based LED;
  • FIG. 1C is a schematic illustration of a GaN based LD structure;
  • FIG. 2 is a schematic top view illustrating one embodiment of a processing system for fabricating compound nitride semiconductor devices according to embodiments described herein;
  • FIG. 3 is a schematic cross-sectional view of one embodiment of a metal-organic chemical vapor deposition (MOCVD) chamber for fabricating compound nitride semiconductor devices according to embodiments described herein;
  • FIG. 4 is a schematic cross-sectional view of one embodiment of a hydride vapor phase epitaxy (HVPE) chamber for fabricating compound nitride semiconductor devices according to embodiments described herein;
  • FIG. 5 is a flow diagram of one embodiment of an in-situ cleaning process that may be used for cleaning substrate processing chambers according to embodiments described herein;
  • FIG. 6A is a flow diagram of one embodiment of an in-situ cleaning process that may be used for cleaning substrate processing chambers according to embodiments described herein;
  • FIG. 6B is a flow diagram of one embodiment of an in-situ pulse cleaning process that may be used for cleaning substrate processing chambers according to embodiments described herein;
  • FIG. 7 is a flow diagram of one embodiment of an in-situ cleaning process that may be used for cleaning substrate processing chambers according to embodiments described herein;
  • FIG. 8 is a flow diagram of one embodiment of a cleaning process that may be used for cleaning a substrate processing chamber such as an HVPE chamber according to embodiments described herein;
  • FIG. 9A is a SIMS depth profile of full LED growth after the chamber cleaning process described herein; and
  • FIG. 9B is a SIMS depth profile of full LED growth after the chamber cleaning process described herein.
  • To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. It is contemplated that elements and features of one embodiment may be beneficially incorporated in other embodiments without further recitation.
  • DETAILED DESCRIPTION
  • Embodiments described herein provide improved methods and apparatus for chamber cleaning which may be performed in-situ to remove unwanted deposition build-up off the interior surfaces of a substrate processing chamber thus reducing particle contamination while maintaining system uptime. In one embodiment, the chamber cleaning process is performed by pulsing a halogen containing gas, such as chlorine containing cleaning gas, into the substrate processing chamber to convert the unwanted deposition, such as gallium coating, on the surfaces of the chamber and the chamber components into a gaseous form, such as GaCl3, which may then be removed from the chamber.
  • As previously discussed, the growth of high temperature GaN by MOCVD or HVPE often results in severe parasitic deposition on chamber parts especially the showerhead. This parasitic deposition causes particles and flaking inside the chamber, resulting in drift of the process conditions, and most importantly affecting the process reproducibility and uniformity. As a result the chamber needs to be opened and manually cleaned after each run which greatly reduces chamber efficiency. Embodiments described herein use halogen gases such as chlorine to perform an in-situ chamber clean.
  • FIG. 1A is a gallium-chloride (GaCl3) phase diagram. One advantage of using chlorine and/or any other halogen containing gas such as fluorine, bromine, or iodine is that there is no NH4Cl formation as in the case where HCl is used. The main reaction product of a chlorine cleaning process is generally gallium chloride (GaCl3). At certain processing temperatures, GaCl3 condenses inside the chamber. Based on pressure-temperature phase diagram for GaCl3 shown in FIG. 1A, it is possible to predict conditions favorable for keeping GaCl3 in the vapor phase and preventing any residual deposition or condensation inside the chamber, especially on the showerhead. In certain embodiments, a temperature greater than 100° C. and a pressure lower than 20 Torr is required for keeping a reaction product such as GaCl3 in the gas phase.
  • Currently, MOCVD and HVPE techniques are the most widely used techniques for the growth of Group III-nitride based LED manufacturing. One example of a nitride-based structure that may be formed using any combination of MOCVD and/or HVPE techniques is illustrated in FIG. 1B as a GaN-based LED structure 100. It is fabricated over a substrate 104. Substrate size may range from 50 mm-100 mm in diameter or larger. It is to be understood that the substrates may consist of at least one of sapphire, SiC, GaN, silicon, quartz, GaAs, AlN, and glass. An undoped gallium nitride (u-GaN layer) followed by an n-type GaN layer 112 is deposited over a GaN or aluminum nitride (AlN) buffer layer 108 formed over the substrate. An active region of the device is embodied in a multi-quantum-well layer 116, shown in the drawing to comprise an InGaN layer. A p-n junction is formed with an overlying p-type AlGaN layer 120, with a p-type GaN layer 124 acting as a contact layer.
  • One example of a fabrication process for such an LED may use a combination of HVPE and/or MOCVD techniques that follow cleaning of the substrate 104 in a processing chamber. In one embodiment, MOCVD deposition is accomplished by providing flows of suitable precursors to the processing chamber and using thermal processes to achieve deposition. For example, a GaN layer may be deposited using Ga and nitrogen containing precursors, perhaps with a flow of a fluent gas like N2, H2, and NH3. In one embodiment, HVPE deposition is used. For example, the GaN layer may be deposited using HVPE techniques by flowing a Group III halide vapor formed by reacting a Group III source, such as a gallium (Ga) metal source, with a halide, such as hydrogen chloride (HCl) gas, forming Group III halide vapor. A nitrogen containing precursor, such as ammonia (NH3), is subsequently transported by a separate gas line to a reaction zone in the chamber where it is heated and mixes with the Group III halide vapor, such as GaCl3. A carrier gas is used to carry Group III halide and Group V vapor towards the substrate within the reaction zone. The mixed Group III halide, such as GaCl3, and nitrogen containing precursor, such as ammonia (NH3), carried by the carrier gas is subsequently eptaxially grown into a Group III-V layer (GaN) on the substrate surface.
  • An InGaN layer may be deposited using Ga, N, and In precursors, perhaps with a flow of a fluent gas. An AlGaN layer may be deposited using Ga, N, and Al precursors, also perhaps with a flow of a fluent gas. In the illustrated structure 100, the GaN buffer layer 108 has a thickness of about 500 Å, and may have been deposited at a temperature of about 550° C. Subsequent deposition of the u-GaN and n-GaN layer 112 is typically performed at a higher temperature, such as around 1,050° C. in one embodiment. The u-GaN and n-GaN layer 112 is relatively thick, with deposition of a thickness on the order of about 4 μm requiring about 140 minutes for deposition. The InGaN multi-quantum-well (MQW) layer 116 may have a thickness of about 750 Å, which may be deposited over a period of about 40 minutes at a temperature of about 750° C. The p-AlGaN layer 120 may have a thickness of about 200 Å, which may be deposited in about five minutes at a temperature from about 950° C. to about 1020° C. The thickness of the contact layer 124 that completes the structure may be about 0.4 μm in one embodiment, and may be deposited at a temperature of about 1,050° C. for around 25 minutes. Additionally, dopants, such as silicon (Si) or magnesium (Mg), may be added to the films. The films may be doped by adding small amounts of dopant gases during the deposition process. For silicon doping, silane (SiH4) or disilane (Si2H6) gases may be used, for example, and for magnesium doping, the dopant gases may include Bis(cyclopentadienyl) magnesium (Cp2Mg or (C5H5)2Mg).
  • FIG. 1C is a schematic illustration of one example of a GaN based LD structure 150 formed on a substrate 105. The substrate 105 may be similar to the substrate 104 of FIG. 1B.
  • In one embodiment, the LD structure 150 is formed on the substrate 105 after a thermal cleaning procedure and a pretreatment process. The thermal cleaning procedure may be performed by exposing the substrate 105 to a cleaning gas mixture comprising ammonia and carrier gas while the substrate 105 is being heated. In one embodiment, the pretreatment process comprises exposing the substrate to a pretreatment gas mixture while the substrate is heated an elevated temperature range. In one embodiment, the pretreatment gas mixture is an etching agent comprising a halogen gas.
  • The LD structure 150 is a stack of formed on the substrate 105. In one embodiment, the LD structure 150 starts from an n-type GaN contact layer 152. The LD structure 150 further comprises an n-type cladding layer 154. The cladding layer 154 may comprise AlGaN. An undoped guide layer 156 is formed over the cladding layer 154. The guide layer 156 may comprise InGaN. An active layer 158 having a multiquantum well (MQW) structure is formed on the guide layer 156. An undoped guide layer 160 is formed over the active layer 158. A p-type electron block layer 162 is formed over the undoped guide layer 160. A p-type contact GaN layer 164 is formed over the p-type electron block layer 162.
  • The growth of GaN at high temperatures often results in severe parasitic deposition of Ga metal and GaN within the processing chamber, especially on chamber components including the walls, showerhead, and gas distribution assembly of the processing chamber. This parasitic deposition is generally rich in gallium. Gallium rich depositions cause problems due to the nature of gallium itself which acts as a trap, reacting with the gas phase precursors used for deposition of subsequent single layers of LED, such as, for example, tri-methyl indium (TMI), tri-methyl aluminum (TMA), n-type dopants such as silane (SiH4) and disilane (Si2H6), and p-type dopants such as Cp2Mg.
  • FIG. 2A is a schematic top view illustrating one embodiment of a processing system 200 comprising one HVPE chamber 202 and multiple MOCVD chamber 203 a and 203 b for fabricating compound nitride semiconductor devices according to embodiments described herein. In one embodiment, the environment within the processing system 200 is maintained as a vacuum environment or at a pressure below atmospheric pressure. In certain embodiments it may be desirable to backfill the processing system 200 with an inert gas such as nitrogen. Although one HVPE chamber 202 and two MOCVD chambers 203 a and 203 b are shown, it should be understood that any combination of one or more MOCVD chambers with one or more HVPE chambers may also be coupled with the transfer chamber 206. For example, in one embodiment, the processing system 200 may comprise 3 MOCVD chambers. In another embodiment, the processes described herein may be performed in a single MOCVD chamber. It should also be understood that although a cluster tool is shown, the embodiments described herein may be performed using linear track systems.
  • In one embodiment, an additional chamber 204 is coupled with the transfer chamber 206. In one embodiment, the additional chamber 204 comprises an additional processing chamber such as an MOCVD chamber or an HVPE chamber. In another embodiment, the additional chamber 204 may comprise a metrology chamber. In yet another embodiment, the additional chamber 204 may contain pre-processing or post-processing chambers, such as service chambers that are adapted for degassing, orientation, cool down, pretreatment/preclean, post-anneal and the like. In one embodiment, the transfer chamber is six-sided and hexagonal in shape with six positions for process chamber mounting. In another embodiment, the transfer chamber 206 may have other shapes and have five, seven, eight, or more sides with a corresponding number of process chamber mounting positions.
  • The HVPE chamber 202 is adapted to perform HVPE processes in which gaseous metal halides are used to epitaxially grow thick layers of compound nitride semiconductor materials on heated substrates. The HVPE chamber 202 comprises a chamber body 214 where a substrate is placed to undergo processing, a chemical delivery module 218 from which gas precursors are delivered to the chamber body 214, and an electrical module 222 that includes the electrical system for the HVPE chamber of the processing system 200.
  • Each MOCVD chamber 203 a, 203 b comprises a chamber body 212 a, 212 b forming a processing region where a substrate is placed to undergo processing, a chemical delivery module 216 a, 216 b from which gases such as precursors, purge gases, and cleaning gases are delivered to the chamber body 212 a, 212 b and an electrical module 220 a, 220 b for each MOCVD chamber 203 a, 203 b that includes the electrical system for each MOCVD chamber of the processing system 200. Each MOCVD chamber 203 a, 203 b is adapted to perform CVD processes in which metalorganic elements react with metal hydride elements to form thin layers of compound nitride semiconductor materials.
  • The processing system 200 comprises a transfer chamber 206 housing a robot assembly 207, an HVPE chamber 202, a first MOCVD chamber 203 a, and a second MOCVD chamber 203 b coupled with the transfer chamber 206, a loadlock chamber 208 coupled with the transfer chamber 206, a batch loadlock chamber 209, for storing substrates, coupled with the transfer chamber 206, and a load station 210, for loading substrates, coupled with the loadlock chamber 208. The transfer chamber 206 comprises a robot assembly 207 operable to pick up and transfer substrates between the loadlock chamber 208, the batch loadlock chamber 209, the HVPE chamber 202, the first MOCVD chamber 203 a, and the second MOCVD chamber 203 b.
  • The transfer chamber 206 may remain under vacuum and/or at a pressure below atmosphere during the process. The vacuum level of the transfer chamber 206 may be adjusted to match the vacuum level of corresponding processing chambers. For example, when transferring a substrate from a transfer chamber 206 into the HVPE chamber 202 (or vice versa), the transfer chamber 206 and the HVPE chamber 202 may be maintained at the same vacuum level. Then, when transferring a substrate from the transfer chamber 206 to the load lock chamber 208 or batch load lock chamber 209 (or vice versa), the transfer chamber vacuum level may match the vacuum level of the loadlock chamber 208 or batch load lock chamber 209 even through the vacuum level of the loadlock chamber 208 or batch load lock chamber 209 and the HVPE chamber 202 may be different. Thus, the vacuum level of the transfer chamber may be adjusted. In certain embodiments it may be desirable to backfill the transfer chamber 206 with an inert gas such as nitrogen. In one embodiment, the substrate is transferred in an environment having greater than 90% N2. In certain embodiments, the substrate is transferred in a high purity NH3 environment. In one embodiment, the substrate is transferred in an environment having greater than 90% NH3. In certain embodiments, the substrate is transferred in a high purity H2 environment. In one embodiment, the substrate is transferred in an environment having greater than 90% H2.
  • In the processing system 200, the robot assembly transfers a carrier plate 211 under vacuum loaded with substrates into the HVPE chamber 202 to undergo a first deposition process. The carrier plate 211 size may range from 200 mm-750 mm. The carrier plate 211 may be formed from a variety of materials, including SiC or SiC-coated graphite. The robot assembly transfers the carrier plate 211 under vacuum into the first MOCVD chamber 203 a to undergo a second deposition process. The robot assembly transfers the carrier plate 211 under vacuum into the second MOCVD chamber 203 b to undergo a third deposition process. After all or some of the deposition steps have been completed, the carrier plate 211 is transferred from either the HVPE chamber 202 or one of the MOCVD chambers 203 a, 203 b back to the loadlock chamber 208. In one embodiment, the carrier plate 211 is then released toward the load station 210. In another embodiment, the carrier plate 211 may be stored in either the loadlock chamber 208 or the batch load lock chamber 209 prior to further processing in the HVPE chamber 202 or MOCVD chambers 203 a, 203 b. One exemplary system is described in U.S. patent application Ser. No. 12/023,572, filed Jan. 31, 2008, now published as US 2009-0194026, titled PROCESSING SYSTEM FOR FABRICATING COMPOUND NITRIDE SEMICONDUCTOR DEVICES, which is hereby incorporated by reference in its entirety.
  • A system controller 260 controls activities and operating parameters of the processing system 200. The system controller 260 includes a computer processor and a computer-readable memory coupled to the processor. The processor executes system control software, such as a computer program stored in memory. Aspects of the processing system and methods of use are further described in U.S. patent application Ser. No. 11/404,516, filed Apr. 14, 2006, now published as US 2007-0240631, titled EPITAXIAL GROWTH OF COMPOUND NITRIDE STRUCTURES, which is hereby incorporated by reference in its entirety.
  • FIG. 3 is a schematic cross-sectional view of an MOCVD chamber 203 (also referred to herein as 203 a and 203 b) according to embodiments described herein. The MOCVD chamber 203 comprises a chamber body 212, a chemical delivery module 216 for delivering precursor gases, carrier gases, cleaning gases, and/or purge gases, a remote plasma system 326 with a plasma source, a susceptor or substrate support 314, and a vacuum system 312. The chamber 203 includes a chamber body 212 that encloses a processing volume 308. A showerhead assembly 304 is disposed at one end of the processing volume 308, and the carrier plate 211 is disposed at the other end of the processing volume 308. The carrier plate 211 may be disposed on the substrate support 314. The substrate support 314 has z-lift capability for moving in a vertical direction, as shown by arrow 315. In one embodiment, the z-lift capability may be used to move the substrate support either upward and closer to the showerhead assembly 304 or downward and further away from the showerhead assembly 304. In certain embodiments, the substrate support 314 comprises a heating element, for example, a resistive heating element (not shown) for controlling the temperature of the substrate support 314 and consequently controlling the temperature of the carrier plate 211 and substrates 340 positioned on the substrate support 314.
  • In one embodiment, the showerhead assembly 304 has a first processing gas channel 304A coupled with the chemical delivery module 216 for delivering a first precursor or first process gas mixture to the processing volume 308, a second processing gas channel 304B coupled with the chemical delivery module 216 for delivering a second precursor or second process gas mixture to the processing volume 308 and a temperature control channel 304C coupled with a heat exchanging system 370 for flowing a heat exchanging fluid to the showerhead assembly 304 to help regulate the temperature of the showerhead assembly 304. Suitable heat exchanging fluids include but are not limited to water, water-based ethylene glycol mixtures, a perfluoropolyether (e.g. Galden® fluid), oil-based thermal transfer fluids, or similar fluids. In one embodiment, during processing the first precursor or first process gas mixture may be delivered to the processing volume 308 via gas conduits 346 coupled with the first processing gas channel 304A in the showerhead assembly 304 and the second precursor or second process gas mixture may be delivered to the processing volume 308 via gas conduits 345 coupled with the second gas processing channel 304B. In embodiments where the remote plasma source is used, the plasma may be delivered to the processing volume 308 via conduit 304D. It should be noted that the process gas mixtures or precursors may comprise one or more precursor gases or process gases as well as carrier gases and dopant gases which may be mixed with the precursor gases.
  • Exemplary showerheads that may be adapted to practice embodiments described herein are described in U.S. patent application Ser. No. 11/873,132, filed Oct. 16, 2007, now published as US 2009-0098276, entitled MULTI-GAS STRAIGHT CHANNEL SHOWERHEAD, U.S. patent application Ser. No. 11/873,141, filed Oct. 16, 2007, now published as US 2009-0095222, entitled MULTI-GAS SPIRAL CHANNEL SHOWERHEAD, and U.S. patent application Ser. No. 11/873,170, filed Oct. 16, 2007, now published as US 2009-0095221, entitled MULTI-GAS CONCENTRIC INJECTION SHOWERHEAD, all of which are incorporated by reference in their entireties.
  • A lower dome 319 is disposed at one end of a lower volume 310, and the carrier plate 211 is disposed at the other end of the lower volume 310. The carrier plate 211 is shown in process position, but may be moved to a lower position where, for example, the substrates 340 may be loaded or unloaded. An exhaust ring 320 may be disposed around the periphery of the carrier plate 211 to help prevent deposition from occurring in the lower volume 310 and also help direct exhaust gases from the chamber 203 to exhaust ports 309. The lower dome 319 may be made of transparent material, such as high-purity quartz, to allow light to pass through for radiant heating of the substrates 340. The radiant heating may be provided by a plurality of inner lamps 321A and outer lamps 321B disposed below the lower dome 319 and reflectors 366 may be used to help control the chamber 203 exposure to the radiant energy provided by inner and outer lamps 321A, 321B. Additional rings of lamps may also be used for finer temperature control of the substrates 340.
  • In certain embodiments, a purge gas (e.g., a nitrogen containing gas) may be delivered into the chamber 203 from the showerhead assembly 304 and/or from inlet ports or tubes (not shown) disposed below the carrier plate 211 and near the bottom of the chamber body 212. The purge gas enters the lower volume 310 of the chamber 203 and flows upwards past the carrier plate 211 and exhaust ring 320 and into multiple exhaust ports 309 which are disposed around an annular exhaust channel 305. An exhaust conduit 306 connects the annular exhaust channel 305 to a vacuum system 312 which includes a vacuum pump 307. The chamber 203 pressure may be controlled using a valve system which controls the rate at which the exhaust gases are drawn from the annular exhaust channel. Other aspects of the MOCVD chamber 203 are described in U.S. patent application Ser. No. 12/023,520, filed Jan. 31, 2008, and titled CVD APPARATUS, which is herein incorporated by reference in its entirety.
  • In certain embodiments, a cleaning gas (e.g., a halogen gas) may be delivered into the chamber 203 from the showerhead assembly 304 and/or from inlet ports or tubes (not shown) disposed near the processing volume 308. The cleaning gas enters the processing volume 308 of the chamber 203 to remove deposits from chamber components such as the substrate support 314 and the showerhead assembly 304 and exits the chamber via multiple exhaust ports 309 which are disposed around the annular exhaust channel 305.
  • The chemical delivery module 216 supplies chemicals to the MOCVD chamber 203. Reactive gases, carrier gases, purge gases, and cleaning gases are supplied from the chemical delivery system through supply lines and into the chamber 203. In one embodiment, the gases are supplied through supply lines and into a gas mixing box where they are mixed together and delivered to showerhead 304. In another embodiment, the gases are delivered to the showerhead 304 through separate supply lines and mixed within the chamber 203. Generally supply lines for each of the gases include shut-off valves that can be used to automatically or manually shut-off the flow of the gas into its associated line, and mass flow controllers or other types of controllers that measure the flow of gas or liquid through the supply lines. Supply lines for each of the gases may also include concentration monitors for monitoring precursor concentrations and providing real time feedback, backpressure regulators may be included to control precursor gas concentrations, valve switching control may be used for quick and accurate valve switching capability, moisture sensors in the gas lines measure water levels and can provide feedback to the system software which in turn can provide warnings/alerts to operators. The gas lines may also be heated to prevent precursors and cleaning gases from condensing in the supply lines. Depending upon the process used some of the sources may be liquid rather than gas. When liquid sources are used, the chemical delivery module includes a liquid injection system or other appropriate mechanism (e.g. a bubbler) to vaporize the liquid. Vapor from the liquids is then usually mixed with a carrier gas as would be understood by a person of skill in the art.
  • Remote plasma system 326 can produce plasma for selected applications, such as chamber cleaning or etching residue from a process substrate. In one embodiment, the remote plasma system 326 is a remote microwave plasma system. Plasma species produced in the remote plasma system 326 from precursors supplied via an input line are sent via a conduit for dispersion through the showerhead assembly 304 to the MOCVD chamber 203. Precursor gases for a cleaning application may include chlorine containing gases, fluorine containing gases, iodine containing gases, bromine containing gases, nitrogen containing gases, and/or other reactive elements. Remote plasma system 326 may also be adapted to deposit CVD layers flowing appropriate deposition precursor gases into remote plasma system 326 during a layer deposition process. In one embodiment, the remote plasma system 326 is used to deliver active nitrogen species to the processing volume 308.
  • The temperature of the walls of the MOCVD chamber 203 and surrounding structures, such as the exhaust passageway, may be further controlled by circulating a heat-exchange liquid through channels (not shown) in the walls of the chamber. The heat-exchange liquid can be used to heat or cool the chamber walls depending on the desired effect. For example, hot liquid may help maintain an even thermal gradient during a thermal deposition process, whereas a cool liquid may be used to remove heat from the system during an in-situ plasma process, or to limit formation of deposition products on the walls of the chamber. The showerhead assembly 304 may also have heat exchanging passages (not shown). Typical heat-exchange fluids water-based ethylene glycol mixtures, oil-based thermal transfer fluids, or similar fluids. This heating, referred to as heating by the “heat exchanger”, beneficially reduces or eliminates condensation of undesirable reactant products and improves the elimination of volatile products of the process gases and other contaminants that might contaminate the process if they were to condense on the walls of cool vacuum passages and migrate back into the processing chamber during periods of no gas flow.
  • It should be understood that the MOCVD chamber 203 may be modified to accommodate and process substrates in an in-line conveyor processing system, such as processing system 200, by modifying the chamber to include a conveyor.
  • FIG. 4 is a schematic cross-sectional view of one embodiment of a hydride vapor phase epitaxy (HVPE) apparatus 400 for fabricating compound nitride semiconductor devices according to embodiments described herein. The apparatus includes a chamber 402 enclosed by a lid 404. Processing gas from a first gas source 410 is delivered to the chamber 402 through a gas distribution showerhead 406. In one embodiment, the first gas source 410 may comprise a nitrogen containing compound. In another embodiment, the first gas source 410 may comprise ammonia. In one embodiment, an inert gas such as helium or diatomic nitrogen may be introduced as well either through the gas distribution showerhead 406 or through the walls 408 of the chamber 402. An energy source 412 may be disposed between the gas source 410 and the gas distribution showerhead 406. In one embodiment, the energy source 412 may comprise a heater. The energy source 412 may break up the gas from the gas source 410, such as ammonia, so that the nitrogen from the nitrogen containing gas is more reactive.
  • To react with the gas from the first source 410, precursor material may be delivered from one or more second sources 418. The one or more second sources 418 may comprise precursors such as gallium and aluminum. It is to be understood that while reference will be made to two precursors, more or less precursors may be delivered as discussed above. In one embodiment, the precursor comprises gallium present in the precursor source 418 in liquid form. In another embodiment, the precursor comprises aluminum present in the precursor source 418 in solid form. In one embodiment, the aluminum precursor may be in solid, powder form. The precursor may be delivered to the chamber 402 by flowing a reactive gas over and/or through the precursor in the precursor source 418. In one embodiment, the reactive gas may comprise a chlorine containing gas such as diatomic chlorine. The chlorine containing gas may react with the precursor source such as gallium or aluminum to form a chloride. In one embodiment, the one or more second sources 418 may comprise eutectic materials and their alloys. In another embodiment, the HVPE apparatus 400 may be arranged to handle doped sources as well as at least one intrinsic source to control the dopant concentration.
  • In order to increase the effectiveness of the chlorine containing gas to react with the precursor, the chlorine containing gas may snake through the source boat 434 in the chamber 432 and be heated with the resistive heater 420. By increasing the residence time that the chlorine containing gas is snaked through the chamber 432, the temperature of the chlorine containing gas may be controlled. By increasing the temperature of the chlorine containing gas, the chlorine may react with the precursor faster. In other words, the temperature is a catalyst to the reaction between the chlorine and the precursor.
  • In order to increase the reactiveness of the precursor, the precursor may be heated by a resistive heater 420 within the second chamber 432 in the source boat 434. For example, in one embodiment, the gallium precursor may be heated to a temperature of between about 750 degrees Celsius to about 850 degrees Celsius. The chloride reaction product may then be delivered to the chamber 402. The reactive chloride product first enters a tube 422 where it evenly distributes within the tube 422. The tube 422 is connected to another tube 424. The chloride reaction product enters the second tube 424 after it has been evenly distributed within the first tube 422. The chloride reaction product then enters into the chamber 402 where it mixes with the nitrogen containing gas to form a nitride layer on the substrate 416 that is disposed on a susceptor 414. In one embodiment, the susceptor 414 may comprise silicon carbide. The nitride layer may comprise gallium nitride or aluminum nitride for example. The other reaction product, such as nitrogen and chlorine, is exhausted through an exhaust 426.
  • The chamber 402 may have a thermal gradient that can lead to a buoyancy effect. For example, the nitrogen based gas is introduced through the gas distribution showerhead 406 at a temperature between about 450 degrees Celsius and about 550 degrees Celsius. The chamber walls 408 may have a temperature of about 600 degrees Celsius to about 700 degrees Celsius. The susceptor 414 may have a temperature of about 1,050 to about 1,150 degrees Celsius. Thus, the temperature difference within the chamber 402 may permit the gas to rise within the chamber 402 as it is heated and then fall as it cools. The raising and falling of the gas may cause the nitrogen gas and the chloride gas to mix. Additionally, the buoyancy effect will reduce the amount of gallium nitride or aluminum nitride that deposits on the walls 408 because of the mixing.
  • The heating of the processing chamber 402 is accomplished by heating the susceptor 414 with a lamp module 428 that is disposed below the susceptor 414. During deposition, the lamp module 428 is the main source of heat for the processing chamber 402. While shown and described as a lamp module 428, it is to be understood that other heating sources may be used. Additional heating of the processing chamber 402 may be accomplished by use of a heater 430 embedded within the walls 408 of the chamber 402. The heater 430 embedded in the walls 408 may provide little if any heat during the deposition process. A thermocouple may be used to measure the temperature inside the processing chamber. Output from the thermocouple may be fed back to a controller that controls the heating of the heater 430 based upon the reading from the thermocouple. For example, if the chamber is too cool, the heater 430 will be turned on. If the chamber is too hot, the heater 430 will be turned off. Additionally, the amount of heating from the heater 430 may be controlled such that a low amount of heat is provided from the heater 430.
  • After the deposition process, the substrate 416 is normally taken out of the processing chamber 402. The lamp module 428 is turned off. Without the heat from the lamp module 428, the chamber 402 may rapidly cool. The gallium nitride or aluminum nitride that may have deposited on the walls 408 may have a different coefficient of thermal expansion than the walls 408 themselves. Thus, the gallium nitride or the aluminum nitride may flake off due to thermal expansion. To prevent undesired flaking, the heater 430 embedded within the chamber walls 408 may be turned on to control the thermal expansion and maintain the chamber 402 at the desired chamber temperature. The control of the heater 430 may again be based upon real time feedback from the thermocouple. Once the lamp module 428 is turned off, the heater 430 may be turned on or up to maintain the temperature of the chamber 402 at the desired temperature so that gallium nitride or aluminum nitride may not flake off and contaminate the substrate or land on the susceptor 414 and create an uneven susceptor 414 surface. By maintaining the chamber walls 408 at an elevated temperature, the chlorine will be more effective in cleaning the depositions from the chamber walls 408.
  • Exemplary Cleaning Process:
  • FIG. 5 is a flow diagram of one embodiment of a cleaning process 500 that may be used for cleaning substrate processing chambers. As shown in FIG. 5, after deposition of one or more Group-III containing layers over a substrate or other type of substrate processing (block 510) occurs in a substrate processing chamber, the substrate is transferred out of the substrate processing chamber (block 520). In one embodiment, it may be desirable to clean the carrier plate 211 along with the chamber. For example, after the carrier plate 211 is removed from the MOCVD chamber 203, the substrates 340 are removed from the carrier plate 211 and the carrier plate is re-inserted into the MOCVD chamber 203 for cleaning along with the MOCVD chamber 203. In one embodiment, after the carrier plate 211 is removed from the MOCVD chamber 203 the carrier plate 211 is inserted into the HVPE chamber 400 for cleaning. Next an in-situ chamber clean is performed with a halogen containing gas (block 530) to remove unwanted deposition on the interior surfaces of the substrate processing chamber followed by an optional post in-situ clean chamber treatment (block 540).
  • FIG. 6 is a flow diagram of one embodiment of an in-situ cleaning process 600 that may be used for cleaning substrate processing chambers. In one embodiment, the in-situ cleaning process 600 depicted in FIG. 6 may be performed as the in-situ chamber clean with halogen gas performed in block 530 of FIG. 5.
  • As shown in FIG. 6, block 610, a cleaning gas is flowed into a processing chamber. The cleaning gas may any suitable halogen containing gas. Suitable halogen containing gases include fluorine containing gases, chlorine containing gases, bromine containing gases, iodine containing gases, other reactive elements, and combinations thereof. In one embodiment, the cleaning gas may comprise at least one of Cl2, Br2, I2, F2, and NF3. In one embodiment, the cleaning gas is chlorine gas (Cl2). In one embodiment, the processing chamber is an MOCVD chamber similar to the chamber 203.
  • In certain embodiments, the flow rates in the present disclosure are expressed as sccm per interior chamber volume. The interior chamber volume is defined as the volume of the interior of the chamber in which a gas can occupy. For example, the interior chamber volume of chamber 203 is the volume defined by the chamber body 212 minus the volume occupied therein by the showerhead assembly 304 and by the substrate support assembly 314. In one embodiment, the cleaning gas may be flowed into the chamber at a flow rate of about 500 sccm to about 10,000 sccm. In one embodiment, the cleaning gas is flowed into the chamber at a flow rate from about 1,000 sccm to about 4,000 sccm. In one embodiment, the cleaning gas is flowed into the chamber at a flow rate of about 2,000 sccm. In one embodiment, the cleaning gas may be flowed into the chamber at a flow rate of about 12.5 sccm/L to about 250 sccm/L. In one embodiment, the cleaning gas is flowed into the chamber at a flow rate from about 25 sccmL to about 100 sccm/L. In one embodiment, the cleaning gas is flowed into the chamber at a flow rate of about 50 sccm/L.
  • In one embodiment, the cleaning gas may be co-flowed with a carrier gas. The carrier gas may be one or more gases selected from the group of argon, nitrogen, hydrogen, helium, neon, xenon, and combinations thereof. In one embodiment, the carrier gas is flowed into the chamber at a flow rate from about 500 sccm to about 3,000 sccm. In one embodiment, the carrier gas is flowed into the chamber at a flow rate of from about 1,000 sccm to about 2,000 sccm. In one embodiment, the carrier gas is flowed into the chamber at a flow rate from about 12.5 sccm/L to about 75 sccm/L. In one embodiment, the carrier gas is flowed into the chamber at a flow rate of from about 25 sccm/L to about 50 sccm/L. In one embodiment, a total pressure of the chamber is from about 5 Torr to about 500 Torr. In one embodiment, the total pressure of the chamber is from about 50 Torr to about 200 Torr. Lower pressure is generally favored to keep GaCl3 in gaseous phase.
  • In one embodiment, a temperature of the susceptor is from about 600° C. to about 700° C. In one embodiment, the temperature of the susceptor is about 650° C. In one embodiment, a temperature of the showerhead is from about 100° C. to about 200° C. The cleaning gas may be flowed into the processing chamber for a time period of about 2 minutes to about 10 minutes. In one embodiment, the cleaning gas may be flowed into the processing chamber for a time period of about 5 minutes. It should be understood that several cycles of cleaning may apply with an optional purge process performed in between cleaning cycles. The time period of cleaning gas flow should be generally long enough to remove gallium containing deposits, such as gallium and GaN deposits, from the surface of the chamber and the surface of the chamber components including the showerhead. In one embodiment, a carrier gas may be flown in conjunction with the cleaning gas. The carrier gas may be one or more gases selected from the group of argon, nitrogen (N2), helium, neon, and xenon, among others. In one embodiment, the cleaning gas is a plasma containing cleaning gas. The plasma may be in-situ plasma or ex-situ plasma. In embodiments where plasma is used, the temperature during the cleaning process may be much lower.
  • Referring to block 620, after the flow or pulse of the cleaning gas has ceased, the processing chamber is purged/evacuated to remove cleaning by-products generated during the cleaning process. The purge gas may be one or more purge gases selected from the group of argon, nitrogen, hydrogen, helium, neon, xenon, and combinations thereof may also be included. In one embodiment, the purge gas may be identical to the optional carrier gas of block 610. In one embodiment, the processing chamber is purged by providing a purge gas at a flow rate of about 1,000 sccm to about 7,000 sccm. In one embodiment, the purge gas is provided to the processing chamber at a flow rate from about 2,000 sccm to about 4,000 sccm. In one embodiment, the chamber may be maintained at a total chamber pressure from about 0.5 Torr to about 10 Torr. In one embodiment, the total pressure of the chamber may be about 5 Torr. In one embodiment, a temperature of the susceptor is from about 600° C. to about 1,000° C. In one embodiment, the temperature of the susceptor is about 900° C. In one embodiment, a temperature of the showerhead is less than 100° C. In one embodiment, the purge gas may be flowed into the processing chamber for a time period of about 4 to 5 minutes. The time period of purge gas flow should be generally long enough to remove by-products of the cleaning process of block 610 from the processing chamber.
  • Alternatively, or in addition to introducing the purge gas, the process chamber may be depressurized in order to remove the residual cleaning gas as well as any by-products from the processing chamber. The depressurization process may result in the chamber pressure being reduced to a pressure in the range of about 0.001 Torr to about 40 Torr within a time period of about 0.5 seconds to about 20 seconds.
  • In embodiments where a carrier gas is used in conjunction with the cleaning gas in block 610, the purge process of block 620 may be performed by ceasing the flow of the cleaning gas while continuing to flow the carrier gas. Thus allowing the carrier gas to function as the purge gas in the purge process of block 620.
  • As shown in block 630, after the processing chamber is purged/evacuated at block 620 a cleaning gas is optionally flowed into the processing chamber. The cleaning gas may include halogen containing gases as described above. In one embodiment, the cleaning gas is chlorine gas (Cl2). In one embodiment, the cleaning gas in block 630 is identical to the cleaning gas used in block 610. In another embodiment, the cleaning gases used in block 610 and block 630 are different cleaning gases.
  • In one embodiment, the cleaning gas may be flowed into the chamber at a flow rate from about 1,000 sccm to about 10,000 sccm. In one embodiment, the cleaning gas may be flowed into the chamber at a flow rate from about 3,000 sccm to about 5,000 sccm. In one embodiment, the cleaning gas may be flowed into the processing chamber at a flow rate of about 4,000 sccm. In one embodiment, the cleaning gas may be flowed into the chamber at a flow rate from about 25 sccm/L to about 250 sccm/L. In one embodiment, the cleaning gas may be flowed into the chamber at a flow rate from about 75 sccm/L to about 125 sccm/L. In one embodiment, the cleaning gas may be flowed into the processing chamber at a flow rate of about 100 sccm/L. As discussed above, a carrier gas may optionally be co-flowed in conjunction with the cleaning gas. The carrier gas may be one or more gases selected from the group of argon, nitrogen, hydrogen, helium, neon, xenon, and combinations thereof. In one embodiment, the carrier gas is flowed into the chamber at a flow rate from about 25 sccm/L to about 125 sccm/L. In one embodiment, the carrier gas is flowed into the chamber at a flow rate from about 2,000 sccm to about 3,000 sccm. In one embodiment, the carrier gas is flowed into the chamber at a flow rate from about 50 sccm/L to about 75 sccm/L. In one embodiment, the chamber may be maintained at a total chamber pressure of about 300 Torr to about 700 Torr. In one embodiment, the chamber may be maintained at a total chamber pressure of about 600 Torr. In one embodiment, a temperature of the susceptor is about 400° C. to about 600° C. In one embodiment, the temperature of the susceptor is about 420° C. In one embodiment, a temperature of the showerhead is greater than 200° C. In one embodiment, the showerhead temperature is greater than 260° C., for example, from about 260° C. to about 400° C. The cleaning gas may be flowed into the processing chamber for a time period of about 2 minutes to about 10 minutes. In one embodiment, the cleaning gas may be flowed into the processing chamber for a time period of about 3 minutes.
  • As shown in block 640, after flowing the cleaning gas into the processing chamber, an optional soak process may be performed. During the soak process, the flow of cleaning gas may be reduced while the susceptor temperature, showerhead temperature, and the chamber pressure may be maintained. In one embodiment, the flow rate of the cleaning gas may be reduced relative to the flow rate in block 630 to between about 250 sccm to about 1,000 sccm. In one embodiment, the flow rate of the cleaning gas may be reduced to about 500 sccm. In one embodiment, the flow rate of the cleaning gas may be reduced relative to the flow rate in block 630 to between about 6.25 sccm/L to about 25 sccm/L. In one embodiment, the flow rate of the cleaning gas may be reduced to about 12.5 sccm/L. In one embodiment, a total pressure of the chamber is from about 300 Torr to about 700 Torr. In one embodiment, the total pressure of the chamber is about 600 Torr. In one embodiment, the susceptor temperature is from about 400° C. to about 600° C. In one embodiment, the susceptor temperature is about 420° C. In one embodiment, a temperature of the showerhead is greater than 180° C. In one embodiment, the showerhead temperature is greater than 260° C., for example, from about 260° C. to about 400° C. The soak process may be performed for a time period of about 1 minute to about 5 minutes. In one embodiment, the soak process may be performed for a time period of about 2 minutes.
  • Referring to block 650, after the optional soak process, the processing chamber may be purged/evacuated to remove cleaning by-products generated during the soak and cleaning processes. The purge gas may be one or more purge gases selected from the group of argon, nitrogen, hydrogen, helium, neon, xenon, and combinations thereof. In one embodiment, the purge gas may be identical to the optional carrier gas of block 640. In one embodiment, the processing chamber is purged by providing a purge gas at a flow rate of about 1,000 sccm to about 4,000 sccm. In one embodiment, the purge gas may be flowed into the processing chamber at a flow rate of about 3,000 sccm. Optionally, during the purge process the cleaning gas may be flowed into the chamber at a flow rate from about 2,000 sccm to about 6,000 sccm. In one embodiment, the cleaning gas may be flowed into the chamber at a flow rate of about 4,000 sccm. In one embodiment, the processing chamber is purged by providing a purge gas at a flow rate of about 25 sccm/L to about 100 sccm/L. In one embodiment, the purge gas may be flowed into the processing chamber at a flow rate of about 75 sccm/L. Optionally, during the purge process the cleaning gas may be flowed into the chamber at a flow rate from about 50 sccm/L to about 150 sccm/L. In one embodiment, the cleaning gas may be flowed into the chamber at a flow rate of about 100 sccm/L. In one embodiment, the cleaning gas is co-flowed with the purge gas. In one embodiment, the total chamber pressure is from about 0.5 Torr to about 10 Torr. In one embodiment, the total chamber pressure is about 5 Torr. In one embodiment, the purge gas may be flowed into the processing chamber for a time period of about 5 minutes. The time period of purge gas flow should be generally long enough to remove by-products of the cleaning process of block 630 and the soak process of block 640 from the processing chamber.
  • In one embodiment, either or both of the purge processes of block 620 and block 650 may be performed with a nitrogen containing gas such as ammonia (NH3) at an elevated temperature (>1,000° C.) to reduce the amount of residual GaCl3 in the processing chamber after the cleaning process. Optionally, a chamber bake process may be performed in a nitrogen containing and/or hydrogen containing atmosphere at a high temperature from about 950° C. to about 1,050° C. at a low pressure from about 0.001 Torr to about 5 Torr to ensure that any residual deposition from the chamber clean process leave the chamber completely. Other aspects of exemplary cleaning processes are described in U.S. patent application Ser. No. 12/244,440, titled METHOD FOR DEPOSITING GROUP III/V COMPOUNDS, filed Oct. 2, 2008, now published as US 2009-0149008, which is hereby incorporated by reference in its entirety.
  • FIG. 6B is a flow diagram of one embodiment of an in-situ pulse cleaning process 660 that may be used for cleaning substrate processing chambers. In one embodiment, the in-situ pulse cleaning process 660 depicted in FIG. 6 may be performed as the in-situ chamber clean with halogen gas performed in block 530 of FIG. 5.
  • The in-situ pulse cleaning process 660 shown in FIG. 6B is similar to the cleaning process 600 shown in FIG. 6A except that the initial purge/evacuation of the substrate processing chamber (block 620) is followed by a pulse/purge process (blocks 670 and 680) to remove unwanted reaction by-products from the substrate processing chamber. In embodiments where the cleaning gas is chlorine, the chlorine gas reacts with the solid material of Gallium Nitride (GaN) deposited onto the interior surfaces of the chamber. Gallium tri-chloride (GaCl3) gas is formed as one of the by-products. GaCl3 is prone to condense on the interior surfaces of the substrate processing chamber. In addition, the condensed GaCl3 serves as a passivation layer on top of any GaN film deposited on the interior surfaces of the chamber, thereby prohibiting the further etching of GaN material, rendering certain in-situ clean processes ineffective.
  • During the method of pulse cleaning, a halogen containing gas, such as Cl2, is introduced into the substrate processing chamber for a short time span. The short time span limits the amount of GaCl3 by-product generation since only a thin layer of GaN is etched and thus formation of the GaCl3 passivation layer is avoided. A purge process immediately follows to remove the GaCl3 from the reactor before it condenses onto any surface. The pulse/purge sequence may be repeated as many times as desired or until the GaN film on the reactor surface is completed etched away.
  • As shown in block 670, after the processing chamber is purged/evacuated at block 620 a cleaning gas is pulsed into the processing chamber. The cleaning gas may include halogen containing gases as described above. In one embodiment, the cleaning gas is chlorine gas (Cl2). In one embodiment, the cleaning gas in block 670 is identical to the cleaning gas used in block 610. In another embodiment, the cleaning gases used in block 610 and block 670 are different cleaning gases.
  • In one embodiment, the cleaning gas may be pulsed into the chamber at a flow rate from about 500 sccm to about 10,000 sccm. In one embodiment, the cleaning gas may be pulsed into the chamber at a flow rate from about 500 sccm to about 1,500 sccm. In one embodiment, the cleaning gas may be pulsed into the processing chamber at a flow rate of about 700 sccm. In one embodiment, the cleaning gas may be pulsed into the chamber at a flow rate from about 12.5 sccm/L to about 250 sccm/L. In one embodiment, the cleaning gas may be pulsed into the chamber at a flow rate from about 12.5 sccm/L to about 37.5 sccm/L. In one embodiment, the cleaning gas may be pulsed into the processing chamber at a flow rate of about 17.5 sccm/L. In one embodiment, the cleaning gas may be pulsed into the processing chamber for a time period of about 5 seconds to about 1 minute. In one embodiment, the cleaning gas may be pulsed into the processing chamber for a time period of about 30 seconds.
  • As discussed above, a carrier gas may optionally be pulsed in conjunction with the cleaning gas. The carrier gas may be one or more gases selected from the group of argon, nitrogen, hydrogen, helium, neon, xenon, and combinations thereof. In one embodiment, the carrier gas is pulsed into the chamber at a flow rate from about 0 sccm to about 5,000 sccm. In one embodiment, the carrier gas is flowed into the chamber at a flow rate from about 2,000 sccm to about 3,000 sccm. In one embodiment, the carrier gas is pulsed into the chamber at a flow rate from about 0 sccm/L to about 125 sccm/L. In one embodiment, the carrier gas is flowed into the chamber at a flow rate from about 50 sccm/L to about 75 sccm/L. In one embodiment, the chamber may be maintained at a total chamber pressure of about 10 Torr to about 700 Torr. In one embodiment, the chamber may be maintained at a total chamber pressure of between about 0.5 Torr and about 50 Torr. In one embodiment, a temperature of the susceptor is greater than 500° C. In one embodiment, a temperature of the susceptor is about 550° C. to about 700° C. In one embodiment, the temperature of the susceptor is about 650° C. In one embodiment, a temperature of the showerhead is greater than 180° C. In one embodiment, the showerhead temperature is greater than 260° C., for example, from about 260° C. to about 400° C.
  • Referring to block 680, after pulsing the cleaning gas in block 670, the processing chamber may be purged/evacuated to remove cleaning by-products generated during the pulsed cleaning processes. The purge gas may be one or more purge gases selected from the group of argon, nitrogen, hydrogen, helium, neon, xenon, and combinations thereof. In one embodiment, the purge gas may be identical to the optional carrier gas of block 640. In one embodiment, the processing chamber is purged by providing a pulse of purge gas at a flow rate of about 100 sccm to about 4,000 sccm. In one embodiment, the purge gas may be pulsed into the processing chamber at a flow rate of about 500 sccm. In one embodiment, the processing chamber is purged by providing a pulse of purge gas at a flow rate of about 2.5 sccm/L to about 100 sccm/L. In one embodiment, the purge gas may be pulsed into the processing chamber at a flow rate of about 12.5 sccm/L. In one embodiment, the total chamber pressure is from about 0.5 Torr to about 50 Torr. In one embodiment, the total chamber pressure is about 10 Torr. The purge/evacuation may be performed for a time period of about 5 seconds to about 1 minute. In one embodiment, the purge/evacuation may be performed for a time period of about 30 seconds. The time period of purge/evacuation flow should be generally long enough to remove by-products of the cleaning process of block 670 from the processing chamber.
  • At block 690, it is determined whether additional pulse/purge cleaning cycles are needed. It should be understood that several pulse/purge cycles of cleaning may apply. If it is determined that additional pulse/purge cleaning cycles are needed, the processes of blocks 670 and 680 may be repeated. In one embodiment, between 10 and 200 pulse/purge cleaning cycles may be performed. In one embodiment, between 50 and 100 pulse/purge cleaning cycles may be performed. The number of cleaning cycles is generally dependent on the thickness of the material deposited on the chamber components during the deposition process. The pulse/purge sequence may be repeated as many times as desired or until the GaN film on the reactor surface is completely etched away. In certain embodiments, about 0.0001 μm/cleaning cycle to about 0.005 μm/cleaning cycle of GaN is removed from the chamber. In one embodiment, 0.003 μm/cleaning cycle is removed from the chamber.
  • During the final purge of the pulse/purge cleaning cycle a longer purge process similar to the purge process of block 650 may be performed to remove any remaining reaction by-products from the chamber.
  • FIG. 7 is a flow diagram of one embodiment of an in-situ cleaning process 700 that may be used for cleaning substrate processing chambers. In one embodiment, the in-situ cleaning process 700 depicted in FIG. 7 may be performed as the in-situ chamber clean with halogen gas performed in block 530 of FIG. 5.
  • At block 710, the processing chamber is purged/evacuated to remove unwanted reaction by-products formed during the deposition process. In one embodiment, the processing chamber is an MOCVD chamber similar to the MOCVD chamber 203. The purge gas may be one or more purge gases selected from the group of argon, nitrogen, hydrogen, helium, neon, xenon, and combinations thereof. In one embodiment, the processing chamber is purged by providing a purge gas at a flow rate of about 1,000 sccm to about 30,000 sccm. In one embodiment, the purge gas is provided to the processing chamber at a flow rate from about 15,000 sccm to about 20,000 sccm. In one embodiment, the processing chamber is purged by providing a purge gas at a flow rate of about 25 sccm/L to about 750 sccm/L. In one embodiment, the purge gas is provided to the processing chamber at a flow rate from about 375 sccm/L to about 500 sccm/L. In one embodiment, the chamber may be maintained at a total chamber pressure from about 0.5 Torr to about 150 Torr. In one embodiment, the total pressure of the chamber may be about 100 Torr. In one embodiment, the total chamber pressure may be varied throughout the purge process. In one embodiment, a power of from about 5 kW to about 20 kW is supplied through the susceptor. In one embodiment, the power supplied through the susceptor is about 10 kW. In one embodiment, a temperature of the showerhead is less than 100° C. In one embodiment, the temperature of the showerhead is maintained at about 80° C. In one embodiment, the purge process may last for a time period of between about 30 seconds and about 5 minutes. In one embodiment, the purge process may last for about 90 seconds. The time period of purge gas flow should be generally long enough to remove by-products remaining from the deposition process. It should be understood that several cycles of cleaning may apply with an optional purge process performed in between cleaning cycles. In one embodiment, between two and ten purge cycles may be performed.
  • Alternatively, or in addition to introducing the purge gas, the processing chamber may be depressurized in order to remove the residual cleaning gas as well as any by-products from the processing chamber. The depressurization process may result in the chamber pressure being reduced to a pressure in the range of about 0.001 Torr to about 40 Torr. In one embodiment, the depressurization may last for a time period of about 0.5 seconds to about 20 seconds.
  • At block 720 it is determined whether multiple cycles of purge/evacuation are needed to remove reaction by-products from the processing chamber. If additional cycles are needed, the purge/evacuation process of block 710 is repeated until a desired level of reaction by-products are removed from the processing chamber.
  • In certain embodiments, a temperature ramp process is performed after the purge/evacuation process of block 720 and prior to the cleaning gas etch 730A or the cleaning gas plasma etch at block 730B. In one embodiment, the temperature of the susceptor may be ramped to a temperature greater than 500° C. In one embodiment, the temperature of the susceptor may be ramped to between about 550° C. to about 700° C. In one embodiment, the temperature of the susceptor may be ramped to about 650° C. The increased temperature of the susceptor helps form reactive radicals of the halogen gas. In one embodiment the temperature ramp process may be performed for a time period from about 15 seconds to about 3 minutes. In embodiments where a plasma source is used, the temperature of the susceptor may be lower since active halogen gas is formed during the plasma process.
  • Next either a cleaning gas etch at block 730A or a cleaning gas plasma etch at block 730B are performed. The cleaning gas etch of block 730A and the cleaning gas plasma etch of block 730B may include any suitable halogen containing gas as described herein. In one embodiment, the cleaning gas is chlorine gas (Cl2). In embodiments where the cleaning gas is chlorine gas, the chlorine radicals formed by interaction with the heated susceptor will interact with GaN and Ga deposits on the interior surfaces of the chamber. The GaN and Ga deposits are converted to GaCl3 during this chlorination process according to the following reactions (1) and (2) which may then be purged from the chamber.

  • 2Ga+3Cl2→2GaCl3  (1)

  • 2GaN+3Cl2→2GaCl3+N2  (2)
  • In one embodiment, the cleaning gas etch begins with a high pressure process. High pressure helps increase the reaction rate between the cleaning gas and the unwanted deposition products such as gallium and gallium nitride in the chamber. In one embodiment, a total pressure of the chamber is from about 5 Torr to about 500 Torr. In one embodiment, the total pressure of the chamber is from about 50 Torr to about 100 Torr. In one embodiment, the total pressure in the chamber is about 100 Torr. A higher pressure, such as 100 Torr, helps increase the reaction rate between the cleaning gas and the contaminants such as gallium in the chamber.
  • During the high pressure process, the cleaning gas may be flowed into the chamber at a flow rate of from about 500 sccm to about 10,000 sccm. In one embodiment, the cleaning gas is flowed into the chamber at a flow rate from about 1,000 sccm to about 4,000 sccm. In one embodiment, the cleaning gas is flowed into the chamber at a flow rate of about 2,000 sccm. In one embodiment, during the high pressure process, the cleaning gas may be flowed into the chamber at a flow rate of from about 12.5 sccm/L to about 250 sccm/L. In one embodiment, the cleaning gas is flowed into the chamber at a flow rate from about 25 sccm/L to about 100 sccm/L. In one embodiment, the cleaning gas is flowed into the chamber at a flow rate of about 50 sccm/L.
  • In one embodiment, the cleaning gas may be co-flowed with a carrier gas. The carrier gas may be one or more gases selected from the group of argon, nitrogen, hydrogen, helium, neon, xenon, and combinations thereof. In one embodiment, the carrier gas is flowed into the chamber at a flow rate from about 500 sccm to about 3,000 sccm. In one embodiment, the carrier gas is flowed into the chamber at a flow rate of from about 1,000 sccm to about 2,000 sccm. In one embodiment, the carrier gas is flowed into the chamber at a flow rate from about 12.5 sccm/L to about 75 sccm/L. In one embodiment, the carrier gas is flowed into the chamber at a flow rate of from about 25 sccm/L to about 50 sccm/L. In one embodiment the high pressure process may be performed for a time period from about two minutes to about 10 minutes.
  • The flow rate of cleaning gas may be increased during a flow rate ramping process. In one embodiment, where the flow rate of cleaning gas during the high pressure process is about 2,000 sccm, the flow rate of cleaning gas may be increased to about 4,000 sccm during the flow rate ramping process. In one embodiment, where the flow rate of cleaning gas during the high pressure process is about 50 sccm/L, the flow rate of cleaning gas may be increased to about 100 sccm/L during the flow rate ramping process. In one embodiment, the cleaning gas flow rate ramping process is performed in conjunction with the high pressure process. In another embodiment, the cleaning gas flow rate ramping process is performed after the high pressure process. In one embodiment the flow rate ramping process may be performed for a time period from about 15 seconds to about 3 minutes.
  • At block 730B, in processes where a cleaning gas plasma etch is performed, a halogen gas, such as a chlorine gas plasma may be generated for cleaning/deposition processes. In one embodiment, the plasma may be in-situ plasma. In another embodiment, the plasma may be ex-situ plasma. As described herein, a remote plasma generator may be included as part of the MOCVD chamber hardware. For some embodiments, chlorine gas or plasma may be delivered from above a top plate or delivered through tubes that deliver a Ga-containing precursor. The type of plasma that could be utilized is not limited exclusively to chlorine, but may include fluorine, iodine, or bromine. The source gases used to generate plasma may be halogens, such as Cl2, Br2, F2, or I2, or may be gases that contain Group V elements (e.g., N, P, or As), such as NF3.
  • At block 740, the chamber pressure is lowered to enhance the rate of evaporation. In one embodiment, the chamber pressure is lowered to from about 1 mTorr to about 5 Torr. In embodiments where chlorine is used as the cleaning gas, lower pressure is generally favored to keep GaCl3 in gaseous phase. In one embodiment, the chamber pressure is partially or completely lowered during the flow rate ramping process. In another embodiment, the chamber pressure is lowered subsequent to the flow rate ramping process. In one embodiment the chamber pressure lowering process may be performed for a time period from about two minutes and about 10 minutes.
  • At block 750A, another cleaning gas etch process is performed. The cleaning gas etch is performed at a high pressure. In one embodiment, a total pressure of the chamber is from about 5 Torr to about 500 Torr. In one embodiment, the total pressure of the chamber is from about 50 Torr to about 100 Torr. In one embodiment, the total pressure in the chamber is about 100 Torr. The cleaning gas may be flowed into the chamber at a flow rate of about 500 sccm to about 10,000 sccm. In one embodiment, the cleaning gas is flowed into the chamber at a flow rate from about 1,000 sccm to about 4,000 sccm. The cleaning gas may be flowed into the chamber at a flow rate of about 12.5 sccm/L to about 250 sccm/L. In one embodiment, the cleaning gas is flowed into the chamber at a flow rate from about 25 sccm/L to about 100 sccm/L. In one embodiment, the cleaning gas is flowed into the chamber at a flow rate of about 100 sccm/L. In one embodiment, the cleaning gas may be co-flowed with a carrier gas. The carrier gas may be one or more gases selected from the group of argon, nitrogen, hydrogen, helium, neon, xenon, and combinations thereof. In one embodiment, the carrier gas is flowed into the chamber at a flow rate from about 500 sccm to about 3,000 sccm. In one embodiment, the carrier gas is flowed into the chamber at a flow rate of from about 1,000 sccm to about 2,000 sccm. In one embodiment, the carrier gas is flowed into the chamber at a flow rate from about 12.5 sccm/L to about 75 sccm/L. In one embodiment, the carrier gas is flowed into the chamber at a flow rate of from about 25 sccm/L to about 50 sccm/L. In one embodiment, the cleaning gas etch process may be performed for a time period of about 2 minutes to about 10 minutes.
  • At block 750B, in processes where a cleaning gas plasma etch is performed, Cl2 plasma may be generated for cleaning/deposition processes. In one embodiment, the plasma may be in-situ plasma. In another embodiment, the plasma may be ex-situ plasma. The type of plasma that could be utilized is not limited exclusively to chlorine, but may include fluorine, iodine, or bromine. The source gases used to generate plasma may be halogens, such as Cl2, Br2, F2, or I2, or may be gases that contain Group V elements (e.g., N, P, or As), such as NF3.
  • In one embodiment, the temperature of the susceptor established during the temperature ramp process may be maintained throughout the process of blocks 730A, 730B, 740, 750A, and 750B. In one embodiment, a temperature of the susceptor is greater than about 500° C. In one embodiment, a temperature of the susceptor is from about 550° C. to about 700° C. In one embodiment, the temperature of the susceptor is about 650° C. In one embodiment, a temperature of the showerhead is from about 50° C. to about 200° C. In one embodiment a temperature of the showerhead is from about 80° C. to about 100° C. In one embodiment, the cleaning process of blocks 730A, 730B, 740, 750A, and 750B may last for a time period generally long enough to remove gallium containing deposits, such as gallium and GaN deposits, from the surface of the chamber and the surface of the chamber components including the showerhead.
  • At block 760, it is determined whether additional cleaning cycles are needed. It should be understood that several cycles of cleaning may apply with an optional purge process performed in between cleaning cycles. If it is determined that additional cleaning cycles are needed, the processes of blocks 730A, 730B, 740, 750A, and 750B may be repeated. In one embodiment, between 3 and 10 cleaning cycles may be performed. The number of cleaning cycles is generally dependent on the thickness of the material deposited on the chamber components during the deposition process.
  • At block 770, the processing chamber is purged/evacuated to remove cleaning by-products formed during the cleaning process. As described above, the purge gas may be one or more purge gases selected from the group of argon, nitrogen, hydrogen, helium, neon, xenon, and combinations thereof may also be included. In one embodiment, the processing chamber is purged by providing a purge gas at a flow rate of about 1,000 sccm to about 30,000 sccm. In one embodiment, the purge gas is provided to the processing chamber at a flow rate from about 15,000 sccm to about 20,000 sccm. In one embodiment, the processing chamber is purged by providing a purge gas at a flow rate of about 25 sccm/L to about 750 sccm/L. In one embodiment, the purge gas is provided to the processing chamber at a flow rate from about 375 sccm/L to about 500 sccm/L. In one embodiment, the chamber may be maintained at a total chamber pressure from about 0.5 Torr to about 150 Torr. In one embodiment, the total pressure of the chamber may be about 100 Torr. In one embodiment, a temperature of the susceptor is greater than about 500° C. In one embodiment, a temperature of the susceptor is from about 550° C. to about 700° C. In one embodiment, the temperature of the susceptor is about 650° C. In one embodiment, a temperature of the showerhead is less than 100° C. In one embodiment, the temperature of the showerhead is maintained at about 80° C. In one embodiment, the purge gas may be flowed into the processing chamber for a time period of between about 30 seconds and about 5 minutes. The time period of purge gas flow should be generally long enough to remove by-products remaining from the cleaning process.
  • FIG. 8 is a flow diagram of one embodiment of an in-situ cleaning process 800 that may be used for cleaning a substrate processing chamber such as an HVPE chamber. In one embodiment, the in-situ cleaning process 800 depicted in FIG. 8 may be performed as the in-situ chamber clean with halogen gas performed in block 530 of FIG. 5. Exemplary embodiments of an HVPE chamber and other aspects of the HVPE chamber are described in U.S. patent application Ser. No. 11/767,520, filed Jun. 24, 2007, now published as US 2008-0314311, entitled HVPE TUBE SHOWERHEAD DESIGN and commonly assigned U.S. patent application Ser. No. 12/637,019, filed Dec. 14, 2009, 2009, entitled HVPE CHAMBER HARDWARE, both of which are herein incorporated by reference in their entirety.
  • In certain embodiments, where the HVPE chamber is a hot wall reactor cycling or low pressure may not be required. At block 810 an in-situ halogen containing cleaning gas etch is performed. The cleaning gas etch may be performed using any suitable cleaning gas described herein. In one embodiment, the cleaning gas etch is performed using chlorine gas. In one embodiment, during the cleaning gas etch process, a temperature of the susceptor is greater than about 500° C. In one embodiment, a temperature of the susceptor is from about 550° C. to about 700° C. In one embodiment, the temperature of the susceptor is about 650° C. In one embodiment, during the cleaning gas etch process, the chamber pressure is maintained from about 400 Torr to about 500 Torr. In one embodiment, the chamber pressure is maintained at about 450 Torr. In one embodiment, during the cleaning gas etch process, the flow rate of the cleaning gas is between about 1,000 sccm and about 5,000 sccm. In one embodiment, during the cleaning gas etch process, the flow rate of the cleaning gas is between about 25 sccm/L and about 125 sccm/L. In one embodiment, the cleaning gas etch is performed for a time period long enough to remove contaminants from the processing chamber. In one embodiment, the length of the cleaning gas etch process may vary between about 15 minutes and about 30 minutes.
  • In one embodiment, a temperature ramp process (block 820) is performed after the cleaning gas etch of block 810 and prior to the optional post in-situ chamber clean process of block 540. In one embodiment, the temperature may be increased from between about 600° C. to about 700° C. to between about 900° C. to about 1,100° C. in preparation for a chamber bake process.
  • With reference to FIG. 5, after the in-situ chamber clean treatment of block 530, an optional post in-situ chamber clean treatment may be performed. In certain embodiments, the purpose of the post in-situ chamber clean treatment is to remove any residual cleaning by-products, e.g., residual chlorine containing compounds such as GaCl3, which remain in the chamber. During the chamber clean with a halogen gas such as chlorine, coatings on the interior surfaces of the chamber are converted to GaCl3 by the reaction with the chlorine-based cleaning gas. Due to the low vapor pressure of GaCl3, GaCl3 condenses onto cold surfaces within the chamber, including water-cooled chamber walls or water cooled gas inlet ports, such as a showerhead. The residual GaCl3 has the potential to release chlorine into layers such as GaN epitaxial layers during growth, which can be detrimental to the material crystal quality, optical, and electrical properties of the layer. The optional post in-situ chamber clean may be selected from the following processes: a low pressure purge, a pump/purge cycle, a chamber bake process, a showerhead flush process, and combinations thereof.
  • In one embodiment, the post in-situ chamber clean treatment is a chamber bake process. The chamber bake process may be performed in a nitrogen and/or hydrogen containing atmosphere at a high temperature from about 900° C. to about 1,100° C. In one embodiment, the temperature is between about 900° C. to about 1,000° C. In one embodiment, the temperature is between about 950° C. to about 1,050° C. In one embodiment, the chamber bake process is performed at a low chamber pressure. In one embodiment, the low chamber pressure is from about 0.001 Torr to about 10 Torr to ensure that any residual deposition from the chamber clean process is removed from the chamber. In one embodiment, the chamber pressure is about 7.5 Torr. In one embodiment, the chamber bake process is performed for a time period long enough to ensure that any residual deposition from the chamber clean process has left the chamber. In one embodiment, the bake time may vary between about 15 minutes and about 1 hour. In embodiments where the halogen containing gas is chlorine, the high temperature bake will remove residual GaCl3 deposition from the chamber.
  • In one embodiment, the chamber bake process may be performed with a nitrogen containing gas such as ammonia (NH3) at an elevated temperature to reduce the amount of residual GaCl3 in the processing chamber after the cleaning process.
  • In one embodiment, the NH3 treatment is performed by flowing about 1,000 sccm to about 10,000 sccm of NH3 to the coated chamber with temperatures>900° C. and pressures from about 100 Torr to about 760 Torr for a time period of, for example, about 30 minutes. In one embodiment, the NH3 treatment is performed by flowing about 25 sccm/L to about 250 sccm/L of NH3 to the coated chamber. In one embodiment, both the NH3 flow rate and the chamber pressure are varied and/or cycled between lower (e.g. 100 Torr) and higher pressure (760 Torr) and/or lower and higher flow rates throughout the NH3 treatment process. The wide range of NH3 flows and chamber pressures generates turbulent flow patterns inside the chamber which increases the efficiency of NH3 reacting with the chamber coating. Not to be bound by theory but is believed that the turbulent flow patterns enhance the kinetic energy of the NH3 gas yielding a higher reaction rate.
  • In certain embodiments, the NH3 chamber treatment may be performed in lieu of or in conjunction with the in-situ chamber cleaning processes described herein.
  • In embodiments where the NH3 chamber treatment is used in lieu of in-situ chamber cleaning, the NH3 chamber treatment stabilizes the unwanted deposition on the interior surfaces of the chamber including the showerhead in a manner such that the crystal and optical quality of subsequently deposited films such as MQWs can be produced at a level of quality equivalent to the quality of films produced prior to chamber contamination. That is, the NH3 chamber treatment enables the production of high quality InGaN MQWs active layers even in the presence of significant coating on the showerhead.
  • Not to be bound by theory but it is believed that flowing NH3 into the chamber at elevated temperatures of from about 900° C. to 1,150° C. breaks ammonia into atomic nitrogen and hydrogen. When atomic nitrogen is generated the atomic nitrogen reacts with Ga-rich coatings to form stable GaN alloys. Once the coatings are stabilized, the coating does not adversely affect the crystal and optical quality of later deposited films. In certain embodiments, the NH3 treatment efficiency may be enhanced by, for example, shortening the treatment time. High pressure and low pressure NH3 flows are essential to generate turbulent flows inside the chamber and increase the chance of interaction between ammonia and the chamber coating.
  • In one embodiment, the post in-situ chamber clean treatment is a pump/purge cycle. The purge gas of the pump/purge cycle may be one or more purge gases selected from the group of argon, nitrogen, hydrogen, helium, neon, xenon, and combinations thereof may also be included. In one embodiment, the processing chamber is purged by providing a purge gas at a flow rate of about 1,000 sccm to about 30,000 sccm. In one embodiment, the purge gas is provided to the processing chamber at a flow rate from about 15,000 sccm to about 20,000 sccm. In one embodiment, the processing chamber is purged by providing a purge gas at a flow rate of about 25 sccm/L to about 750 sccm/L. In one embodiment, the purge gas is provided to the processing chamber at a flow rate from about 375 sccm/L to about 500 sccm/L. In one embodiment, the chamber may be maintained at a total chamber pressure from about 0.5 Torr to about 150 Torr. In one embodiment, the total pressure of the chamber may be about 100 Torr. In one embodiment, a temperature of the susceptor is from about 600° C. to about 1,000° C. In one embodiment, the temperature of the susceptor is about 900° C. In one embodiment, a temperature of the showerhead is less than 100° C. In one embodiment, the temperature of the showerhead is maintained at about 80° C. In one embodiment, the purge gas may be flowed into the processing chamber for a time period of between about 30 seconds and about 5 minutes. The time period of purge gas flow should be generally long enough to remove by-products remaining from the cleaning process.
  • In one embodiment, the post in-situ chamber clean treatment is a low pressure purge in which residual by-products in the chamber are evacuated from the chamber by lowering the pressure within the chamber to between about 0.001 Torr to about 5 Torr.
  • In one embodiment, the post in-situ chamber clean treatment comprises a showerhead flush process. In certain embodiments, during the in-situ halogen chamber clean, the halogen cleaning gas (e.g. Cl2) flows through the same showerhead gas conduits as precursors (e.g. TMG). Reaction between residual precursor gas in the gas conduit and the cleaning gas may lead to clogging of the gas conduits of the showerhead. In certain embodiments, it is desirable to perform the showerhead flush process prior to performing the in-situ clean. For example, with reference to FIG. 5, a showerhead flush process may be performed after block 520 and prior to block 540. In certain embodiments, in addition to the pre-in-situ chamber cleaning showerhead flush, an additional showerhead flush may be performed after the in-situ cleaning of block 530. For example, the showerhead flush may be performed as part of the post-in-situ clean performed in block 540. In certain embodiments, the showerhead flush may be performed after the chamber bake process described herein. In another embodiment, the showerhead flush may be performed prior to the chamber bake process described herein. In one embodiment, the showerhead flush comprises flowing an inert gas through the conduits of the showerhead through which cleaning gases were previously flowed.
  • In one embodiment, the inert gas may comprise any of the inert gases and/or purge gases described herein. In one embodiment, the inert gas flows through the gas conduits of the showerhead at a flow rate between about 100 sccm to about 1,000 sccm. The showerhead flush may be performed for a time period sufficient to remove residual precursor deposits from the conduits of the showerhead. In one embodiment, the showerhead flush is performed for a time period between about 2 minutes and about 20 minutes.
  • In certain embodiment, it may be desirable to combine the optional post in-situ chamber treatments. For example, in one embodiment, a purge/evacuation process may be followed by a chamber bake process.
  • In certain embodiments, the optional post in-situ chamber treatment may include the deposition of a chamber coating such as GaN or AlN to further reduce any residual chlorine gas remaining in the chamber after the in-situ cleaning process. For example, after the NH3 purge described above, TMGa or TMAl is flown into the chamber with NH3 to form a thin layer of GaN or AlN (between about 10 nm and about 500 nm thick) on the interior surfaces of the chamber. This additional GaN or AlN coating after the NH3 treatment further reduces the chlorine level in subsequently deposited layers.
  • In certain embodiments, during the cleaning processes described herein it may be desirable to enhance the effects of the cleaning process by increasing the height of the substrate support 314. such that the distance between the substrate support 314 and the showerhead assembly 304 is reduced relative to the distance between the substrate support 314 and the showerhead assembly 304 during processing. It is believed that by decreasing the distance between the showerhead assembly 304 and the substrate support 314 the substrate support 314 heats the showerhead assembly 304 resulting in increased efficiency of the cleaning process. In one embodiment, the susceptor may be positioned from about 3 mm to about 12 mm from the showerhead during the cleaning process. In another embodiment, the susceptor may be positioned from about 5 mm to about 10 mm from the showerhead during the cleaning process. In another embodiment, the susceptor may be positioned less than 10 mm from the showerhead. Typically, during deposition, the distance between the substrate support 314 and the showerhead assembly 304 is 10 mm or greater.
  • FIG. 9A is a SIMS depth profile of full LED growth after the chamber cleaning process described in FIG. 7. FIG. 9B is a SIMS depth profile of full LED growth after the chamber cleaning process described in FIG. 7. As shown in FIG. 9A and FIG. 9B, the quality of LEDs produced after the clean processes described herein is not affected. As shown in FIG. 9A, a SIMS depth profile chemical composition of a full LED, and InGaN MQWS especially (Ga, In, Al, Si, N, Mg) are not affected by the clean processes described herein. As shown in FIG. 9B, the chlorine level is ˜1×1015 cm−3 and is at SIMS detection limit.
  • While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (19)

1. A method for removing unwanted deposition build-up from one or more interior surfaces of a metal organic chemical vapor deposition (MOCVD) processing chamber, comprising:
depositing one or more Group III containing layers over a substrate disposed in the processing chamber;
transferring the substrate out of the processing chamber;
pulsing a halogen cleaning gas into the processing chamber to remove at least a portion of the unwanted deposition build-up from one or more interior surfaces of the processing chamber; and
pulsing a purge gas into the processing chamber after pulsing the halogen cleaning gas to remove reaction by-products formed from the reaction of the halogen cleaning gas with the unwanted deposition build-up from the processing chamber, wherein the pulsing a purge gas immediately follows the pulsing a halogen cleaning gas to remove reaction by-products from the interior surfaces of the processing chamber before the reaction by-products condense on the interior surface of the substrate processing chamber.
2. The method of claim 1, further comprising:
repeating the pulsing the halogen gas and flowing a purge gas into the substrate processing chamber until a desired portion of the unwanted deposition build-up is removed from the substrate processing chamber.
3. The method of claim 1, wherein the halogen cleaning gas is pulsed into the processing chamber for a time period between five seconds and one minute to limit the amount of reaction by-products generated; and
wherein the purge gas is pulsed into the processing chamber for a time period between about 5 seconds and about 30 seconds to limit the amount of reaction by-products generated.
4. The method of claim 1, wherein the halogen cleaning gas comprises a halogen gas selected from the group comprising fluorine, chlorine, bromine, iodine, and combinations thereof.
5. The method of claim 1, wherein transferring the substrate out of the processing chamber comprises transferring the substrate to a loadlock chamber without exposing the substrate to atmosphere.
6. The method of claim 5, wherein transferring the substrate to a loadlock chamber comprises transferring the substrate in an environment having greater than 90% N2.
7. The method of claim 5, wherein the unwanted chamber deposits comprise Ga and GaN deposited on components of the processing chamber including a showerhead.
8. The method of claim 1, further comprising:
performing a chamber bake process in a nitrogen and/or hydrogen containing atmosphere at a temperature from about 950° C. to about 1,050° C. at a chamber pressure from about 0.001 Torr to about 5 Torr to ensure that any residual deposition from the chamber clean process is removed from the chamber.
9. The method of claim 1, further comprising:
flowing ammonia (NH3) into the substrate processing chamber at a flow rate between about 1,000 sccm to about 10,000 sccm at a temperature greater than 900° C. and at a chamber pressure from about 100 Torr to about 760 Torr.
10. The method of claim 9, wherein the flow rate and the chamber pressure are varied throughout the NH3 treatment process to generate turbulent flow patterns inside the substrate processing chamber for efficient NH3 reaction with the chamber coating.
12. A method for removing unwanted deposition build-up from one or more interior surfaces of a substrate processing chamber, comprising:
positioning a substrate on a susceptor in a processing region of a substrate processing chamber comprising a showerhead for supplying processing gases to the processing region;
depositing one or more gallium containing layers over the substrate disposed in the processing region;
transferring the substrate out of the substrate processing chamber;
pulsing chlorine gas into the substrate processing chamber to remove at least a portion of the unwanted deposition build-up from one or more interior surfaces and the showerhead of the substrate processing chamber; and
pulsing a first purge gas into the processing chamber to remove the chlorine gas and reaction by-products formed from the reaction of the chlorine gas with the unwanted deposition build-up from the substrate processing chamber.
13. The method of claim 12, wherein the chlorine gas is flowed into the processing chamber at a flow rate from about 1,000 sccm to about 4,000 sccm, at a total chamber pressure from about 50 Torr to 100 Torr, a susceptor temperature from about 600° C. to about 700° C., and a showerhead temperature from about 100° C. to about 200° C.
14. The method of claim 13, wherein the purge gas is pulsed into the processing chamber at a flow rate of about 1,000 sccm to about 5000 sccm, at a total chamber pressure of about 0.5 Torr to about 10 Torr, a susceptor temperature of about 900° C., and a showerhead temperature of less than 100° C.
15. The method of claim 14, wherein the cleaning gas is pulsed into the processing chamber at a flow rate of about 3,000 sccm to about 5,000 sccm, at a total chamber pressure of about 300 Torr to about 700 Torr, a susceptor temperature of about 400° C. to about 600° C., and a showerhead temperature from about 260° C. to about 400° C.
16. The method of claim 12, wherein transferring the substrate out of the substrate processing chamber comprises transferring the substrate to a loadlock chamber in an atmosphere having greater than 90% N2.
17. An integrated processing system for manufacturing compound nitride semiconductor devices comprising:
one or more substrate processing chambers operable to form one or more Group III compound nitride semiconductor layers on one or more substrates positioned in the substrate processing chamber;
a halogen gas source coupled with at least one of the one or more substrate processing chambers operable for pulsing a halogen gas into the substrate processing chamber to remove at least a portion of unwanted deposition build-up deposited when forming one or more Group III compound nitride semiconductor layers on the one or more substrates from one or more interior surfaces of the substrate processing chambers; and
a purge gas source coupled with at least one of the one or more substrate processing chamber operable for pulsing purge gas into the one or more substrate processing chamber to remove reaction by-products formed from the reaction of the halogen gas with the unwanted deposition build-up from the substrate processing chamber.
18. The integrated processing system of claim 17, wherein the halogen gas source comprises a halogen gas selected from the group comprising fluorine, chlorine, bromine, iodine, and combinations thereof.
19. The integrated processing system of claim 16, further comprising:
a transfer region in transferable communication with the one or more substrate processing chambers;
a robot assembly disposed in the transfer region for transferring the one or more substrates; and
a loadlock chamber in transferable communication with the transfer region; wherein transferring the one or more substrates comprises transferring the one or more substrates from the one or more substrate processing chambers to a loadlock chamber without exposing the substrate to atmosphere in an environment having greater than 90% N2.
20. The integrated processing system of claim 17, wherein the one or more substrate processing chamber are selected from one or more metalorganic chemical vapor deposition (MOCVD) chambers, one or more hydride vapor phase epitaxy (HVPE) chambers, and combinations thereof.
US12/731,030 2009-04-28 2010-03-24 Decontamination of mocvd chamber using nh3 purge after in-situ cleaning Abandoned US20100273291A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US12/731,030 US20100273291A1 (en) 2009-04-28 2010-03-24 Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
PCT/US2010/032592 WO2010129289A2 (en) 2009-04-28 2010-04-27 Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
CN201080019364.4A CN102414786B (en) 2009-04-28 2010-04-27 NH is utilized in position after cleaning3decontamination of MOCVD chamber processes
TW099113537A TWI496935B (en) 2009-04-28 2010-04-28 Decontamination of mocvd chamber using nh3 purge after in-situ cleaning

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17355209P 2009-04-28 2009-04-28
US12/731,030 US20100273291A1 (en) 2009-04-28 2010-03-24 Decontamination of mocvd chamber using nh3 purge after in-situ cleaning

Publications (1)

Publication Number Publication Date
US20100273291A1 true US20100273291A1 (en) 2010-10-28

Family

ID=42992510

Family Applications (3)

Application Number Title Priority Date Filing Date
US12/731,030 Abandoned US20100273291A1 (en) 2009-04-28 2010-03-24 Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
US12/730,975 Expired - Fee Related US8110889B2 (en) 2009-04-28 2010-03-24 MOCVD single chamber split process for LED manufacturing
US13/350,446 Abandoned US20120111272A1 (en) 2009-04-28 2012-01-13 Mocvd single chamber split process for led manufacturing

Family Applications After (2)

Application Number Title Priority Date Filing Date
US12/730,975 Expired - Fee Related US8110889B2 (en) 2009-04-28 2010-03-24 MOCVD single chamber split process for LED manufacturing
US13/350,446 Abandoned US20120111272A1 (en) 2009-04-28 2012-01-13 Mocvd single chamber split process for led manufacturing

Country Status (6)

Country Link
US (3) US20100273291A1 (en)
JP (1) JP2012525708A (en)
KR (1) KR20120009504A (en)
CN (1) CN102414845A (en)
TW (1) TW201101531A (en)
WO (1) WO2010129183A2 (en)

Cited By (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090098276A1 (en) * 2007-10-16 2009-04-16 Applied Materials, Inc. Multi-gas straight channel showerhead
US20090107403A1 (en) * 2007-10-31 2009-04-30 Moshtagh Vahid S Brazed cvd shower head
US20090178615A1 (en) * 2008-01-15 2009-07-16 Samsung Electro-Mechanics Co., Ltd. Showerhead and chemical vapor deposition apparatus having the same
US20100261340A1 (en) * 2009-04-10 2010-10-14 Applied Materials, Inc. Cluster tool for leds
US20110059617A1 (en) * 2009-09-10 2011-03-10 Matheson Tri-Gas, Inc. High aspect ratio silicon oxide etch
US20110117728A1 (en) * 2009-08-27 2011-05-19 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
US20110256645A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor showerhead with by-pass ports
US20120000490A1 (en) * 2010-07-01 2012-01-05 Applied Materials, Inc. Methods for enhanced processing chamber cleaning
US20130005118A1 (en) * 2011-07-01 2013-01-03 Sung Won Jun Formation of iii-v materials using mocvd with chlorine cleans operations
US20130130476A1 (en) * 2011-11-22 2013-05-23 Semiconductor Energy Laboratory Co., Ltd. Method for cleaning film formation apparatus and method for manufacturing semiconductor device
US20130145989A1 (en) * 2011-12-12 2013-06-13 Intermolecular, Inc. Substrate processing tool showerhead
DE102011056538A1 (en) 2011-12-16 2013-06-20 Aixtron Se Method for removing unwanted residues of process chamber of chemical vapor deposition reactor, involves forming non-volatile intermediate, so that surface coverage degree of residue is increased/decreased at respective phases of cycle
US20140127887A1 (en) * 2012-11-06 2014-05-08 Intermolecular, Inc. Chemical Vapor Deposition System
CN104112662A (en) * 2014-07-25 2014-10-22 中国科学院半导体研究所 VPE (Vapor Phase Epitaxy) online cleaning device and method
WO2014173806A1 (en) * 2013-04-23 2014-10-30 Aixtron Se Mocvd layer growth method with subsequent multi-stage cleaning step
TWI470672B (en) * 2011-08-22 2015-01-21 Soitec Silicon On Insulator Direct liquid injection for halide vapor phase epitaxy systems and methods
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US9528183B2 (en) 2013-05-01 2016-12-27 Applied Materials, Inc. Cobalt removal for chamber clean or pre-clean process
US9644285B2 (en) 2011-08-22 2017-05-09 Soitec Direct liquid injection for halide vapor phase epitaxy systems and methods
CN107435140A (en) * 2012-06-25 2017-12-05 诺发系统公司 Suppress precursor stream and the outer plasma of substrate zone to suppress lining treatment system parasitic deposition
US9925569B2 (en) 2012-09-25 2018-03-27 Applied Materials, Inc. Chamber cleaning with infrared absorption gas
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US20190169767A1 (en) * 2016-09-14 2019-06-06 Applied Materials, Inc. Degassing chamber for arsenic related processes
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US10410845B2 (en) * 2017-11-22 2019-09-10 Applied Materials, Inc. Using bias RF pulsing to effectively clean electrostatic chuck (ESC)
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
CN112309815A (en) * 2019-07-26 2021-02-02 山东浪潮华光光电子股份有限公司 Recovery method for MOCVD system for producing LED epitaxial wafer after maintenance
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10943788B2 (en) 2012-02-29 2021-03-09 Applied Materials, Inc. Abatement and strip process chamber in a load lock configuration
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
CN112538628A (en) * 2019-09-20 2021-03-23 力晶积成电子制造股份有限公司 Post-etch protection method for aluminum layer
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
TWI759515B (en) * 2017-07-28 2022-04-01 美商克萊譚克公司 Laser sustained plasma light source with forced flow through natural convection
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US20220349051A1 (en) * 2021-04-29 2022-11-03 Asm Ip Holding B.V. Reactor systems and methods for cleaning reactor systems
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2215282B1 (en) 2007-10-11 2016-11-30 Valence Process Equipment, Inc. Chemical vapor deposition reactor
KR20120099632A (en) * 2009-10-07 2012-09-11 어플라이드 머티어리얼스, 인코포레이티드 Improved multichamber split processes for led manufacturing
WO2012157161A1 (en) * 2011-05-19 2012-11-22 古河機械金属株式会社 Method of washing semiconductor manufacturing apparatus component, apparatus for washing semiconductor manufacturing apparatus component, and vapor phase growth apparatus
CN103022268A (en) * 2011-09-22 2013-04-03 理想能源设备(上海)有限公司 Method for manufacturing silicon-based thin-film solar cell and device for manufacturing same
JP5766647B2 (en) * 2012-03-28 2015-08-19 東京エレクトロン株式会社 Heat treatment system, heat treatment method, and program
JP5551730B2 (en) * 2012-03-28 2014-07-16 日本電信電話株式会社 Manufacturing method of semiconductor thin film
KR101411423B1 (en) * 2012-06-15 2014-06-25 주식회사 티지오테크 Batch Type Apparatus for Forming Thin Layer in which Metal Halogen Gas and Nitride Gas Are Provided through Single Inlet
JP6153401B2 (en) * 2013-07-02 2017-06-28 株式会社ニューフレアテクノロジー Vapor growth apparatus and vapor growth method
US9276190B2 (en) 2013-10-01 2016-03-01 The Pen Practical method of producing an aerogel composite continuous thin film thermoelectric semiconductor material by modified MOCVD
JP6123688B2 (en) * 2014-01-29 2017-05-10 東京エレクトロン株式会社 Deposition equipment
DE102014102039A1 (en) * 2014-02-18 2015-08-20 Osram Opto Semiconductors Gmbh Process for producing a nitride compound semiconductor layer
JP2015156418A (en) * 2014-02-20 2015-08-27 株式会社ニューフレアテクノロジー vapor phase growth method
KR102145205B1 (en) 2014-04-25 2020-08-19 삼성전자주식회사 Method of manufaucturing semiconductor device and method of maintaining deposition apparatus
JP2016105471A (en) * 2014-11-20 2016-06-09 株式会社ニューフレアテクノロジー Vapor growth method
DE102015101462A1 (en) * 2015-02-02 2016-08-04 Aixtron Se Method and apparatus for depositing a III-V semiconductor layer
JP6332089B2 (en) * 2015-03-16 2018-05-30 豊田合成株式会社 Manufacturing method of semiconductor device
JP6499493B2 (en) * 2015-04-10 2019-04-10 株式会社ニューフレアテクノロジー Vapor growth method
WO2016203595A1 (en) * 2015-06-18 2016-12-22 東芝三菱電機産業システム株式会社 Metal oxide film formation method
TWI782220B (en) 2015-09-22 2022-11-01 美商應用材料股份有限公司 Cleaning method
KR20180085807A (en) 2015-12-18 2018-07-27 어플라이드 머티어리얼스, 인코포레이티드 Cleaning method
TWI692021B (en) * 2016-07-05 2020-04-21 伯思達綠能科技股份有限公司 Device and method for removing gallium nitride film of patterned sapphire substrate for LED manufacturing
CN108133985A (en) * 2017-12-22 2018-06-08 安徽三安光电有限公司 A kind of iii-nitride light emitting devices
JP7164632B2 (en) * 2018-06-08 2022-11-01 アプライド マテリアルズ インコーポレイテッド Temperature controlled gas diffuser for flat panel process equipment
JP7137070B2 (en) * 2018-12-03 2022-09-14 日本電信電話株式会社 Manufacturing method of nitride semiconductor photoelectrode
FR3098019B1 (en) * 2019-06-25 2022-05-20 Aledia Optoelectronic device comprising three-dimensional semiconductor elements and process for its manufacture
WO2023099674A1 (en) * 2021-12-03 2023-06-08 Aixtron Se Method and device for depositing a layer containing a group five element in a process chamber, and subsequent cleaning of the process chamber

Citations (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4592306A (en) * 1983-12-05 1986-06-03 Pilkington Brothers P.L.C. Apparatus for the deposition of multi-layer coatings
US4763602A (en) * 1987-02-25 1988-08-16 Glasstech Solar, Inc. Thin film deposition apparatus including a vacuum transport mechanism
US4851295A (en) * 1984-03-16 1989-07-25 Genus, Inc. Low resistivity tungsten silicon composite film
USD329839S (en) * 1990-01-31 1992-09-29 Hohner Automation Societe Anonyme Incremental coder
US5273588A (en) * 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
US5348911A (en) * 1987-06-30 1994-09-20 Aixtron Gmbh Material-saving process for fabricating mixed crystals
US5376580A (en) * 1993-03-19 1994-12-27 Hewlett-Packard Company Wafer bonding of light emitting diode layers
US5421957A (en) * 1993-07-30 1995-06-06 Applied Materials, Inc. Low temperature etching in cold-wall CVD systems
US5647911A (en) * 1993-12-14 1997-07-15 Sony Corporation Gas diffuser plate assembly and RF electrode
US5667592A (en) * 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
US5686738A (en) * 1991-03-18 1997-11-11 Trustees Of Boston University Highly insulating monocrystalline gallium nitride thin films
US5715361A (en) * 1995-04-13 1998-02-03 Cvc Products, Inc. Rapid thermal processing high-performance multizone illuminator for wafer backside heating
US5756400A (en) * 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces
US5762755A (en) * 1991-05-21 1998-06-09 Genus, Inc. Organic preclean for improving vapor phase wafer etch uniformity
US5814239A (en) * 1995-07-29 1998-09-29 Hewlett-Packard Company Gas-phase etching and regrowth method for Group III-nitride crystals
US5855675A (en) * 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
US5858471A (en) * 1994-04-08 1999-01-12 Genus, Inc. Selective plasma deposition
US5871586A (en) * 1994-06-14 1999-02-16 T. Swan & Co. Limited Chemical vapor deposition
US5963834A (en) * 1996-12-20 1999-10-05 Tokyo Electron Limited Method for forming a CVD film
US5983906A (en) * 1997-01-24 1999-11-16 Applied Materials, Inc. Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
US6086673A (en) * 1998-04-02 2000-07-11 Massachusetts Institute Of Technology Process for producing high-quality III-V nitride substrates
US6156581A (en) * 1994-01-27 2000-12-05 Advanced Technology Materials, Inc. GaN-based devices using (Ga, AL, In)N base layers
US6176936B1 (en) * 1997-07-22 2001-01-23 Nec Corporation In-situ chamber cleaning method of CVD apparatus
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US20010006845A1 (en) * 1998-06-18 2001-07-05 Olga Kryliouk Method and apparatus for producing group-III nitrides
US6270569B1 (en) * 1997-06-11 2001-08-07 Hitachi Cable Ltd. Method of fabricating nitride crystal, mixture, liquid phase growth method, nitride crystal, nitride crystal powders, and vapor phase growth method
US6274495B1 (en) * 1998-09-03 2001-08-14 Cvc Products, Inc. Method for fabricating a device on a substrate
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6309465B1 (en) * 1999-02-18 2001-10-30 Aixtron Ag. CVD reactor
US6551848B2 (en) * 2001-05-26 2003-04-22 Samsung Electro-Mechanics Co., Ltd. Method for fabricating semiconductor light emitting device
US20040000321A1 (en) * 2002-07-01 2004-01-01 Applied Materials, Inc. Chamber clean method using remote and in situ plasma cleaning systems
US6692568B2 (en) * 2000-11-30 2004-02-17 Kyma Technologies, Inc. Method and apparatus for producing MIIIN columns and MIIIN materials grown thereon
US20040129671A1 (en) * 2002-07-18 2004-07-08 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US20050032345A1 (en) * 2003-08-05 2005-02-10 University Of Florida Group III-nitride growth on Si substrate using oxynitride interlayer
US20050101155A1 (en) * 2003-11-12 2005-05-12 Applied Materials, Inc., A Delaware Corporation Ramp temperature techniques for improved mean wafer before clean
US6903025B2 (en) * 2001-08-30 2005-06-07 Kabushiki Kaisha Toshiba Method of purging semiconductor manufacturing apparatus and method of manufacturing semiconductor device
US20060018639A1 (en) * 2003-10-27 2006-01-26 Sundar Ramamurthy Processing multilayer semiconductors with multiple heat sources
US20060040475A1 (en) * 2004-08-18 2006-02-23 Emerson David T Multi-chamber MOCVD growth apparatus for high performance/high throughput
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20060174815A1 (en) * 2002-05-17 2006-08-10 Butcher Kenneth S A Process for manufacturing a gallium rich gallium nitride film
US20070108466A1 (en) * 2005-08-31 2007-05-17 University Of Florida Research Foundation, Inc. Group III-nitrides on Si substrates using a nanostructured interlayer
US20070144557A1 (en) * 2005-12-27 2007-06-28 Lee Ki-Hoon Cleaning method of apparatus for depositing AI-containing metal film and AI-containing metal nitride film
US20070240631A1 (en) * 2006-04-14 2007-10-18 Applied Materials, Inc. Epitaxial growth of compound nitride semiconductor structures
US20070243702A1 (en) * 2006-04-14 2007-10-18 Applied Materials Dual-side epitaxy processes for production of nitride semiconductor structures
US20070254458A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Buffer-layer treatment of MOCVD-grown nitride structures
US20070259502A1 (en) * 2006-05-05 2007-11-08 Applied Materials, Inc. Parasitic particle suppression in growth of III-V nitride films using MOCVD and HVPE
US20080050889A1 (en) * 2006-08-24 2008-02-28 Applied Materials, Inc. Hotwall reactor and method for reducing particle formation in GaN MOCVD
US7338828B2 (en) * 2005-05-31 2008-03-04 The Regents Of The University Of California Growth of planar non-polar {1 -1 0 0} m-plane gallium nitride with metalorganic chemical vapor deposition (MOCVD)
US20080272463A1 (en) * 2004-09-27 2008-11-06 Kenneth Scott Alexander Butcher Method and Apparatus for Growing a Group (III) Metal Nitride Film and a Group (III) Metal Nitride Film
US20080314311A1 (en) * 2007-06-24 2008-12-25 Burrows Brian H Hvpe showerhead design
US20080314317A1 (en) * 2007-06-24 2008-12-25 Burrows Brian H Showerhead design with precursor pre-mixing
US20090020768A1 (en) * 2007-07-20 2009-01-22 Gallium Enterprise Pty Ltd., An Australian Company Buried contact devices for nitride-based films and manufacture thereof
US20090029528A1 (en) * 2007-07-26 2009-01-29 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
US20090095713A1 (en) * 2004-10-26 2009-04-16 Advanced Technology Materials, Inc. Novel methods for cleaning ion implanter components
US20090136652A1 (en) * 2007-06-24 2009-05-28 Applied Materials, Inc. Showerhead design with precursor source
US20090149008A1 (en) * 2007-10-05 2009-06-11 Applied Materials, Inc. Method for depositing group iii/v compounds
US20090194026A1 (en) * 2008-01-31 2009-08-06 Burrows Brian H Processing system for fabricating compound nitride semiconductor devices
US7611915B2 (en) * 2001-07-23 2009-11-03 Cree, Inc. Methods of manufacturing light emitting diodes including barrier layers/sublayers
US20100210067A1 (en) * 2009-02-11 2010-08-19 Kenneth Scott Alexander Butcher Migration and plasma enhanced chemical vapor deposition
US7838315B2 (en) * 2007-11-23 2010-11-23 Samsung Led Co., Ltd. Method of manufacturing vertical light emitting diode

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6749687B1 (en) * 1998-01-09 2004-06-15 Asm America, Inc. In situ growth of oxide and silicon layers
US6464843B1 (en) * 1998-03-31 2002-10-15 Lam Research Corporation Contamination controlling method and apparatus for a plasma processing chamber
US6242347B1 (en) * 1998-09-30 2001-06-05 Applied Materials, Inc. Method for cleaning a process chamber
US6373114B1 (en) * 1998-10-23 2002-04-16 Micron Technology, Inc. Barrier in gate stack for improved gate dielectric integrity
US6413839B1 (en) * 1998-10-23 2002-07-02 Emcore Corporation Semiconductor device separation using a patterned laser projection
KR100304664B1 (en) * 1999-02-05 2001-09-26 윤종용 Method for fabricating a GaN film
US6540838B2 (en) * 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
TW477009B (en) * 1999-05-26 2002-02-21 Tadahiro Ohmi Plasma process device
US6569765B1 (en) * 1999-08-26 2003-05-27 Cbl Technologies, Inc Hybrid deposition system and methods
US6489241B1 (en) * 1999-09-17 2002-12-03 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
US6897119B1 (en) * 1999-12-22 2005-05-24 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6503330B1 (en) * 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6551399B1 (en) * 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
DE50100603D1 (en) * 2000-02-04 2003-10-16 Aixtron Ag DEVICE AND METHOD FOR DEPOSITING ONE OR MORE LAYERS ONTO A SUBSTRATE
JP4849705B2 (en) * 2000-03-24 2012-01-11 東京エレクトロン株式会社 Plasma processing apparatus, plasma generation introducing member, and dielectric
WO2001080298A1 (en) * 2000-04-17 2001-10-25 Mattson Technology, Inc. Uv pretreatment process for ultra-thin oxynitride for formation of silicon nitride films
US6616870B1 (en) * 2000-08-07 2003-09-09 Shipley Company, L.L.C. Method of producing high aspect ratio domes by vapor deposition
DE10043601A1 (en) * 2000-09-01 2002-03-14 Aixtron Ag Device and method for depositing, in particular, crystalline layers on, in particular, crystalline substrates
DE10048759A1 (en) 2000-09-29 2002-04-11 Aixtron Gmbh Method and device for separating organic layers in particular by means of OVPD
DE10056029A1 (en) * 2000-11-11 2002-05-16 Aixtron Ag Controlling surface temperature of substrates supported by carriers on dynamic gas cushions in process chamber of CVD reactor comprises varying gas stream producing gas cushions from average value of optically measured surface temperatures
DE10057134A1 (en) * 2000-11-17 2002-05-23 Aixtron Ag Process for depositing crystalline layers onto crystalline substrates in a process chamber of a CVD reactor comprises adjusting the kinematic viscosity of the carrier gas mixed
US6905547B1 (en) * 2000-12-21 2005-06-14 Genus, Inc. Method and apparatus for flexible atomic layer deposition
AU2002252566A1 (en) * 2001-03-30 2002-10-15 Technologies And Devices International Inc. Method and apparatus for growing submicron group iii nitride structures utilizing hvpe techniques
DE10118130A1 (en) * 2001-04-11 2002-10-17 Aixtron Ag Device for depositing crystalline layers on crystalline substrates in the gas phase comprises a heated reaction chamber with substrate holders arranged in a circular manner on a support, heated sources, and a hydride feed line
DE10124609B4 (en) * 2001-05-17 2012-12-27 Aixtron Se Method for depositing active layers on substrates
DE10163394A1 (en) * 2001-12-21 2003-07-03 Aixtron Ag Method and device for depositing crystalline layers and on crystalline substrates
AU2002366856A1 (en) * 2001-12-21 2003-07-09 Aixtron Ag Method for depositing iii-v semiconductor layers on a non-iii-v substrate
CN1324772C (en) * 2002-06-19 2007-07-04 日本电信电话株式会社 Semiconductor light-emitting device
JP4352783B2 (en) 2002-08-23 2009-10-28 東京エレクトロン株式会社 Gas supply system and processing system
US7115896B2 (en) * 2002-12-04 2006-10-03 Emcore Corporation Semiconductor structures for gallium nitride-based devices
US7018940B2 (en) * 2002-12-30 2006-03-28 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
JP4026529B2 (en) * 2003-04-10 2007-12-26 東京エレクトロン株式会社 Shower head structure and processing apparatus
JP2007525822A (en) * 2003-05-30 2007-09-06 アヴィザ テクノロジー インコーポレイテッド Gas distribution system
DE102004009130A1 (en) * 2004-02-25 2005-09-15 Aixtron Ag Inlet system for a MOCVD reactor
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
DE102004058521A1 (en) * 2004-12-04 2006-06-14 Aixtron Ag Method and apparatus for depositing thick gallium nitrite layers on a sapphire substrate and associated substrate holder
KR100578089B1 (en) 2004-12-22 2006-05-10 주식회사 시스넥스 Hydride vapor phase epitaxy unit
WO2006099138A2 (en) * 2005-03-10 2006-09-21 The Regents Of The University Of California Technique for the growth of planar semi-polar gallium nitride
US7195934B2 (en) * 2005-07-11 2007-03-27 Applied Materials, Inc. Method and system for deposition tuning in an epitaxial film growth apparatus
JP4803578B2 (en) 2005-12-08 2011-10-26 東京エレクトロン株式会社 Deposition method
JP2008066490A (en) 2006-09-06 2008-03-21 Nippon Emc Ltd Vapor phase growing device
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US20090095222A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US20090194024A1 (en) * 2008-01-31 2009-08-06 Applied Materials, Inc. Cvd apparatus

Patent Citations (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4592306A (en) * 1983-12-05 1986-06-03 Pilkington Brothers P.L.C. Apparatus for the deposition of multi-layer coatings
US4851295A (en) * 1984-03-16 1989-07-25 Genus, Inc. Low resistivity tungsten silicon composite film
US4763602A (en) * 1987-02-25 1988-08-16 Glasstech Solar, Inc. Thin film deposition apparatus including a vacuum transport mechanism
US5348911A (en) * 1987-06-30 1994-09-20 Aixtron Gmbh Material-saving process for fabricating mixed crystals
USD329839S (en) * 1990-01-31 1992-09-29 Hohner Automation Societe Anonyme Incremental coder
US5686738A (en) * 1991-03-18 1997-11-11 Trustees Of Boston University Highly insulating monocrystalline gallium nitride thin films
US5762755A (en) * 1991-05-21 1998-06-09 Genus, Inc. Organic preclean for improving vapor phase wafer etch uniformity
US5273588A (en) * 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
US5376580A (en) * 1993-03-19 1994-12-27 Hewlett-Packard Company Wafer bonding of light emitting diode layers
US5421957A (en) * 1993-07-30 1995-06-06 Applied Materials, Inc. Low temperature etching in cold-wall CVD systems
US5647911A (en) * 1993-12-14 1997-07-15 Sony Corporation Gas diffuser plate assembly and RF electrode
US6156581A (en) * 1994-01-27 2000-12-05 Advanced Technology Materials, Inc. GaN-based devices using (Ga, AL, In)N base layers
US5858471A (en) * 1994-04-08 1999-01-12 Genus, Inc. Selective plasma deposition
US5871586A (en) * 1994-06-14 1999-02-16 T. Swan & Co. Limited Chemical vapor deposition
US5715361A (en) * 1995-04-13 1998-02-03 Cvc Products, Inc. Rapid thermal processing high-performance multizone illuminator for wafer backside heating
US5814239A (en) * 1995-07-29 1998-09-29 Hewlett-Packard Company Gas-phase etching and regrowth method for Group III-nitride crystals
US5756400A (en) * 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces
US5667592A (en) * 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
US5963834A (en) * 1996-12-20 1999-10-05 Tokyo Electron Limited Method for forming a CVD film
US5983906A (en) * 1997-01-24 1999-11-16 Applied Materials, Inc. Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
US5855675A (en) * 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
US6270569B1 (en) * 1997-06-11 2001-08-07 Hitachi Cable Ltd. Method of fabricating nitride crystal, mixture, liquid phase growth method, nitride crystal, nitride crystal powders, and vapor phase growth method
US6176936B1 (en) * 1997-07-22 2001-01-23 Nec Corporation In-situ chamber cleaning method of CVD apparatus
US6086673A (en) * 1998-04-02 2000-07-11 Massachusetts Institute Of Technology Process for producing high-quality III-V nitride substrates
US20010006845A1 (en) * 1998-06-18 2001-07-05 Olga Kryliouk Method and apparatus for producing group-III nitrides
US6274495B1 (en) * 1998-09-03 2001-08-14 Cvc Products, Inc. Method for fabricating a device on a substrate
US6309465B1 (en) * 1999-02-18 2001-10-30 Aixtron Ag. CVD reactor
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6692568B2 (en) * 2000-11-30 2004-02-17 Kyma Technologies, Inc. Method and apparatus for producing MIIIN columns and MIIIN materials grown thereon
US6551848B2 (en) * 2001-05-26 2003-04-22 Samsung Electro-Mechanics Co., Ltd. Method for fabricating semiconductor light emitting device
US7611915B2 (en) * 2001-07-23 2009-11-03 Cree, Inc. Methods of manufacturing light emitting diodes including barrier layers/sublayers
US6903025B2 (en) * 2001-08-30 2005-06-07 Kabushiki Kaisha Toshiba Method of purging semiconductor manufacturing apparatus and method of manufacturing semiconductor device
US20060174815A1 (en) * 2002-05-17 2006-08-10 Butcher Kenneth S A Process for manufacturing a gallium rich gallium nitride film
US20080282978A1 (en) * 2002-05-17 2008-11-20 Kenneth Scott Alexander Butcher Process For Manufacturing A Gallium Rich Gallium Nitride Film
US20040000321A1 (en) * 2002-07-01 2004-01-01 Applied Materials, Inc. Chamber clean method using remote and in situ plasma cleaning systems
US20040129671A1 (en) * 2002-07-18 2004-07-08 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US20050032345A1 (en) * 2003-08-05 2005-02-10 University Of Florida Group III-nitride growth on Si substrate using oxynitride interlayer
US20060018639A1 (en) * 2003-10-27 2006-01-26 Sundar Ramamurthy Processing multilayer semiconductors with multiple heat sources
US20050101155A1 (en) * 2003-11-12 2005-05-12 Applied Materials, Inc., A Delaware Corporation Ramp temperature techniques for improved mean wafer before clean
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20060040475A1 (en) * 2004-08-18 2006-02-23 Emerson David T Multi-chamber MOCVD growth apparatus for high performance/high throughput
US20080272463A1 (en) * 2004-09-27 2008-11-06 Kenneth Scott Alexander Butcher Method and Apparatus for Growing a Group (III) Metal Nitride Film and a Group (III) Metal Nitride Film
US20090095713A1 (en) * 2004-10-26 2009-04-16 Advanced Technology Materials, Inc. Novel methods for cleaning ion implanter components
US7338828B2 (en) * 2005-05-31 2008-03-04 The Regents Of The University Of California Growth of planar non-polar {1 -1 0 0} m-plane gallium nitride with metalorganic chemical vapor deposition (MOCVD)
US20070108466A1 (en) * 2005-08-31 2007-05-17 University Of Florida Research Foundation, Inc. Group III-nitrides on Si substrates using a nanostructured interlayer
US20070144557A1 (en) * 2005-12-27 2007-06-28 Lee Ki-Hoon Cleaning method of apparatus for depositing AI-containing metal film and AI-containing metal nitride film
US20070240631A1 (en) * 2006-04-14 2007-10-18 Applied Materials, Inc. Epitaxial growth of compound nitride semiconductor structures
US20070243702A1 (en) * 2006-04-14 2007-10-18 Applied Materials Dual-side epitaxy processes for production of nitride semiconductor structures
US20070254458A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Buffer-layer treatment of MOCVD-grown nitride structures
US20070259502A1 (en) * 2006-05-05 2007-11-08 Applied Materials, Inc. Parasitic particle suppression in growth of III-V nitride films using MOCVD and HVPE
US20080050889A1 (en) * 2006-08-24 2008-02-28 Applied Materials, Inc. Hotwall reactor and method for reducing particle formation in GaN MOCVD
US20080314317A1 (en) * 2007-06-24 2008-12-25 Burrows Brian H Showerhead design with precursor pre-mixing
US20080314311A1 (en) * 2007-06-24 2008-12-25 Burrows Brian H Hvpe showerhead design
US20090136652A1 (en) * 2007-06-24 2009-05-28 Applied Materials, Inc. Showerhead design with precursor source
US20090020768A1 (en) * 2007-07-20 2009-01-22 Gallium Enterprise Pty Ltd., An Australian Company Buried contact devices for nitride-based films and manufacture thereof
US20090029528A1 (en) * 2007-07-26 2009-01-29 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
US20090149008A1 (en) * 2007-10-05 2009-06-11 Applied Materials, Inc. Method for depositing group iii/v compounds
US7838315B2 (en) * 2007-11-23 2010-11-23 Samsung Led Co., Ltd. Method of manufacturing vertical light emitting diode
US20090194026A1 (en) * 2008-01-31 2009-08-06 Burrows Brian H Processing system for fabricating compound nitride semiconductor devices
US20100210067A1 (en) * 2009-02-11 2010-08-19 Kenneth Scott Alexander Butcher Migration and plasma enhanced chemical vapor deposition

Cited By (128)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9644267B2 (en) 2007-10-16 2017-05-09 Applied Materials, Inc. Multi-gas straight channel showerhead
US20090098276A1 (en) * 2007-10-16 2009-04-16 Applied Materials, Inc. Multi-gas straight channel showerhead
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US8481118B2 (en) 2007-10-16 2013-07-09 Applied Materials, Inc. Multi-gas straight channel showerhead
US20090107403A1 (en) * 2007-10-31 2009-04-30 Moshtagh Vahid S Brazed cvd shower head
US8668775B2 (en) * 2007-10-31 2014-03-11 Toshiba Techno Center Inc. Machine CVD shower head
US20090178615A1 (en) * 2008-01-15 2009-07-16 Samsung Electro-Mechanics Co., Ltd. Showerhead and chemical vapor deposition apparatus having the same
US8183132B2 (en) * 2009-04-10 2012-05-22 Applied Materials, Inc. Methods for fabricating group III nitride structures with a cluster tool
US20100261340A1 (en) * 2009-04-10 2010-10-14 Applied Materials, Inc. Cluster tool for leds
US9932670B2 (en) 2009-08-27 2018-04-03 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
US20110117728A1 (en) * 2009-08-27 2011-05-19 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
US8623148B2 (en) 2009-09-10 2014-01-07 Matheson Tri-Gas, Inc. NF3 chamber clean additive
US20110059617A1 (en) * 2009-09-10 2011-03-10 Matheson Tri-Gas, Inc. High aspect ratio silicon oxide etch
US20110073136A1 (en) * 2009-09-10 2011-03-31 Matheson Tri-Gas, Inc. Removal of gallium and gallium containing materials
US20110056515A1 (en) * 2009-09-10 2011-03-10 Matheson Tri-Gas, Inc. Nf3 chamber clean additive
US8361892B2 (en) * 2010-04-14 2013-01-29 Applied Materials, Inc. Multiple precursor showerhead with by-pass ports
US20110256645A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor showerhead with by-pass ports
US20120000490A1 (en) * 2010-07-01 2012-01-05 Applied Materials, Inc. Methods for enhanced processing chamber cleaning
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20130005118A1 (en) * 2011-07-01 2013-01-03 Sung Won Jun Formation of iii-v materials using mocvd with chlorine cleans operations
US9644285B2 (en) 2011-08-22 2017-05-09 Soitec Direct liquid injection for halide vapor phase epitaxy systems and methods
TWI470672B (en) * 2011-08-22 2015-01-21 Soitec Silicon On Insulator Direct liquid injection for halide vapor phase epitaxy systems and methods
US9044793B2 (en) * 2011-11-22 2015-06-02 Semiconductor Energy Laboratory Co., Ltd. Method for cleaning film formation apparatus and method for manufacturing semiconductor device
US20130130476A1 (en) * 2011-11-22 2013-05-23 Semiconductor Energy Laboratory Co., Ltd. Method for cleaning film formation apparatus and method for manufacturing semiconductor device
US20130145989A1 (en) * 2011-12-12 2013-06-13 Intermolecular, Inc. Substrate processing tool showerhead
DE102011056538A1 (en) 2011-12-16 2013-06-20 Aixtron Se Method for removing unwanted residues of process chamber of chemical vapor deposition reactor, involves forming non-volatile intermediate, so that surface coverage degree of residue is increased/decreased at respective phases of cycle
US10943788B2 (en) 2012-02-29 2021-03-09 Applied Materials, Inc. Abatement and strip process chamber in a load lock configuration
CN107435140A (en) * 2012-06-25 2017-12-05 诺发系统公司 Suppress precursor stream and the outer plasma of substrate zone to suppress lining treatment system parasitic deposition
US11725282B2 (en) 2012-06-25 2023-08-15 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US11111581B2 (en) 2012-06-25 2021-09-07 Lam Research Corporation Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US10287683B2 (en) * 2012-06-25 2019-05-14 Lam Research Corporation Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US9925569B2 (en) 2012-09-25 2018-03-27 Applied Materials, Inc. Chamber cleaning with infrared absorption gas
US20140127887A1 (en) * 2012-11-06 2014-05-08 Intermolecular, Inc. Chemical Vapor Deposition System
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
CN105143504A (en) * 2013-04-23 2015-12-09 艾克斯特朗欧洲公司 Mocvd layer growth method with subsequent multi-stage cleaning step
US9670580B2 (en) 2013-04-23 2017-06-06 Aixtron Se MOCVD layer growth method with subsequent multi-stage cleaning step
WO2014173806A1 (en) * 2013-04-23 2014-10-30 Aixtron Se Mocvd layer growth method with subsequent multi-stage cleaning step
TWI641718B (en) * 2013-04-23 2018-11-21 愛思強歐洲公司 MOCVD layer growth method including subsequent multi-stage purification steps
US9528183B2 (en) 2013-05-01 2016-12-27 Applied Materials, Inc. Cobalt removal for chamber clean or pre-clean process
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
CN104112662A (en) * 2014-07-25 2014-10-22 中国科学院半导体研究所 VPE (Vapor Phase Epitaxy) online cleaning device and method
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US11649559B2 (en) * 2016-09-14 2023-05-16 Applied Materials, Inc. Method of utilizing a degassing chamber to reduce arsenic outgassing following deposition of arsenic-containing material on a substrate
US20190169767A1 (en) * 2016-09-14 2019-06-06 Applied Materials, Inc. Degassing chamber for arsenic related processes
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
TWI759515B (en) * 2017-07-28 2022-04-01 美商克萊譚克公司 Laser sustained plasma light source with forced flow through natural convection
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10410845B2 (en) * 2017-11-22 2019-09-10 Applied Materials, Inc. Using bias RF pulsing to effectively clean electrostatic chuck (ESC)
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN112309815A (en) * 2019-07-26 2021-02-02 山东浪潮华光光电子股份有限公司 Recovery method for MOCVD system for producing LED epitaxial wafer after maintenance
CN112538628A (en) * 2019-09-20 2021-03-23 力晶积成电子制造股份有限公司 Post-etch protection method for aluminum layer
US20220349051A1 (en) * 2021-04-29 2022-11-03 Asm Ip Holding B.V. Reactor systems and methods for cleaning reactor systems

Also Published As

Publication number Publication date
CN102414845A (en) 2012-04-11
US8110889B2 (en) 2012-02-07
WO2010129183A4 (en) 2011-03-17
JP2012525708A (en) 2012-10-22
KR20120009504A (en) 2012-01-31
WO2010129183A3 (en) 2011-01-20
US20100273290A1 (en) 2010-10-28
US20120111272A1 (en) 2012-05-10
TW201101531A (en) 2011-01-01
WO2010129183A2 (en) 2010-11-11

Similar Documents

Publication Publication Date Title
US20100273291A1 (en) Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
US8080466B2 (en) Method for growth of nitrogen face (N-face) polarity compound nitride semiconductor device with integrated processing system
US8183132B2 (en) Methods for fabricating group III nitride structures with a cluster tool
US8642368B2 (en) Enhancement of LED light extraction with in-situ surface roughening
TWI496935B (en) Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
US20110081771A1 (en) Multichamber split processes for led manufacturing
US20110244617A1 (en) Forming a compound-nitride structure that includes a nucleation layer
US8361892B2 (en) Multiple precursor showerhead with by-pass ports
US8138069B2 (en) Substrate pretreatment for subsequent high temperature group III depositions
US20100279020A1 (en) METHOD OF FORMING IN-SITU PRE-GaN DEPOSITION LAYER IN HVPE
US20080050889A1 (en) Hotwall reactor and method for reducing particle formation in GaN MOCVD
US8853086B2 (en) Methods for pretreatment of group III-nitride depositions
US20130005118A1 (en) Formation of iii-v materials using mocvd with chlorine cleans operations
US20110207256A1 (en) In-situ acceptor activation with nitrogen and/or oxygen plasma treatment
US20110171758A1 (en) Reclamation of scrap materials for led manufacturing
WO2010129289A2 (en) Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
US20120015502A1 (en) p-GaN Fabrication Process Utilizing a Dedicated Chamber and Method of Minimizing Magnesium Redistribution for Sharper Decay Profile

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:KRYLIOUK, OLGA;SU, JIE;GRIFFIN, KEVIN;AND OTHERS;SIGNING DATES FROM 20100407 TO 20100416;REEL/FRAME:024375/0069

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION