US20100264538A1 - Method for producing electrical interconnects and devices made thereof - Google Patents

Method for producing electrical interconnects and devices made thereof Download PDF

Info

Publication number
US20100264538A1
US20100264538A1 US12/760,468 US76046810A US2010264538A1 US 20100264538 A1 US20100264538 A1 US 20100264538A1 US 76046810 A US76046810 A US 76046810A US 2010264538 A1 US2010264538 A1 US 2010264538A1
Authority
US
United States
Prior art keywords
dielectric material
substrate
layer
dielectric
main surface
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/760,468
Inventor
Bart Swinnen
Philippe Soussan
Deniz Sabuncuoglu Tezcan
Piet De Moor
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Interuniversitair Microelektronica Centrum vzw IMEC
Original Assignee
Interuniversitair Microelektronica Centrum vzw IMEC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Interuniversitair Microelektronica Centrum vzw IMEC filed Critical Interuniversitair Microelektronica Centrum vzw IMEC
Priority to US12/760,468 priority Critical patent/US20100264538A1/en
Assigned to IMEC reassignment IMEC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SOUSSAN, PHILIPPE, SWINNEN, BART, DE MOOR, PIET, TEZCAN, DENIZ SABUNCUOGLU
Publication of US20100264538A1 publication Critical patent/US20100264538A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures

Definitions

  • the present invention relates to the field of electrical interconnection technologies for microelectronics system elements.
  • the present invention relates to methods for producing electrical through hole interconnects.
  • VLSI very-large-scale integration
  • IC integrated circuits
  • SIP system in a package
  • FIG. 1( a ) to FIG. 1( h ) An example of the fabrication of interconnects can be found in patent U.S. Pat. No. 6,908,856, in which a method for the fabrication of a device comprising electrical through hole interconnects is disclosed.
  • This prior art method is illustrated in FIG. 1( a ) to FIG. 1( h ) and comprises providing a substrate 1 ( FIG. 1( a )); dry etching in the substrate 1 so as to form at least one substrate hole 2 ( FIG. 1( b )); depositing a first layer of patternable dielectric material 3 on the substrate 1 , wherein the substrate holes 2 are filled with the dielectric material 3 after which the substrate surface is planarized ( FIG.
  • the fabrication of the interconnects may be completed by etching the substrate 1 from the back side, hereby creating holes 7 and halting when the conductive material 5 and the dielectric material 3 are reached ( FIG. 1( g )), and the addition of solder bumps 8 on the studs of conductive material 5 , for bonding purposes ( FIG. 1( h )).
  • the dielectric coverage of the via sidewalls and corners is not uniform, so there is a non-conformal deposition.
  • a disadvantage of this approach is limited scalability of this via. Also dielectric patterning with high aspect ratio becomes difficult. Typically a sidewall angle is observed in the dielectric pattern which limits the smallest dimension that can be opened at the bottom of the via.
  • the dielectric material 3 does not necessarily planarize the surface, it should be just conformal.
  • FIG. 2 a method for creating an interconnect is disclosed, as illustrated in FIG. 2 , wherein, for creating two interconnects, two rings are etched in a silicon substrate, which are then filled with an isolation material, resulting in inner pillars of silicon, laterally surrounded by the isolation material. The inner pillars of silicon are then etched away and the resulting hole is filled with copper.
  • the isolation filling is done with oxidation, being a high temperature process.
  • the oxide is deposited with chemical vapor deposition (CVD) there will be a gap/seam at the centre of the filling ring.
  • Certain inventive aspects relate to a method for the fabrication of interconnects and the interconnects made thereof.
  • the present invention provides a substrate comprising at least one electrical interconnect structure, the at least one interconnect structure comprising a conductive inner pillar structure in the substrate, and a ring structure comprising a first dielectric material surrounding the conductive inner pillar structure.
  • the thickness non-uniformity of the first dielectric layer is between about 0.5% and 10%.
  • the thickness of the first dielectric layer surrounding the conductive inner pillar structures may be between about 50 nm and 20 ⁇ m, 100 nm and 10 ⁇ m, 200 nm and 10 ⁇ m, or between 0.5 ⁇ m and 10 ⁇ m, for example between 1 ⁇ m and 5 ⁇ m.
  • the first dielectric material may be a polymer, an oxide or a nitride.
  • the first dielectric material may be BCB or RH8023.
  • the conductive material may be selected from the group of conductive silicon, Cu, Al, and W.
  • the substrate has a first main surface, and further comprises a layer of the first dielectric material on the first main surface around the conductive inner pillar structure.
  • a plurality of conductive inner pillar structures are present, and the layer of first dielectric material is provided on the first main surface of the substrate in between the conductive inner pillar structures.
  • the present invention provides a method for the fabrication of at least one electrical interconnect in a substrate.
  • the method comprises a) providing a substrate made of substrate material and having a first main surface, the substrate may be a semiconductor substrate such as a substrate comprising silicon or conductive silicon, b) producing at least one ring structure in the substrate from the first main surface, the at least one ring structure surrounding an inner pillar structure of substrate material, and having a bottom surface, c) filling the at least one 0ring structure with a first dielectric material, wherein the first dielectric material is applied in the ring structure in liquid phase, and d) providing a conductive inner pillar in the at least one ring structure thereby forming at least one interconnect structure forming an electrical path from the bottom surface up until the first main surface.
  • Providing the conductive inner pillar can be done by removing the inner pillar structure leaving an inner pillar vacancy, and at least partially filling the pillar vacancy with a conductive material.
  • the dielectric material is also applied on the first main surface in liquid phase.
  • producing ring structures may be performed by etching, e.g. by dry or wet etching.
  • Filling the at least one ring structure with a first dielectric material may comprise depositing the first dielectric material with spin-on or spray-on or CVD in the ring structure and on the first main surface.
  • the first dielectric material may be a polymer, an oxide or a nitride.
  • the first dielectric material may comprise benzo cyclo butene (BCB), silicone, epoxy-based material, polyimide (PBO), R&H 8022 or 8023-X.
  • the dielectric material may include benzo cyclo butene, Silicone, epoxy-based material, R&H 8022 or 8023-X.
  • the BCB may be diluted.
  • a method according to embodiments of the present invention may further comprise removing the first dielectric material to a level lower than, equal to, or higher than the first main surface and applying a second dielectric material on the first main surface.
  • Embodiments of the present invention may include completely removing the first dielectric material from the first main surface, while still allowing first dielectric material to remain inside the ring structure.
  • the second dielectric material may be applied onto the first main surface and onto the first dielectric material present inside the ring structures.
  • the second dielectric material may be photopatternable.
  • the surface of the second dielectric material may have a roughness lower than the surface of the first dielectric material.
  • Removing the inner pillar structure leaving a pillar vacancy may comprise removing the first dielectric material and, if present, second dielectric material on the inner pillar structures and thereafter removing the inner pillar structures.
  • a method according to embodiments of the present invention may further comprise opening the layer of dielectric material in at least the region above the inner pillar structures before removing the inner pillar structures.
  • the inner pillar structure may be removed by dry and/or wet etching.
  • the substrate may comprise a second main surface opposite the first main surface.
  • a method according to embodiments of the present invention may further comprise thinning the substrate from the second main surface until the interconnect structure is reached.
  • the method may further comprise thinning (e.g. by etching, e.g. dry etching, plasma etching, wet etching, grinding, or CMP) the substrate from the second main surface, hereby possibly creating holes at the locations of the interconnect structures, and halting the etching when the at least one interconnect structure is reached.
  • the etching does not stop at the level where the at least one interconnect structure is reached, but continues above that level, thus slightly overetching the substrate.
  • a method according to embodiments of the present invention may further comprise attaching a solder ball or bump to at least part of the thus freed interconnect structures at the second surface.
  • the substrate may comprise internal conducting or metal surfaces at the side the second main surface and the ring structure may be etched up until a conducting or metal surface, the internal conducting or metal surface can hereby serve as an etch stop surface or layer.
  • the substrate may be a semiconductor wafer or die comprising a BEOL and a FEOL, wherein the first main surface is the backside of the wafer or die, i.e. the side remote from the BEOL and the ring structure may be etched up until a metal layer of the BEOL or on top of the BEOL.
  • the metal layer may be the first metal layer of the BEOL.
  • the dielectric material may be applied by spin coating or spray coating a layer of dielectric material on top of the first main surface.
  • Multiple subsequent spin coating processes and/or spraying processes, optionally with a recess etch process in between, can be applied to improve fill efficiency and surface uniformity.
  • the dielectric material may form a layer on top of the main first surface.
  • the layer of dielectric material may have an essentially flat topology.
  • the average width of the at least one ring structure may be chosen such that the at least one ring structure is completely filled with the dielectric material.
  • the average width of the at least one ring structure may be smaller than about 10 ⁇ m or 7 ⁇ m or 6 ⁇ m or smaller than 5 ⁇ m.
  • the average width of the at least one ring structure may be larger than about 0.5 ⁇ m.
  • At least partially filling the vacancy may be performed by means of electroplating, or by sputtering, or by evaporating a conductive material or by applying a metal paste, e.g. Cu paste.
  • Electroplating may comprise providing a seed layer, e.g. by sputtering or MOCVD, and then plating a conductive material.
  • Embodiments of the present invention include, before filling the at least one ring structure with the first dielectric material, applying a barrier layer on the sidewalls and bottom surface of the at least one ring structure. This may be done to improve selective removal of the substrate material of the pillar with respect to the dielectric material, e.g. BCB. It may furthermore also prevent diffusion of the conductive material, e.g. Cu-diffusion (in case the dielectric material, e.g. polymer inside the ring structure would not be sufficient for that). Furthermore, the barrier layer may provide appropriate wetting properties so as to enhance the fill efficiency for the dielectric material into the ring structures.
  • a barrier layer may provide appropriate wetting properties so as to enhance the fill efficiency for the dielectric material into the ring structures.
  • Embodiments of the present invention include a method as above wherein the inner pillar vacancies are completely filled so as to form conductive pillars.
  • the at least one interconnect structure may comprise a layer of conductive material covering the bottom surface and side surfaces of the ring structure, hereby leaving or forming an inner conductor hole.
  • the layer of conductive material may for example be produced by conformal plating.
  • a method according to embodiments of the present invention may further comprise filling the inner conductor hole with a second dielectric material.
  • a second main surface of the substrate may further be bonded to a temporary carrier.
  • This bonding may be performed by means of a bonding agent. This bonding may be performed before thinning the substrate and before etching ring structures.
  • a method according to embodiments of the present invention may be performed at temperatures which the bonding agent can stand without curing (or degrading in its bonding and debonding properties), e.g. below about 250° C. or below about 150° C. or below about 130° C.
  • FIG. 1 and FIG. 2 illustrate prior art methods for the fabrication of electrical interconnects.
  • FIG. 3 illustrates interconnect structures according to one embodiment of the present invention (a) with dielectric layer on the surface and (b) without dielectric layer on the surface.
  • FIG. 4( a ), ( b ), ( c ), and ( d ) illustrate a fabrication method according to an embodiment of the present invention.
  • FIG. 5 illustrates a fabrication method according to an embodiment of the present invention.
  • FIG. 6 illustrates a generic part of 3 different method embodiments of the present invention, the remainder (non-generic part) of these methods being illustrated respectively in FIG. 7 , FIG. 8 and FIG. 9 , which each start where FIG. 6 ends.
  • FIG. 7 illustrates an embodiment in which the pillar vacancy is completely filled with a conductive material. Interconnect lines can be processed at the same time while filling with the conductive material.
  • FIG. 8 illustrates an embodiment in which the pillar vacancy is provided with a conformal metal film, leaving an inner conductor ring. This ring is then later filled up with a second dielectric material.
  • the second dielectric material can be the same as the dielectric material used to fill the ring structure. Afterwards interconnect lines can be provided on top of the second dielectric material.
  • FIG. 9 illustrates an embodiment in which the pillar vacancy is provided with a conformal metal film, leaving an inner conductor ring, which is further not filled with a dielectric.
  • FIG. 10 shows partially filled trenches in areas with a high trench density.
  • FIG. 11 shows the dimple at the top of the vias (a) in case of filling the trench with BCB and (b) in case of filling the trench with RH8023.
  • FIG. 12 shows the dimple in the first dielectric layer formed on the locations of the ring structures.
  • FIG. 13 shows the planarization of the dielectric layer by using a combination of two dielectric layers.
  • FIG. 14 shows the opening of the dielectric layer on top of the inner pillar without further planarization of the first dielectric layer.
  • FIG. 15 shows a topography measurement after patterning the second dielectric layer on top of the center pillar.
  • Both first (for filling) and second (for surface coverage) dielectric layers are the same material with the same viscosity version of the same material.
  • FIG. 16 shows a topography measurement after patterning the dielectric layer on top of the center pillar.
  • the second dielectric on top has a higher viscosity than the first dielectric in the trenches.
  • Embodiments of the present invention relate to methods for producing electrical through-hole interconnects for making 3D stacks of semiconductor wafers and chips, e.g. Si wafers and chips, and for packaging applications of MEMS devices.
  • Embodiments of the present invention relate to electrical through-hole interconnects for making 3D stacks of semiconductor wafers and chips, e.g. Si wafers and chips, and for packaging applications of MEMS devices.
  • FIGS. 3 ( a ) and ( b ) illustrate such electrical interconnect structures according to embodiments of the present invention.
  • Such electrical interconnect structure may be obtained by method embodiments of the present invention, as explained below.
  • the interconnect structure according to embodiments of the present invention comprises a conductive inner pillar structure 5 surrounded by a ring structure of first dielectric material 3 .
  • the first dielectric material may ( FIG. 3( a )) or may not ( FIG. 3( b )) be present on the surface.
  • the thickness non-uniformity of the first dielectric layer 3 surrounding the pillar structure 5 is between about 0.5% and 10%.
  • the dielectric material 3 of an interconnect structure according to embodiments of the present invention has a very uniform thickness on the top surface. This is advantageous for further processing especially when lithography is used to open the inner pillars. This will allow uniform resist coating and good CD control.
  • FIGS. 3( a ) and ( b ) show typical dimensions of a device according to embodiments of the present invention.
  • the wafer or substrate thickness t can be e.g., about 20 ⁇ m, or about 30 ⁇ m, or about 50 ⁇ m, or about 75 ⁇ m or about 100 ⁇ m, or can vary for example between about 10 ⁇ m and 500 ⁇ m or between 20 ⁇ m and 300 ⁇ m, or between 20 ⁇ m and 100 ⁇ m or between 30 ⁇ m and 75 ⁇ m.
  • the outer diameter ⁇ of the ring structure can be e.g., about 100 ⁇ m, about 50 ⁇ m, about 25 ⁇ m, about 20 ⁇ m, about 15 ⁇ m, about 10 ⁇ m; for example between about 50 ⁇ m and 10 ⁇ m.
  • the inter-ring distance or pitch p (for example measured from the center of one ring structure to the center of another ring structure) is preferably larger than the outer diameter ⁇ of the ring structure and can be e.g., about 40 ⁇ m, 17 ⁇ m, 15 ⁇ m, 13 ⁇ m, 10 ⁇ m, 7 ⁇ m, 3 ⁇ m, 2 ⁇ m, 1 ⁇ m, for example between about 100 ⁇ m and 1 ⁇ m or between 50 ⁇ m and 1 ⁇ m, or between 40 ⁇ m and 1 ⁇ m.
  • the ring structure width w is preferably smaller than the outer diameter ⁇ of the ring structure and may be below about 10 ⁇ m, or below 7 ⁇ m, or below 6 ⁇ m or below 5 ⁇ m, or can vary between 20 ⁇ m and 50 nm 0.5 ⁇ m, between 10 ⁇ m and 0.5 ⁇ m, between 15 ⁇ m and 2 ⁇ m or between 10 ⁇ m and 3 ⁇ m or between 7 ⁇ m and 5 ⁇ m or between 5 ⁇ m and 1 ⁇ m.
  • the depth d of the ring structure can be 50 ⁇ m
  • the diameter ⁇ can be 25 ⁇ m
  • the distance or pitch p can be 40 ⁇ m.
  • Typical trenches forming the ring structure can have an aspect ratio of about 10 or 10:1, the aspect ratio being defined as depth/width
  • Another aspect of the invention is a method for producing interconnect structures, more particularly electrical interconnects, between microelectronic elements.
  • the method has the advantage that it is relatively easy to perform. It can be applied relatively cheaply compared to the state of the art methods for forming electrical interconnects.
  • Embodiments of the method of the present invention can, for instance, be applied in thin chip technology as MCM (Multi Chip Module) and system in a package (SIP) technology.
  • Embodiments of the method of the present invention may be applicable to Wafer Level Packaging (WLP) technology as well as Stacking of IC (SIC) Technology, and/or MEMS packaging. These technologies are referred to as 3D-WLP and 3D-SIC technologies in the art when referring to the aspect of creating 3-dimensional interconnects. Therefore electrical through hole interconnects are realized for making 3D stacks of semiconductor, e.g. Si, wafers and chips, for packaging applications of MEMS devices.
  • WLP Wafer Level Packaging
  • SIC Stacking of IC
  • FIG. 4( a ) to FIG. 4( d ) A general overview of a method according to embodiments of the present invention for the fabrication of electrical interconnects in a substrate 1 are illustrated in FIG. 4( a ) to FIG. 4( d ).
  • the top parts of these drawings are top views, while the bottom parts of these drawings are cross-sections through the substrate 1 in a direction perpendicular to a main surface S 1 of the substrate 1 .
  • a ring-structure 2 a e.g. a ring-trench in the substrate 1 at the side of the first main surface S 1 .
  • This can be done by using a photoresist layer on the first main surface S 1 in which a ring-like trench can be defined, e.g. by using state of the art lithography techniques on the first main surface S 1 .
  • the ring-structure 2 a is fabricated in the substrate 1 by removing substrate material, e.g. by etching a circular trench in the substrate 1 (e.g. Si substrate etch), thus producing an inner pillar structure 4 of substrate material;
  • a dielectric material 3 preferably a fluid, hereby also providing a layer of dielectric material 3 on the first main surface S 1 ;
  • the inner pillar structure may already comprise a conducting material, for example conductive silicon.
  • the material of the inner pillar structure does not provide a conducting path from the top to the bottom of the structure, the following processes can be performed.
  • the dielectric material 3 can be patterned so as to free at least the location of the substrate pillar structure 4 , removing, e.g. etching away, the substrate pillar structure 4 , e.g. silicon substrate or silicon substrate further comprising ILD layer, thus leaving a pillar vacancy 2 b ; and filling the pillar vacancy 2 b with conductive material, e.g. metallizing the vacancy 2 b.
  • the ring-structure surrounding the inner pillar structure can be circular or more oval in cross-section substantially parallel with the first surface of the substrate, or can even have a shape with corners or rounded corners (for example can be a square, a hexagon, or more generally a polygon; the polygon shapes can be regular or irregular . . . ).
  • a substrate 1 of substrate material is provided, the substrate 1 having a first main surface S 1 .
  • the substrate 1 can be a wafer or a processed wafer. It can be a microelectronics component, possibly bonded to a wafer.
  • the substrate 1 is a semiconductor substrate.
  • the substrate 1 may comprise silicon or conductive silicon (for example realized by implantation), and in particular embodiments may be a silicon substrate or a conductive silicon substrate.
  • the substrate 1 may comprise electronic or MEMS devices.
  • the substrate 1 is a semiconductor wafer or die comprising a back-end-of-line (BEOL) and a front-end-of-line (FEOL), wherein the first main surface S 1 is the backside of the wafer or die, i.e. the side which is most remote from the BEOL.
  • the substrate thickness may be between about 10 ⁇ m and 500 ⁇ m, for example between 20 ⁇ m and 300 ⁇ m, e.g. between 20 ⁇ m and 100 ⁇ m. In particular embodiments, the substrate thickness may be about 50 ⁇ m.
  • a ring structure 2 a is produced in the substrate 1 from the first main surface S 1 towards a second main surface S 2 opposite the first main surface S 1 , the ring structure 2 a surrounding an inner pillar structure 4 of substrate material as illustrated in FIG. 4 a .
  • the ring structure 2 a does not extend down to the second surface S 2 , so that the ring structure 2 a has a bottom surface 24 .
  • the ring structure 2 a may be produced by etching the substrate 1 , e.g. through a mask or by lithography. The etching of the substrate 1 may for example be performed by dry etching and/or wet etching.
  • Deep Reactive Ion Etching-Inductive Coupled Plasma may be used.
  • the ring structure 2 a may be etched up until a metal layer of the BEOL or on top of the BEOL.
  • the ring structure 2 a can also be etched down to the contacts forming the connection between the FEOL and BEOL.
  • the ring structure 2 a has a constant width w.
  • the ring structure 2 a is defined in the plane of the first main surface S 1 by 2 concentrical circles 20 , 21 (inner and outer border of the ring structure 2 a —see top part of FIG. 4 a ), and is further defined in the direction orthogonal to the first main surface S 1 by an inner and an outer sidewall 22 , 23 corresponding to the concentrical circles 20 , 21 , respectively.
  • the inner sidewall 22 and outer sidewall 23 are connected by a bottom surface 24 .
  • the width w of the ring structure 2 a is defined by the average distance between the 2 concentrical circles 20 , 21 .
  • the average width w of the ring structure 2 a is larger than about 0.5 ⁇ m.
  • the average width of the ring structure 2 a is smaller than about 20 ⁇ m, or smaller than about 10 ⁇ m, or smaller than 7 ⁇ m, or smaller than 6 ⁇ m or below 5 ⁇ m or below 4 ⁇ m, or can vary between 20 ⁇ m and 0.5 ⁇ m, between 10 ⁇ m and 0.5 ⁇ m, between 15 ⁇ m and 2 ⁇ m or between 10 ⁇ m and 3 ⁇ m or between 7 ⁇ m and 5 ⁇ m. In case of large widths w, more material is needed to fill the trenches.
  • FIG. 10 showing a vertical cross-section of a substrate 1 provided with a ring structure 2 a filled with dielectric material 3 (in accordance with process (D)).
  • the ring structure 2 a illustrated has a constant width w of 5 ⁇ m.
  • voids 100 can be avoided by optional dielectric coating processes, wetting layers, and other treatments.
  • an extra layer (not illustrated) can be applied on the sidewalls 22 , 23 and bottom surface 24 of the ring structure 2 a .
  • This extra layer can improve later selective removal of the inner pillar structure 4 with respect to the dielectric material 3 the ring structure 2 a will be filled with, if the material of the inner pillar structure 4 can better be selectively removed with respect to the extra layer than with respect to the dielectric material 3 .
  • the extra layer then functions as an etch-stop layer.
  • the extra layer may also be a diffusion barrier layer, which prevents later on diffusion of conductive material 5 (e.g., Cu, Al, . . . ) into the dielectric material 3 and into the neighboring substrate 1 , i.e. into the neighboring non-pillar substrate (for example in case the dielectric material 3 e.g. polymer inside the ring structure 2 a is not sufficiently for preventing such diffusion).
  • conductive material 5 e.g., Cu, Al, . . .
  • the neighboring non-pillar substrate for example in case the dielectric material 3 e.g. polymer inside the ring structure 2 a is not sufficiently for preventing such diffusion.
  • the extra layer may also be such that it provides appropriate wetting properties so as to enhance the fill efficiency for the dielectric material 3 into the ring structure 2 a when filling, e.g. for avoiding formation of voids 100 as illustrated in FIG. 10 .
  • the extra layer combines two or all of these functionalities.
  • the extra layer may comprise different sub-layers each providing one or more of these functionalities.
  • a wetting sub-layer i.e. a sub-layer providing appropriate wetting properties
  • this sub-layer is preferably provided last, so that it thus eventually is in contact with the dielectric material 3 .
  • a barrier layer i.e. a sub-layer providing appropriate diffusion barrier properties, can be provided.
  • this layer is preferably applied first.
  • these layers may also have to be removed at the top of the inner pillar structure 4 (process E) before the inner pillar structure 4 itself can be removed (process F).
  • a silicon oxide can have the function of barrier layer, an etch stop layer and a wetting layer at the same time.
  • Other barrier layers include Ta(x), Ti(x). Ti(x) can also serve as a wetting layer.
  • the notation “Element(x)” is used when any Element-alloy or Element-compound is meant.
  • the barrier layer may comprise silicon oxide, Ta or Ti.
  • the wetting layer may comprise Ti or silicon oxide.
  • the etch stop layer may comprise silicon oxide.
  • no extra layers are provided between producing of the ring structure 2 a as in process (B) and filling of the ring structure as in process (D), but only pre-wetting can be performed to improve the filling in process (D).
  • Pre-wetting is introducing a solution, (may be spun and cured), before spinning the dielectric material. This can make the surface hydrophilic and helps the dielectric material, e.g. polymer to flow into the trench.
  • the pre-wetting agent can be the solvent of the dielectric.
  • the average width of the ring structure 2 a is chosen such that the ring structure is completely filled with the dielectric material 3 , thus leaving no voids underneath the dielectric material 3 (see above).
  • a vacuum process may be added to ensure proper filling of the ring structure 2 a , e.g. Si substrate holes.
  • the dielectric material 3 is benzocyclobutene (BCB) this is advantageously performed at about 170° C. as this material exhibits its largest flow at that temperature, before it starts to cure at higher temperatures.
  • RH8023 does not need to be applied at high temperatures such as e.g. about 170° C. It is flowing at room temperature.
  • the dielectric material 3 is applied in the ring structure 2 a in liquid phase.
  • This can be spin-on or spray-on or CVD-deposited polymer as well as deposited/grown oxides or nitrides.
  • the dielectric material 3 can be applied by spin coating or spray coating a layer of dielectric material on top of the first main surface S 1 .
  • the applied dielectric material 3 is typically not only filling the ring structure 2 a , but is also forming a layer on top of the main first surface S 1 .
  • the dielectric material comprises, or consists of, Benzo Cyclo Butene (BCB).
  • BCB Benzo Cyclo Butene
  • it comprises or consists of silicone, Epoxy-based materials, or spin on dielectrics from R&H as for instance R&H 8022 and 8023 (with various viscosity versions).
  • the dielectric material 3 e.g. BCB
  • the dielectric material 3 is applied when the substrate 1 is heated above about 20° C. or above 30° C. or above 40° C. or above 50° C., or above 60° C., or above 70° C., or above 80° C., or above 90° C., or above 100° C., or above 110° C., or above 120° C.
  • RH8023 can be used.
  • the filling can be done at lower temperatures, even at room temperature.
  • the substrate heating leads to lower viscosity of the dielectric material 3 , which may be advantageous for filling properties of the dielectric material 3 , as illustrated in FIG. 10 , where the top of the trenches is not filled in areas with high trench density.
  • One, two or more, a multiple of subsequent spin coating processes and/or spraying processes can be applied to improve the efficiency of filling the ring structure 2 a with the dielectric material 3 .
  • planarization of the dielectric material 3 can be improved using subsequent spin coating processes.
  • certain materials e.g. BCB
  • BCB in dense structures these materials fill the trench only half way (or 2 ⁇ 3) from the bottom due to limited amount of material available on the wafer.
  • a second (sometimes even third, fourth, . . . ) dielectric coating can help to complete the fill.
  • the surface topography may improve (i.e. the surface roughness is reduced) by multiple coatings.
  • the planarizing dielectric material(s), that can be one or more extra layers on top of the first dielectric coating, can preferably be benzocyclobutene (BCB) or RH8023-10. Also RH8023-2 can be used.
  • the dielectric material can be diluted with its suggested/relevant solvent. These multiple spin coating processes typically result in a flatter front surface.
  • the dielectric material 3 is chosen and applied such that the resulting structure provided with the dielectric material 3 has an essentially flat topology (flat front surface of the wafer).
  • the dielectric material 3 forming a layer on top of the first main surface S 1 may also be non-uniform, for example the surface thickness of the applied dielectric material 3 is not uniform. It may be thicker on less dense via regions while thinner on regions with a higher via density. This may be caused by the fact that more dielectric material is consumed for filling the ring trenches 2 a.
  • a dimple 9 may be formed just on top of the ring trenches 2 a /vias (see FIG. 11 and FIG. 12 ).
  • FIG. 14 shows a problem that can arise in case of a dimple at the locations of the trenches.
  • opening of the dielectric layer on top of the inner pillar without further planarization of the first dielectric layer is represented.
  • FIG. 14( a ) represents the topography of the first dielectric layer including a dimple at the locations of the trenches. Due to topography of the single layer polymer fill, resist spun on it, is not uniform.
  • FIG. 14( b ) represents the topography of the resist layer after opening the resist layer on top of the inner pillars. Further dry etch selectivity to resist is typically low (both are kind of polymers) so CD enlarges (see FIG. 14( c )). The problem becomes larger with the increased thickness of polymer since CD loss is directly related to etch time, which in turn is directly related to material thickness to be removed. This is why according to embodiments of the present invention the double coating idea is applied: both to remove topography and to be able to use photo patternability of the dielectric material.
  • either an extra resist layer can be deposited on the dielectric 3 or the dielectric material 3 can be chosen to have itself lithographic properties.
  • the extra topography results in different height of the mask (being either the dielectric 3 itself or an extra resist layer on top thereof) during lithography and this may cause problems because of the limited depth-of-focus during illumination.
  • the dielectric material 3 having litho properties or the extra resist layer on top may have a non-uniform thickness. During development, thinner regions develop faster than thicker regions eventually resulting into non-uniform print and CD loss. If the dielectric material 3 is not photopatternable, it can be patterned with a hard and/or resist mask accompanied by dry etch.
  • a dielectric layer twice can be the same dielectric layers or the same materials with different viscosities, or different material with the same or a different viscosity
  • the second dielectric layer has a higher viscosity than the first dielectric material, but in alternative embodiments the first dielectric layer can also have a higher viscosity than the second dielectric material. This allows to separate trench filling requirements from patterning requirements.
  • the procedure can be divided into essentially 3 processes ( FIGS. 13 ( a ), ( b ), ( c )).
  • First dielectric layer 3 a is chosen for trench filling capabilities and used to fill the trenches 2 a (see FIG. 13( a )). It can be photopatternable or not.
  • the first dielectric layer 3 a is then etched back.
  • the top surface is very smooth.
  • the first dielectric layer 3 a can be only partially removed, or etch-back can be done until the surface of the wafer 1 is completely exposed/cleaned, as represented in FIG. 13( b )).
  • slight over-etch can be done to clean the wafer surface completely.
  • the wafer surface is clean of dielectric layer 3 a .
  • the trenches/vias are still substantially completely filled with the dielectric layer 3 a .
  • the trenches 2 a are completely filled with dielectric material 3 a , such that the remaining topography is limited.
  • a second layer of dielectric material 3 b is applied on the surface. Since the trenches are filled before applying the second dielectric layer 3 b (see FIG. 13( c )), the topography on the wafer surface is lower compared to the non-filled case. The second layer of dielectric material 3 b will distribute uniformly, more uniformly compared to first layer 3 a.
  • the second dielectric layer 3 b may be of the same dielectric material as the first dielectric material 3 a or may be the same material with a different viscosity or may be a different dielectric material.
  • the second dielectric layer is thin, i.e. about 10 ⁇ m, even better below 5 ⁇ m, even better below 2 ⁇ m for example around 2 ⁇ m. This facilitates contact alignment and the field visibility is better for visible light source. This only holds for particular alignment schemes, and is not relevant in case of for example front to back side alignment or infrared alignment.
  • the material can be chosen to tune the surface thickness of the dielectric layer 3 b or the material can be chosen for a specific material property, e.g. resistance to dry or wet etch. In both cases, the choice will depend on the application where this isolated via is used.
  • the second dielectric material 3 b is preferably benzocyclobutene (BCB) or RH8023-10.
  • the second dielectric material 3 b is a photo BCB or a non-photo benzocyclobutene (BCB).
  • RH8023-2 can be used.
  • the dielectric material 3 and the width w of the ring structure 2 a are predetermined such that the ring structure 2 a is completely filled by the dielectric material 3 , at a predetermined temperature as for instance room temperature, or any temperature below about 250° C., or below 150° C.
  • a predetermined temperature as for instance room temperature, or any temperature below about 250° C., or below 150° C.
  • the temperature during processing needs to be limited and still the trenches need to be filled with dielectric material.
  • a proper choice of the filling material and/or the dimensions of the trenches may facilitate filling under these circumstances.
  • the dielectric material 3 , the width w of the ring structure 2 a , and the average inter-ring structure distance p or ring structure density are predetermined such that the ring structure 2 a is completely filled by the dielectric material 3 .
  • the dielectric material 3 for the interconnect structure, the width w of the ring structure 2 a , and the average inter-ring structure distance p or ring structure density are predetermined such that the ring structure 2 a is completely filled by the dielectric material at a predetermined temperature, as for instance room temperature, or at any temperature below about 250° C., or below about 150° C., such that, for example, ICs are not destroyed by the temperature budget.
  • a predetermined temperature as for instance room temperature, or at any temperature below about 250° C., or below about 150° C.
  • This via may be used in post CMOS processing and specifically for example for memory applications.
  • the temperature is preferably as low as possible, even below 130° C.
  • the dielectric material can be applied on a heated substrate.
  • the dielectric material can be locally heated, such that the viscosity is locally changed/reduced. That way flowing is enhanced and better filling can be achieved.
  • the substrate 1 can for instance be heated to one of about 20, 30, 40, 50, 60
  • the dielectric material 3 on the first main surface S 1 is patterned so as to open the layer of dielectric material 3 at least on top of the inner pillar structure 4 (not illustrated in FIG. 4 ). According to certain embodiments of the present invention, opening the layer of dielectric material 3 in at least the region above the inner pillar structure may be performed by lithography and etching.
  • the second dielectric material 3 b can be removed from the top of the inner pillar structure 4 (see FIG. 13( d )) to open up the pillar structure 4 during process (E). This can be done by extra lithography and etch processes.
  • the second dielectric layer 3 b can be chosen to be photopatternable. As such the second dielectric material 3 b can be removed from the top of the inner pillar structure 4 by standard litho process without extra resist coating on top. As such the uniformity of the print can be improved and CD loss problems can be reduced.
  • the inner pillar structure is a conductive material, for example conductive silicon, for example realized by implantation, processes (F) (G), and (H) can be omitted.
  • the inner pillar structure 4 is removed down to the bottom 24 of the ring structure 2 a , leaving a pillar vacancy 2 b , as illustrated in FIG. 4 c .
  • the inner pillar structure can be removed to a level lower than the bottom of the trenches, for example when landing in the ILD layer with for example W contacts.
  • the inner pillar structure can also be etched to a level higher than the bottom of the ring structure.
  • This removal of the inner pillar may be performed by etching. It can be performed by wet or dry etching or a combination of both.
  • At least one layer (not illustrated) comprising a different material than the rest of the substrate 1 can be part of the substrate, and may have to be removed partially, for example at the bottom of the inner pillar structure 4 , for example to realize electrical contact with underlying devices, e.g. a PMD layer.
  • Removing the at least one layer comprising different material can mean e.g., etching it away when removing the inner pillar structure 4 . This may occur in one single process, e.g., one single etch process together with the other materials present in the pillar structure 4 , or may be performed in different subsequent processing (etching sub-processes), depending on the materials present in the inner pillar structure 4 .
  • Each process can be adapted for selectively removing a substrate pillar sub-layer or subsequent sub-layers (for example by changing the etch chemistry in case of dry and/or wet etching), but always with a large selectivity with respect to the dielectric material 3 surrounding the substrate pillar structure 4 as this material has to stay (partially) in the hole.
  • a typical example of such a layer is an inter level dielectric (ILD) layer, which is a layer present in a back-end-of-line (BEOL) interconnect structure; having a function of electrically isolating the metal interconnects in the BEOL from for example the front-end-of-line (FEOL).
  • ILD layers or ILD materials are an oxide, e.g. silicon oxide, Tetraethooxysilane (TEOS) Oxide, low-k dielectrics, polymers as BCB or polyimide (epoxy based polymers can be added).
  • the removal of the pillar structure 4 may be performed by etching, e.g. by dry etching.
  • DRIE-ICP Deep Reactive Ion Etching-Inductive Coupled Plasma
  • it can be first a wet etch and afterwards a dry etch. It can be first a wet etch of a semiconductor part, e.g. silicon part, of the pillar structure 4 , followed by a dry etch of an ILD layer of the pillar.
  • it can be first a dry etch and afterwards a wet etch. It can be first a dry etch of a semiconductor part, e.g. silicon part, of the pillar structure 4 , followed by a wet etch of an ILD layer of the pillar structure 4 .
  • a barrier layer (not illustrated) may be applied after having removed the inner pillar structure 4 , rather than applying it between generating the ring structure 2 a and filling the ring structure 2 a with dielectric material 3 .
  • the details given with respect to the barrier layer provided during process (C) also hold for a barrier layer provided during this optional process (G).
  • the vacancy 2 b is at least partially filled with a conductive material 5 , hereby forming an interconnect structure, the interconnect structure forming an electrical path from the bottom surface up until the first main surface.
  • Filling pillar vacancy 2 b is preferably performed by first depositing a seed layer and then performing electroplating of the conductive material 5 .
  • the seed layer may cover the whole surface of the wafer, i.e. the top surface of the substrate 1 and the side walls and bottom wall of the vacancy 2 b .
  • the seed layer may be applied for instance by chemical vapor deposition (CVD), by physical vapor deposition (PVD), electro chemical deposition (ECD), sputtering techniques, MOCVD, as well as by any other method known in the art.
  • a metal paste e.g. Cu paste, can be applied.
  • the conductive material 5 may be a metal.
  • the conductive material may be copper (Cu), aluminum (Al), tungsten (W) or any other metals used in the field.
  • the conductive material can also be an alloy, an implanted semiconductor, conductive silicon, conducting polymers. Also combinations of above mentioned materials can be used.
  • the process of depositing a conductive material possibly creates an interconnect with an external contact. This process can comprise various sub-steps.
  • Extra layers underlying the conductive material or intermediate layers can be used. This can be for example improve the filling of the holes, adhesion of the conductive material, reduce the stress, prevent interdiffusion of materials . . . .
  • the removed inner pillar structure 4 is completely filled with conductive material, e.g. metal, so as to form a conductive pillar, e.g. metal pillar 5 .
  • conductive material e.g. metal
  • the interconnect structure is a layer of conductive material covering the bottom surface and side surfaces of the removed inner pillar structure 4 , hereby leaving or forming an inner conductor hole. This can be produced by conformal plating of such layer. This is illustrated in FIG. 8 and FIG. 9 .
  • the inner conductor hole is filled with a dielectric material, see FIG. 8 .
  • the dielectric material, conductive material for the interconnect structure, and the width of the ring structure are predetermined such that the ring structure is completely filled by the conductive material 5 .
  • a method according to embodiments of the present invention may further comprise thinning the substrate 1 from the second main surface S 2 until the created conductive structure 5 is reached.
  • This embodiment results in an interconnect extending from the first main surface S 1 to the second main surface S 2 of the substrate 1 .
  • the interconnection is also called a via or through-hole or through silicon via (TSV) in silicon three-dimensional integration.
  • the thinning can be performed by for instance mechanical operations such as grinding or other state of the art techniques.
  • the thinning may comprise chemically thinning, e.g. by dry etching or plasma etching the substrate 1 from the second main surface S 2 , the thinning being halted when the interconnect structure 5 is reached.
  • the chemical thinning may not stop at the level where the conductive structure 5 is reached, but may continue above that level (thus slightly over etching the substrate 1 , e.g. silicon substrate).
  • the interconnect structure (the conducting material 5 or the combined conductive material 5 and dielectric 3 ) will then stick out of the etched-back surface, which is advantageous for the addition of a solder volume such as a solder ball or bump.
  • a solder volume as for instance a solder ball or solder bump can further be applied to the conductive structure on the second surface.
  • the embodiments according to embodiments of the present invention provide a cheaper and more performing dielectric process.
  • dielectrics are deposited by CVD, which is relatively expensive.
  • Spray coating is typically more expensive than spin coating but still cheaper than CVD. Since CVD leads to large topography, a negative impact on yield results.
  • a method is disclosed wherein the substrate 1 comprises at least one internal metal surface and wherein the ring structure 2 a is etched up until the metal surface, the internal metal surface hereby serving as an etch stop surface or layer.
  • the internal metal surface may be the first metal layer of the BEOL in typical embodiments.
  • Particular embodiments of the present invention may be applied on carrier, i.e., while the second main surface S 2 of the substrate 1 is further bonded to a temporary carrier 50 (see FIG. 5 , part 1 ) by a bonding agent 51 .
  • This bonding agent 51 can preferably be temporary. This is preferably done before an optional thinning of the substrate 1 (see FIG. 5 , part 2 ), and before providing, e.g., etching ring structures 2 a (see FIG. 5 , part 3 ).
  • the bonding agent 51 is preferably a low temperature bonding agent, which can withstand temperatures up to about 400° C. or up to 250° C. or up to 170° C. or up to 150° C. or up to 130° C.
  • a temporary bonding agent 51 and thus a carrier 50 being a second temporary substrate which forms together with the substrate 1 a composite substrate, all processes are preferably performed at temperatures which the temporary bonding agent 51 can withstand, e.g. below about 250° C., or below 170° C., or below 150° C. or below 130° C.
  • FIG. 5 A first embodiment of a process flow is illustrated in FIG. 5 .
  • the target device wafer, substrate 1 is being glued or bonded onto a carrier wafer 50 , as illustrated in FIG. 5 , part 1 .
  • This gluing or bonding may be performed by means of a temporary gluing or bonding material 51 .
  • the device wafer 1 comprises a metal 52 which is part of the front-end (e.g. W plugs), back-end (e.g. Cu or Al) or packaging layer (e.g. Cu or Al), and an ILD layer 53 which may be part of the back-end of line stack.
  • the ILD layer can be the first ILD of the interconnects or a layer present at the passivation level.
  • the device wafer 1 is thinned, as illustrated in FIG. 5 , part 2 . This may be performed by grinding the back side of the device wafer 1 so that the final device wafer thickness is lower than the original device wafer thickness. In particular embodiments, the device wafer thickness may be about 50 ⁇ m.
  • a photoresist process is applied on the ground surface S 1 in order to define an annular geometry.
  • a deep reactive ion etch of the annular geometry is being performed, as illustrated in FIG. 5 , part 3 , thus forming a donut or ring structure 2 a .
  • the etching stops for example onto the ILD layer of the device wafer 1 (front-side of the device wafer).
  • This ILD layer is a part of the back-end of line stack, it can be the first ILD of the interconnects or a layer present at the passivation level.
  • a dielectric material 3 e.g. a polymer such as for example BCB, is applied by means of spray or spin coating onto the wafer 1 , as illustrated in FIG. 5 , part 4 .
  • the annular structure 2 a gets filled-up and the surface of the wafer 1 is being passivated by this dielectric material 3 , e.g. polymer.
  • a double coating 3 a , 3 b as described above could be applied.
  • a second lithography process defines an opening in the dielectric material 3 , e.g. a polymer opening, on top of the inner remaining substrate, e.g. Si, pillar 4 .
  • the dielectric material e.g. polymer
  • the dielectric material may for example be dry etched so that the substrate surface, e.g. Si surface, is exposed where the inner pillar structure 4 is present.
  • An etch process such as for example Deep Reactive Ion etching or a wet process such as concentrated TMAH solution being heated up to about between about 60° C. and 80° C., may be used to remove, e.g. etch completely the inner pillar structure 4 .
  • the removal process e.g. etch process may stop onto the ILD layer previously described. Due to the nature of the processes the mechanical integrity of the dielectric material 3 , e.g. polymer, is preserved.
  • a second removal process e.g. etch process, is then used to selectively remove, e.g. etch, the ILD layer to the underlying metal layer at the bottom of the via.
  • the removal, e.g. etch, of the ILD layers stop onto the metal 52 , as illustrated in FIG. 5 , part 5 .
  • the photo resist layer (not illustrated) located on top of the dielectric material 3 e.g. polymer
  • the device wafer 1 with carrier wafer 50 undergo a Ti/Cu seed layer deposition (not illustrated).
  • This seed layer deposition may be done by PVD. In alternative embodiments it can also be done by Ionized PVD or MOCVD.
  • the seed can be repaired by an electroless process.
  • a third lithographic process defines the resist (not illustrated) that is going to be used as a mold for providing conductive material 5 , on the ground surface, e.g. a mold for metal plating, such as e.g. Cu plating.
  • the wafer may be electroplated by means of electro-chemical deposition process, and the interconnects can be redistributed in this way.
  • the via i.e. the hole previously provided, is filled by conductive material such as metal, e.g. Cu, as illustrated in FIG. 5 , part 6 , allowing later on an electrical connection between the back-side surface of the ground wafer 1 and the metal present in the front-side of another wafer.
  • the surface of the applied conductive material e.g. metal such as Cu
  • is being planarized as illustrated in FIG. 5 , part 7 , in order to allow for further assembly where planarity is required (e.g. AKA micro-bumping).
  • the planarization may be a mechanical planarization, such as for example grinding or fly-cutting.
  • the resist is removed (not illustrated) and the seed layer is removed, e.g. etched (not illustrated).
  • the back-side of the ground wafer is being further passivated by for instance a another layer of polymer (not illustrated).
  • the device wafer 1 and the carrier wafer 50 are released, for example by means of heat and/or shear movement, as illustrated in FIG. 5 , part 5 .
  • the temperature of the device wafer 1 with carrier wafer 50 preferably never exceeds the temperature which the temporary bonding layer can stand without curing, e.g. it never exceeds about 130° C.
  • devices as obtained can be stacked, as illustrated in FIG. 5 , part 9 .
  • FIG. 6 A second, third and fourth embodiment of a process flow are illustrated in FIG. 6 in combination with FIG. 7 , FIG. 8 and FIG. 9 , respectively.
  • FIG. 6 part 1 , illustrates provision of a device wafer 1 , for example a silicon device wafer.
  • the device wafer 1 comprises a metal 52 which is part of the front-end (e.g. W plugs), back-end (e.g. Cu or Al) or packaging layer (e.g. Cu or Al), and an ILD layer 53 which may be part of the back-end of line stack.
  • the ILD layer can be the first ILD of the interconnects or a layer present at the passivation level.
  • the device wafer 1 is glued or bonded onto a carrier wafer 50 , as illustrated in FIG. 6 , part 2 .
  • This gluing or bonding may be performed by means of a temporary gluing or bonding material 51 .
  • the device wafer 1 is thinned, as illustrated in FIG. 6 , part 3 . This may be performed by grinding the back side of the device wafer 1 so that the final device wafer thickness is lower than the original device wafer thickness. In particular embodiments, the device wafer thickness may be about 50 ⁇ m.
  • a photoresist process is applied on the ground surface S 1 in order to define an annular geometry, as illustrated in FIG. 6 , part 4 .
  • the patterned photosensitive layer 60 is then used as a mask for etching the substrate 1 so as to provide a ring structure 2 a .
  • a deep reactive ion etch of the annular geometry may be performed, as illustrated in FIG. 6 , part 5 , thus forming a donut or ring structure 2 a .
  • the etching stops onto the ILD layer 53 of the device wafer 1 (front-side of the device wafer).
  • This ILD layer is a part of the back-end of line stack, it can be the first ILD of the interconnects or a layer present at the passivation level.
  • the photosensitive layer 60 is stripped by any suitable process known by a person skilled in the art, as illustrated in FIG. 6 , part 6 .
  • a dielectric material 3 e.g. a polymer such as for example BCB, is applied by means of spray or spin coating onto the wafer 1 , as illustrated in FIG. 6 , part 7 .
  • the annular structure 2 a gets filled-up and the surface of the wafer 1 is being passivated by this dielectric material 3 , e.g. polymer.
  • a double coating 3 a , 3 b as described above could be applied.
  • a second lithography process defines an opening in the dielectric material 3 , e.g. a polymer opening, on top of the inner remaining substrate pillar 4 . Therefore, a photosensitive layer 61 , e.g. photoresist, is applied and patterned, as illustrated in FIG. 6 , part 8 .
  • a photosensitive layer 61 e.g. photoresist
  • the dielectric material 3 e.g. polymer, may for example be dry etched so that the substrate surface, e.g. Si surface, is exposed where the inner pillar structure 4 is present (not illustrated).
  • An etch process such as for example a plasma etch, or a deep reactive ion etching or a wet process such as concentrated TMAH solution being heated up to about between 60° C. and 80° C., may be used to remove, e.g. etch completely the inner pillar structure 4 .
  • the removal process e.g. etch process may stop onto the ILD layer previously described. Due to the nature of the processes the mechanical integrity of the dielectric material 3 , e.g. polymer, is preserved.
  • a further removal process, e.g. etch process may then be used to selectively remove, e.g. etch, the ILD layer 53 to the metal 52 at the bottom of the via.
  • the removal, e.g. etch, of the ILD layers stop onto the metal 52 , as illustrated in FIG. 6 , part 9 .
  • the photo resist 61 located on top of the dielectric material 3 e.g. polymer, is removed, as illustrated in FIG. 6 , part 10 .
  • the device wafer 1 and carrier wafer 50 combination undergo a seed layer 65 deposition, e.g. a Ti/Cu seed layer deposition ( FIG. 6 , part 11 ).
  • This seed layer deposition may be done by PVD. In alternative embodiments it can also be done by Ionized PVD or MOCVD.
  • the seed can be repaired by an electroless process.
  • a third lithographic process defines the resist 63 that is going to be used as a mold for providing conductive material 5 , e.g. a mold for metal plating, such as e.g. Cu plating.
  • the second process flow is further illustrated in FIG. 7 .
  • the wafer is electroplated by means of electro-chemical deposition process, as illustrated in FIG. 7 , part 13 .
  • the via i.e. the hole previously provided, is filled by conductive material 5 such as metal, e.g. Cu, allowing later on an electrical connection between the back-side surface of the ground wafer 1 and the metal present in the front-side of another wafer.
  • the resist 63 is removed ( FIG. 7 , part 14 ) and the seed layer 65 is removed, e.g. etched ( FIG. 7 , part 15 ).
  • the surface of the applied conductive material 5 e.g. metal such as Cu
  • the planarization may be a mechanical planarization, such as for example grinding or fly-cutting.
  • the device wafer 1 is debonded from the carrier wafer 50 , for example by means of heat and/or shear movement, as illustrated in FIG. 7 , part 17 .
  • the third process flow is further illustrated in FIG. 8 , starting from the situation in part 12 of FIG. 6 .
  • a conformal electroplating of conductive material 5 e.g. metal such as Cu, is performed, as illustrated in FIG. 8 , part 13 .
  • the resist 63 is stripped ( FIG. 8 , part 14 ), and the seed layer 65 , previously present under the resist 63 , is removed, e.g. etched away ( FIG. 8 , part 15 ).
  • a third dielectric layer 3 c e.g. polymer, is applied and patterned ( FIG. 8 , part 16 ). This polymer is used to passivate the Cu lines and the patterning is required for the following bump (solder or TLP . . . ) formation process on top of the Cu pad.
  • This polymer is used to passivate the Cu lines and the patterning is required for the following bump (solder or TLP . . . ) formation process on top of the Cu pad.
  • a further seed layer 80 is deposited, e.g. Ti/Cu seed layer ( FIG. 8 , part 17 ).
  • This seed layer deposition may be done by PVD. In alternative embodiments it can also be done by Ionized PVD or MOCVD.
  • the seed can be repaired by an electroless process. Seed repair improves filling of the via for example when using Cu plating inside the via.
  • a further resist 81 is applied and patterned ( FIG. 8 , part 18 ) to plate the bump material ( FIG. 8 , part 19 ) for example Cu plating followed by Tin (Sn) plating.
  • the resist that is put there for bump plating is stripped ( FIG. 8 , part 20 ) and the Ti/Cu seed 80 is etched ( FIG. 8 , part 21 ) (typically wet).
  • the Sb surface can be planarized ( FIG. 8 , part 22 ), for example by fly cutting, for increased yield during flipchip bonding.
  • the temporary carrier is removed either before or after 3D stacking ( FIG. 8 , part 23 ).
  • the fourth process flow is further illustrated in FIG. 9 , starting from the situation in part 12 of FIG. 6 .
  • a conformal electroplating of conductive material 5 e.g. metal such as Cu, is performed, as illustrated in FIG. 9 , part 13 .
  • the resist 63 is stripped ( FIG. 9 , part 14 ), and the seed layer 65 , previously present under the resist 63 , is removed, e.g. etched away ( FIG. 8 , part 16 ).
  • the surface of the applied conductive material 5 e.g. metal such as Cu
  • the planarization may be a mechanical planarization, such as for example grinding or fly-cutting.
  • the device wafer 1 is debonded from the carrier wafer 50 , for example by means of heat and/or shear movement, as illustrated in FIG. 9 , part 17 .
  • the interconnect structure is a layer of conductive material 5 covering the bottom surface and side surfaces of the removed inner pillar structure 4 , hereby leaving or forming an inner conductor hole.
  • the inner conductor hole is filled with a dielectric material.
  • a silicon pillar 4 was etched at a rate of about 3 ⁇ m/min, while the BCB 3 was removed at a rate of 2 ⁇ m/30 min (or 0.067 ⁇ m/min) on a horizontal surface.
  • the selectivity of the DRIE removal process over the BCB is larger than 1:45.
  • BCB is thus compatible with both the DRIE etch and even more with the wet TMAH etch.
  • Silicon wafers 1 were provided with ring structures 2 a by dry etching.
  • the first main surface of the substrate 1 was pre-treated with an adhesion promoter, e.g. AP3000 from Dow Chemicals, at 70° C., followed by the application of a BCB layer 3 via spin coating at room temperature (about 20° C.).
  • BCB 3022-46 was used, spread for 20 s at 500 rpm rotation speed, followed by 10 s at 2000 rpm rotation speed.
  • the 5 ⁇ m large trench was half-filled without voids (before cure) (cfr FIG. 10 ).
  • a second BCB coat has then successfully been applied to fill the via completely for the 5 ⁇ m wide trenches.
  • An oxide layer present at the interface between the BCB 3 and the silicon pillar 4 provides appropriate wetting properties to allow for a more easily filling (or complete filling) of the trenches. It could provide also the function of etch stop layer, and possibly also as a diffusion barrier layer (avoiding metal atoms, e.g. copper atoms, to diffuse into and through the BCB into the neighboring substrate).
  • Polymers like BCB can be deposited at relatively low temperatures, when compared to for instance CVD Si oxide deposition.
  • a typical prior art CVD Silicon oxide deposition process in order to create isolated holes, is also often limited by the characteristic of bad process coverage properties.
  • Silicon oxide deposition typically also produces layers with about uniform thickness, which limits design freedom. Silicon oxide can easily build up stress and is not flexible.
  • BCB is patternable, since it is for instance anisotropically dry or plasma-etchable. BCB is easy to process and spin and spray coatable. It has good planarization properties. BCB is flexible and low stress formation appears. BCB provides a large design freedom. Water absorption is low. Adhesion properties to substrate and conductor are present. Other properties of BCB can be found in Burdeaux et al., “Benzocyclobutene (BCB) Dielectrics for the Fabrication of High Density, Thin Film Multichip Modules.”, journal of Electronic materials, Vol. 19, No. 12, 1990, which is incorporated herein by reference.
  • FIG. 15 shows topography measurement on the print after etching the second dielectric layer (cfr. FIG. 14( c )) produced by the 3 process procedure represented in FIG. 14 . Twice the same dielectric layer was used, with the same viscosity i.e. RH8023-10 spun at 60° C. The thickness of the polymer is ⁇ 7 ⁇ m. This polymer is photopatternable and should be soft baked at ⁇ 100° C., exposed, and developed after spinning and then finally cured at ⁇ 190° C. It was found that softbake reduced the filling performance and increased the surface roughness. When spinning the material and moving to the final curing at ⁇ 190° C. directly (no softbake, exposure or development), the filling of the trenches improved.
  • the first dielectric layer received direct cure.
  • the second dielectric layer received standard litho processing of the polymer, i.e. softbake at ⁇ 100° C., exposure, development and finally cure at ⁇ 190° C.
  • the thickness of this layer is about 7 ⁇ m.
  • FIG. 15 shows a topography measurement after patterning the second dielectric layer on top of the center Si block. It shows nice definition of the mask pattern on the second dielectric layer.
  • both first (for filling) and second (for surface coverage after recess and patterning) dielectric layers are the same viscosity version of the same material, which is a thick version because filling is more efficient.
  • This graph shows a good definition of the mask pattern using double coating of dielectric layers with a recess etch in between and using the photo patternable properties of the second dielectric layer, while first layer is used just to fill the ring trench.
  • FIG. 16 shows the topography measurement on the print produced by the above-mentioned 3 process procedure represented in FIG. 14 and using two different viscosity versions of the same dielectric layer where the filling is done by the less viscous version.
  • the diluted version of the same material is used for the second layer.
  • the thickness of the second layer is 2 ⁇ m.
  • FIG. 16 shows a topography measurement after patterning the dielectric layer on top of the center Si block. It shows nice definition of the mask pattern on the second dielectric layer. In this case the two dielectric layers have a different viscosity, i.e. the second dielectric on top has a higher viscosity than the first dielectric.
  • the second dielectric layer applied after recess is a thinner version of the first one which is used for filling.
  • the second dielectric in this case is thinner than in FIG. 15 .
  • This graph also shows a good definition of the mask pattern using double coating of dielectric layers with a recess etch in between and using the photo patternable properties of the second (thinner) dielectric layer, while first layer is used just to fill the ring trench.
  • thermo-mechanical properties of polymers and silicones are such that “flexible” and less fragile structures, more specifically interconnect structures can be created.
  • One embodiment of the invention allows smaller pitch and thus higher density interconnects.
  • the method according to one embodiment of the present invention provides quicker, easier and cheaper processing.

Abstract

A method for the fabrication of electrical interconnects in a substrate is disclosed. In one aspect, the method includes providing a substrate having a first main surface. The method may further include producing a ring structure in the substrate from the first main surface, which surrounds an inner pillar structure and has a bottom surface. The method may further include filling the ring structure with a dielectric material. The method may further include providing a conductive inner pillar structure, thereby forming an interconnect structure, which forms an electrical path from the bottom surface up until the first main surface. This conductive inner pillar structure can for example be provided by removing the inner pillar structure leaving a pillar vacancy and partially filling the vacancy with a conductive material. The dielectric material may be applied in liquid phase.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation of PCT Application No. PCT/EP2008/063892, filed Oct. 15, 2008, which claims priority under 35 U.S.C. §119(e) to U.S. provisional patent application 60/980,136 filed on Oct. 15, 2007. Each of the above applications is incorporated herein by reference in its entirety.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates to the field of electrical interconnection technologies for microelectronics system elements. In particular, the present invention relates to methods for producing electrical through hole interconnects.
  • 2. Description of the Related Technology
  • The evolution of very-large-scale integration (VLSI) technology calls for an increasing bandwidth of interconnects between integrated circuits (IC's), and/or other system elements. For short interconnects, electrical signal lines maintain the highest capacity and speed. In order to keep up with the increasing speed and density requirements, system in a package (SIP) technology is increasingly used. This creates the need for 3-dimensional interconnects.
  • An example of the fabrication of interconnects can be found in patent U.S. Pat. No. 6,908,856, in which a method for the fabrication of a device comprising electrical through hole interconnects is disclosed. This prior art method is illustrated in FIG. 1( a) to FIG. 1( h) and comprises providing a substrate 1 (FIG. 1( a)); dry etching in the substrate 1 so as to form at least one substrate hole 2 (FIG. 1( b)); depositing a first layer of patternable dielectric material 3 on the substrate 1, wherein the substrate holes 2 are filled with the dielectric material 3 after which the substrate surface is planarized (FIG. 1( c)); anisotropically dry etching of the patternable dielectric material 3 within the substrate holes 2 so as to form at least one dielectric hole 2 b within the substrate hole 2 until the bottom of the substrate hole 2 is reached, wherein the sidewalls of the substrate hole 2 remain covered with the first layer of patternable dielectric material 3, thus forming a dielectric hole 2 b (FIG. 1( d)); depositing a layer of conductive material 5 on the bottom and on the sidewalls of the dielectric hole 2 b so as to transform the dielectric hole 2 b into a conductor hole (FIG. 1( e)); and depositing a second layer of patternable dielectric material 6 on top of the layer of conductive material 5, wherein the conductor hole is filled (FIG. 1( f)). The fabrication of the interconnects may be completed by etching the substrate 1 from the back side, hereby creating holes 7 and halting when the conductive material 5 and the dielectric material 3 are reached (FIG. 1( g)), and the addition of solder bumps 8 on the studs of conductive material 5, for bonding purposes (FIG. 1( h)).
  • It is a disadvantage of the above fabrication method that the dielectric coverage of the via sidewalls and corners is not uniform, so there is a non-conformal deposition. A disadvantage of this approach is limited scalability of this via. Also dielectric patterning with high aspect ratio becomes difficult. Typically a sidewall angle is observed in the dielectric pattern which limits the smallest dimension that can be opened at the bottom of the via. Furthermore, the dielectric material 3 does not necessarily planarize the surface, it should be just conformal.
  • In the article “Enabling 3D design”, Arthur Keigler et al., Semiconductor International, August 2007, p 40, a method for creating an interconnect is disclosed, as illustrated in FIG. 2, wherein, for creating two interconnects, two rings are etched in a silicon substrate, which are then filled with an isolation material, resulting in inner pillars of silicon, laterally surrounded by the isolation material. The inner pillars of silicon are then etched away and the resulting hole is filled with copper.
  • It is a disadvantage of the above fabrication method that the isolation filling is done with oxidation, being a high temperature process. In case the oxide is deposited with chemical vapor deposition (CVD) there will be a gap/seam at the centre of the filling ring.
  • SUMMARY OF CERTAIN INVENTIVE ASPECT
  • Certain inventive aspects relate to a method for the fabrication of interconnects and the interconnects made thereof.
  • In a first aspect, the present invention provides a substrate comprising at least one electrical interconnect structure, the at least one interconnect structure comprising a conductive inner pillar structure in the substrate, and a ring structure comprising a first dielectric material surrounding the conductive inner pillar structure. The thickness non-uniformity of the first dielectric layer is between about 0.5% and 10%.
  • In embodiments of the present invention, the thickness of the first dielectric layer surrounding the conductive inner pillar structures may be between about 50 nm and 20 μm, 100 nm and 10 μm, 200 nm and 10 μm, or between 0.5 μm and 10 μm, for example between 1 μm and 5 μm.
  • The first dielectric material may be a polymer, an oxide or a nitride. The first dielectric material may be BCB or RH8023. The conductive material may be selected from the group of conductive silicon, Cu, Al, and W.
  • In embodiments of the present invention, the substrate has a first main surface, and further comprises a layer of the first dielectric material on the first main surface around the conductive inner pillar structure. In embodiments of the present invention, a plurality of conductive inner pillar structures are present, and the layer of first dielectric material is provided on the first main surface of the substrate in between the conductive inner pillar structures.
  • In a second aspect, the present invention provides a method for the fabrication of at least one electrical interconnect in a substrate. The method comprises a) providing a substrate made of substrate material and having a first main surface, the substrate may be a semiconductor substrate such as a substrate comprising silicon or conductive silicon, b) producing at least one ring structure in the substrate from the first main surface, the at least one ring structure surrounding an inner pillar structure of substrate material, and having a bottom surface, c) filling the at least one 0ring structure with a first dielectric material, wherein the first dielectric material is applied in the ring structure in liquid phase, and d) providing a conductive inner pillar in the at least one ring structure thereby forming at least one interconnect structure forming an electrical path from the bottom surface up until the first main surface.
  • Providing the conductive inner pillar can be done by removing the inner pillar structure leaving an inner pillar vacancy, and at least partially filling the pillar vacancy with a conductive material.
  • According to embodiments of the present invention, the dielectric material is also applied on the first main surface in liquid phase.
  • In accordance with embodiments of the present invention, producing ring structures may be performed by etching, e.g. by dry or wet etching.
  • Filling the at least one ring structure with a first dielectric material may comprise depositing the first dielectric material with spin-on or spray-on or CVD in the ring structure and on the first main surface.
  • The first dielectric material may be a polymer, an oxide or a nitride. The first dielectric material may comprise benzo cyclo butene (BCB), silicone, epoxy-based material, polyimide (PBO), R&H 8022 or 8023-X. In particular embodiments of the present invention, the dielectric material may include benzo cyclo butene, Silicone, epoxy-based material, R&H 8022 or 8023-X. In embodiments of the present invention, the BCB may be diluted.
  • A method according to embodiments of the present invention may further comprise removing the first dielectric material to a level lower than, equal to, or higher than the first main surface and applying a second dielectric material on the first main surface. Embodiments of the present invention may include completely removing the first dielectric material from the first main surface, while still allowing first dielectric material to remain inside the ring structure. The second dielectric material may be applied onto the first main surface and onto the first dielectric material present inside the ring structures. The second dielectric material may be photopatternable.
  • The surface of the second dielectric material may have a roughness lower than the surface of the first dielectric material.
  • Removing the inner pillar structure leaving a pillar vacancy may comprise removing the first dielectric material and, if present, second dielectric material on the inner pillar structures and thereafter removing the inner pillar structures. Thus a method according to embodiments of the present invention may further comprise opening the layer of dielectric material in at least the region above the inner pillar structures before removing the inner pillar structures.
  • The inner pillar structure may be removed by dry and/or wet etching.
  • The substrate may comprise a second main surface opposite the first main surface. A method according to embodiments of the present invention may further comprise thinning the substrate from the second main surface until the interconnect structure is reached. According to a particular embodiment, the method may further comprise thinning (e.g. by etching, e.g. dry etching, plasma etching, wet etching, grinding, or CMP) the substrate from the second main surface, hereby possibly creating holes at the locations of the interconnect structures, and halting the etching when the at least one interconnect structure is reached. In particular embodiments the etching does not stop at the level where the at least one interconnect structure is reached, but continues above that level, thus slightly overetching the substrate. Part of the at least one interconnect structure, comprising conductive material and surrounding dielectric material, will then stick out of the etched back surface, which is advantageous for the addition of solder ball or bump. A method according to embodiments of the present invention may further comprise attaching a solder ball or bump to at least part of the thus freed interconnect structures at the second surface.
  • In embodiments of the present invention, the substrate may comprise internal conducting or metal surfaces at the side the second main surface and the ring structure may be etched up until a conducting or metal surface, the internal conducting or metal surface can hereby serve as an etch stop surface or layer.
  • In a method according to embodiments of the present invention, the substrate may be a semiconductor wafer or die comprising a BEOL and a FEOL, wherein the first main surface is the backside of the wafer or die, i.e. the side remote from the BEOL and the ring structure may be etched up until a metal layer of the BEOL or on top of the BEOL. The metal layer may be the first metal layer of the BEOL.
  • In a method according to embodiments of the present invention, the dielectric material may be applied by spin coating or spray coating a layer of dielectric material on top of the first main surface. Multiple subsequent spin coating processes and/or spraying processes, optionally with a recess etch process in between, can be applied to improve fill efficiency and surface uniformity.
  • The dielectric material may form a layer on top of the main first surface.
  • The layer of dielectric material may have an essentially flat topology.
  • In embodiments of the present invention, the average width of the at least one ring structure may be chosen such that the at least one ring structure is completely filled with the dielectric material. The average width of the at least one ring structure may be smaller than about 10 μm or 7 μm or 6 μm or smaller than 5 μm. The average width of the at least one ring structure may be larger than about 0.5 μm.
  • In embodiments of the present invention, at least partially filling the vacancy may be performed by means of electroplating, or by sputtering, or by evaporating a conductive material or by applying a metal paste, e.g. Cu paste. Electroplating may comprise providing a seed layer, e.g. by sputtering or MOCVD, and then plating a conductive material.
  • Embodiments of the present invention include, before filling the at least one ring structure with the first dielectric material, applying a barrier layer on the sidewalls and bottom surface of the at least one ring structure. This may be done to improve selective removal of the substrate material of the pillar with respect to the dielectric material, e.g. BCB. It may furthermore also prevent diffusion of the conductive material, e.g. Cu-diffusion (in case the dielectric material, e.g. polymer inside the ring structure would not be sufficient for that). Furthermore, the barrier layer may provide appropriate wetting properties so as to enhance the fill efficiency for the dielectric material into the ring structures.
  • Embodiments of the present invention include a method as above wherein the inner pillar vacancies are completely filled so as to form conductive pillars.
  • In embodiments of the present invention, the at least one interconnect structure may comprise a layer of conductive material covering the bottom surface and side surfaces of the ring structure, hereby leaving or forming an inner conductor hole. The layer of conductive material may for example be produced by conformal plating.
  • A method according to embodiments of the present invention may further comprise filling the inner conductor hole with a second dielectric material.
  • In a method according to embodiments of the present invention, a second main surface of the substrate may further be bonded to a temporary carrier. This bonding may be performed by means of a bonding agent. This bonding may be performed before thinning the substrate and before etching ring structures.
  • A method according to embodiments of the present invention may be performed at temperatures which the bonding agent can stand without curing (or degrading in its bonding and debonding properties), e.g. below about 250° C. or below about 150° C. or below about 130° C.
  • Particular and preferred aspects of the invention are set out in the accompanying independent and dependent claims. Features from the dependent claims may be combined with features of the independent claims and with features of other dependent claims as appropriate and not merely as explicitly set out in the claims.
  • The above and other characteristics, features and advantages of the present invention will become apparent from the following detailed description, taken in conjunction with the accompanying drawings, which illustrate, by way of example, the principles of the invention. This description is given for the sake of example only, without limiting the scope of the invention. The reference figures quoted below refer to the attached drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 and FIG. 2 illustrate prior art methods for the fabrication of electrical interconnects.
  • FIG. 3 illustrates interconnect structures according to one embodiment of the present invention (a) with dielectric layer on the surface and (b) without dielectric layer on the surface.
  • FIG. 4( a), (b), (c), and (d) illustrate a fabrication method according to an embodiment of the present invention.
  • FIG. 5 illustrates a fabrication method according to an embodiment of the present invention.
  • FIG. 6 illustrates a generic part of 3 different method embodiments of the present invention, the remainder (non-generic part) of these methods being illustrated respectively in FIG. 7, FIG. 8 and FIG. 9, which each start where FIG. 6 ends.
  • FIG. 7 illustrates an embodiment in which the pillar vacancy is completely filled with a conductive material. Interconnect lines can be processed at the same time while filling with the conductive material.
  • FIG. 8 illustrates an embodiment in which the pillar vacancy is provided with a conformal metal film, leaving an inner conductor ring. This ring is then later filled up with a second dielectric material. The second dielectric material can be the same as the dielectric material used to fill the ring structure. Afterwards interconnect lines can be provided on top of the second dielectric material.
  • FIG. 9 illustrates an embodiment in which the pillar vacancy is provided with a conformal metal film, leaving an inner conductor ring, which is further not filled with a dielectric.
  • FIG. 10 shows partially filled trenches in areas with a high trench density.
  • FIG. 11 shows the dimple at the top of the vias (a) in case of filling the trench with BCB and (b) in case of filling the trench with RH8023.
  • FIG. 12 shows the dimple in the first dielectric layer formed on the locations of the ring structures.
  • FIG. 13 shows the planarization of the dielectric layer by using a combination of two dielectric layers.
  • FIG. 14 shows the opening of the dielectric layer on top of the inner pillar without further planarization of the first dielectric layer.
  • FIG. 15 shows a topography measurement after patterning the second dielectric layer on top of the center pillar. Both first (for filling) and second (for surface coverage) dielectric layers are the same material with the same viscosity version of the same material.
  • FIG. 16 shows a topography measurement after patterning the dielectric layer on top of the center pillar. The second dielectric on top has a higher viscosity than the first dielectric in the trenches.
  • DETAILED DESCRIPTION OF CERTAIN ILLUSTRATIVE EMBODIMENTS
  • The present invention will be described with respect to particular embodiments and with reference to certain drawings but the invention is not limited thereto but only by the claims. The drawings described are only schematic and are non-limiting. In the drawings, the size of some of the elements may be exaggerated and not drawn on scale for illustrative purposes. The dimensions and the relative dimensions do not necessarily correspond to actual reductions to practice of the invention.
  • Furthermore, the terms first, second, third and the like in the description and in the claims, are used for distinguishing between similar elements and not necessarily for describing a sequence, either temporally, spatially, in ranking or in any other manner. It is to be understood that the terms so used are interchangeable under appropriate circumstances and that the embodiments of the invention described herein are capable of operation in other sequences than described or illustrated herein.
  • Moreover, the terms top, bottom, over, under and the like in the description and the claims are used for descriptive purposes and not necessarily for describing relative positions. It is to be understood that the terms so used are interchangeable under appropriate circumstances and that the embodiments of the invention described herein are capable of operation in other orientations than described or illustrated herein.
  • It is to be noticed that the term “comprising”, used in the claims, should not be interpreted as being restricted to the means listed thereafter; it does not exclude other elements or steps. It is thus to be interpreted as specifying the presence of the stated features, integers, steps or components as referred to, but does not preclude the presence or addition of one or more other features, integers, steps or components, or groups thereof. Thus, the scope of the expression “a device comprising means A and B” should not be limited to devices consisting only of components A and B. It means that with respect to the present invention, the only relevant components of the device are A and B.
  • All numbers expressing dimensions, temperatures and so forth used in the specification and claims are to be understood as being modified in all instances by the term “about”. Accordingly, unless indicated to the contrary, the numerical parameters set forth in the specification and attached claims are approximations that may vary depending upon the desired properties sought to be obtained by the present invention.
  • Reference throughout this specification to “one embodiment” or “an embodiment” means that a particular feature, structure or characteristic described in connection with the embodiment is included in at least one embodiment of the present invention. Thus, appearances of the phrases “in one embodiment” or “in an embodiment” in various places throughout this specification are not necessarily all referring to the same embodiment, but may. Furthermore, the particular features, structures or characteristics may be combined in any suitable manner, as would be apparent to one of ordinary skill in the art from this disclosure, in one or more embodiments.
  • Similarly it should be appreciated that in the description of exemplary embodiments of the invention, various features of the invention are sometimes grouped together in a single embodiment, figure, or description thereof for the purpose of streamlining the disclosure and aiding in the understanding of one or more of the various inventive aspects. This method of disclosure, however, is not to be interpreted as reflecting an intention that the claimed invention requires more features than are expressly recited in each claim. Rather, as the following claims reflect, inventive aspects lie in less than all features of a single foregoing disclosed embodiment. Thus, the claims following the detailed description are hereby expressly incorporated into this detailed description, with each claim standing on its own as a separate embodiment of this invention.
  • Furthermore, while some embodiments described herein include some but not other features included in other embodiments, combinations of features of different embodiments are meant to be within the scope of the invention, and form different embodiments, as would be understood by those in the art. For example, in the following claims, any of the claimed embodiments can be used in any combination.
  • In the description provided herein, numerous specific details are set forth. However, it is understood that embodiments of the invention may be practiced without these specific details. In other instances, well-known methods, structures and techniques have not been shown in detail in order not to obscure an understanding of this description.
  • The invention will now be described by a detailed description of several embodiments of the invention. It is clear that other embodiments of the invention can be configured according to the knowledge of persons skilled in the art without departing from the technical teaching of the invention as defined by the appended claims. The embodiments and examples described below do not limit the scope of the invention and they can be slightly changed by ordinary steps known in the art in order to optimize applicability in specific practical situations. Some particular practical embodiments are described below.
  • Embodiments of the present invention relate to methods for producing electrical through-hole interconnects for making 3D stacks of semiconductor wafers and chips, e.g. Si wafers and chips, and for packaging applications of MEMS devices. Embodiments of the present invention relate to electrical through-hole interconnects for making 3D stacks of semiconductor wafers and chips, e.g. Si wafers and chips, and for packaging applications of MEMS devices.
  • One aspect of the present invention is an electrical interconnect structure. FIGS. 3 (a) and (b) illustrate such electrical interconnect structures according to embodiments of the present invention. Such electrical interconnect structure may be obtained by method embodiments of the present invention, as explained below. The interconnect structure according to embodiments of the present invention comprises a conductive inner pillar structure 5 surrounded by a ring structure of first dielectric material 3. The first dielectric material may (FIG. 3( a)) or may not (FIG. 3( b)) be present on the surface. The thickness non-uniformity of the first dielectric layer 3 surrounding the pillar structure 5 is between about 0.5% and 10%. It is advantageous that the dielectric material 3 of an interconnect structure according to embodiments of the present invention has a very uniform thickness on the top surface. This is advantageous for further processing especially when lithography is used to open the inner pillars. This will allow uniform resist coating and good CD control.
  • FIGS. 3( a) and (b) show typical dimensions of a device according to embodiments of the present invention. The wafer or substrate thickness t can be e.g., about 20 μm, or about 30 μm, or about 50 μm, or about 75 μm or about 100 μm, or can vary for example between about 10 μm and 500 μm or between 20 μm and 300 μm, or between 20 μm and 100 μm or between 30 μm and 75 μm. The outer diameter φ of the ring structure can be e.g., about 100 μm, about 50 μm, about 25 μm, about 20 μm, about 15 μm, about 10 μm; for example between about 50 μm and 10 μm. The inter-ring distance or pitch p (for example measured from the center of one ring structure to the center of another ring structure) is preferably larger than the outer diameter φ of the ring structure and can be e.g., about 40 μm, 17 μm, 15 μm, 13 μm, 10 μm, 7 μm, 3 μm, 2 μm, 1 μm, for example between about 100 μm and 1 μm or between 50 μm and 1 μm, or between 40 μm and 1 μm. The ring structure width w is preferably smaller than the outer diameter φ of the ring structure and may be below about 10 μm, or below 7 μm, or below 6 μm or below 5 μm, or can vary between 20 μm and 50 nm 0.5 μm, between 10 μm and 0.5 μm, between 15 μm and 2 μm or between 10 μm and 3 μm or between 7 μm and 5 μm or between 5 μm and 1 μm. For example, in a particular embodiment, the depth d of the ring structure can be 50 μm, the diameter φ can be 25 μm, and the distance or pitch p can be 40 μm. Typical trenches forming the ring structure can have an aspect ratio of about 10 or 10:1, the aspect ratio being defined as depth/width|hole. Aspect ratios of 20 or higher are not excluded. Also smaller aspect ratios are not excluded. The aspect ration can vary between about 1 and 100, but also aspect ratios between 1 and 500, or between 1 and 1000 are possible.
  • Another aspect of the invention is a method for producing interconnect structures, more particularly electrical interconnects, between microelectronic elements. The method has the advantage that it is relatively easy to perform. It can be applied relatively cheaply compared to the state of the art methods for forming electrical interconnects. Embodiments of the method of the present invention can, for instance, be applied in thin chip technology as MCM (Multi Chip Module) and system in a package (SIP) technology. Embodiments of the method of the present invention may be applicable to Wafer Level Packaging (WLP) technology as well as Stacking of IC (SIC) Technology, and/or MEMS packaging. These technologies are referred to as 3D-WLP and 3D-SIC technologies in the art when referring to the aspect of creating 3-dimensional interconnects. Therefore electrical through hole interconnects are realized for making 3D stacks of semiconductor, e.g. Si, wafers and chips, for packaging applications of MEMS devices.
  • A general overview of a method according to embodiments of the present invention for the fabrication of electrical interconnects in a substrate 1 are illustrated in FIG. 4( a) to FIG. 4( d). The top parts of these drawings are top views, while the bottom parts of these drawings are cross-sections through the substrate 1 in a direction perpendicular to a main surface S1 of the substrate 1.
  • A process flow according to embodiments of the present invention comprises:
  • providing a substrate 1 of substrate material, the substrate 1 having a first main surface S1;
  • producing a ring-structure 2 a e.g. a ring-trench in the substrate 1 at the side of the first main surface S1. This can be done by using a photoresist layer on the first main surface S1 in which a ring-like trench can be defined, e.g. by using state of the art lithography techniques on the first main surface S1. Then the ring-structure 2 a is fabricated in the substrate 1 by removing substrate material, e.g. by etching a circular trench in the substrate 1 (e.g. Si substrate etch), thus producing an inner pillar structure 4 of substrate material;
  • filling the ring-structure 2 a with a dielectric material 3, preferably a fluid, hereby also providing a layer of dielectric material 3 on the first main surface S1;
  • providing a conducting inner pillar structure. In embodiments of the present invention, the inner pillar structure may already comprise a conducting material, for example conductive silicon. In case the material of the inner pillar structure does not provide a conducting path from the top to the bottom of the structure, the following processes can be performed. The dielectric material 3 can be patterned so as to free at least the location of the substrate pillar structure 4, removing, e.g. etching away, the substrate pillar structure 4, e.g. silicon substrate or silicon substrate further comprising ILD layer, thus leaving a pillar vacancy 2 b; and filling the pillar vacancy 2 b with conductive material, e.g. metallizing the vacancy 2 b.
  • The ring-structure surrounding the inner pillar structure can be circular or more oval in cross-section substantially parallel with the first surface of the substrate, or can even have a shape with corners or rounded corners (for example can be a square, a hexagon, or more generally a polygon; the polygon shapes can be regular or irregular . . . ).
  • Each of the above method processes, as well as a few other optional method processes, are described below in more detail. Different detailed method flows according to embodiments of the present invention are illustrated in FIGS. 5 to 9.
  • (A) Providing Substrate 1
  • In a first process of the method, a substrate 1 of substrate material is provided, the substrate 1 having a first main surface S1. The substrate 1 can be a wafer or a processed wafer. It can be a microelectronics component, possibly bonded to a wafer. In particular embodiments, the substrate 1 is a semiconductor substrate. The substrate 1 may comprise silicon or conductive silicon (for example realized by implantation), and in particular embodiments may be a silicon substrate or a conductive silicon substrate. The substrate 1 may comprise electronic or MEMS devices. In certain embodiments of the present invention, the substrate 1 is a semiconductor wafer or die comprising a back-end-of-line (BEOL) and a front-end-of-line (FEOL), wherein the first main surface S1 is the backside of the wafer or die, i.e. the side which is most remote from the BEOL. The substrate thickness may be between about 10 μm and 500 μm, for example between 20 μm and 300 μm, e.g. between 20 μm and 100 μm. In particular embodiments, the substrate thickness may be about 50 μm.
  • (B) Producing Ring Structure 2 aFIG. 4 a
  • In a next process, a ring structure 2 a is produced in the substrate 1 from the first main surface S1 towards a second main surface S2 opposite the first main surface S1, the ring structure 2 a surrounding an inner pillar structure 4 of substrate material as illustrated in FIG. 4 a. The ring structure 2 a does not extend down to the second surface S2, so that the ring structure 2 a has a bottom surface 24. The ring structure 2 a may be produced by etching the substrate 1, e.g. through a mask or by lithography. The etching of the substrate 1 may for example be performed by dry etching and/or wet etching. In particular embodiments Deep Reactive Ion Etching-Inductive Coupled Plasma (DRIE-ICP) may be used. In certain embodiments of the present invention, where the substrate 1 is a semiconductor wafer or die comprising a BEOL and a FEOL, the ring structure 2 a may be etched up until a metal layer of the BEOL or on top of the BEOL. The ring structure 2 a can also be etched down to the contacts forming the connection between the FEOL and BEOL.
  • In particular embodiments the ring structure 2 a has a constant width w. In particular embodiments the ring structure 2 a is defined in the plane of the first main surface S1 by 2 concentrical circles 20, 21 (inner and outer border of the ring structure 2 a—see top part of FIG. 4 a), and is further defined in the direction orthogonal to the first main surface S1 by an inner and an outer sidewall 22, 23 corresponding to the concentrical circles 20, 21, respectively. The inner sidewall 22 and outer sidewall 23 are connected by a bottom surface 24. The width w of the ring structure 2 a is defined by the average distance between the 2 concentrical circles 20, 21.
  • In particular embodiments the average width w of the ring structure 2 a is larger than about 0.5 μm. In particular embodiments of the present invention, the average width of the ring structure 2 a is smaller than about 20 μm, or smaller than about 10 μm, or smaller than 7 μm, or smaller than 6 μm or below 5 μm or below 4 μm, or can vary between 20 μm and 0.5 μm, between 10 μm and 0.5 μm, between 15 μm and 2 μm or between 10 μm and 3 μm or between 7 μm and 5 μm. In case of large widths w, more material is needed to fill the trenches. Also in areas with high density of trenches, the trenches are only partially filled if limited amount of dielectric material is used. This is illustrated in FIG. 10, showing a vertical cross-section of a substrate 1 provided with a ring structure 2 a filled with dielectric material 3 (in accordance with process (D)). The ring structure 2 a illustrated has a constant width w of 5 μm.
  • The creation of voids 100 can be avoided by optional dielectric coating processes, wetting layers, and other treatments.
  • (C) Providing Etch Stop Layer, Diffusion Barrier Layer
  • Optionally, in particular embodiments of the present invention, before filling the ring structure 2 a with dielectric material 3, an extra layer (not illustrated) can be applied on the sidewalls 22, 23 and bottom surface 24 of the ring structure 2 a. This extra layer can improve later selective removal of the inner pillar structure 4 with respect to the dielectric material 3 the ring structure 2 a will be filled with, if the material of the inner pillar structure 4 can better be selectively removed with respect to the extra layer than with respect to the dielectric material 3. The extra layer then functions as an etch-stop layer.
  • The extra layer may also be a diffusion barrier layer, which prevents later on diffusion of conductive material 5 (e.g., Cu, Al, . . . ) into the dielectric material 3 and into the neighboring substrate 1, i.e. into the neighboring non-pillar substrate (for example in case the dielectric material 3 e.g. polymer inside the ring structure 2 a is not sufficiently for preventing such diffusion).
  • The extra layer may also be such that it provides appropriate wetting properties so as to enhance the fill efficiency for the dielectric material 3 into the ring structure 2 a when filling, e.g. for avoiding formation of voids 100 as illustrated in FIG. 10.
  • In embodiments of the present invention the extra layer combines two or all of these functionalities.
  • The extra layer may comprise different sub-layers each providing one or more of these functionalities. In combinations where a wetting sub-layer, i.e. a sub-layer providing appropriate wetting properties, is provided, this sub-layer is preferably provided last, so that it thus eventually is in contact with the dielectric material 3. Before that, a barrier layer, i.e. a sub-layer providing appropriate diffusion barrier properties, can be provided. In case a sub-layer is applied to improve selective removal of the pillar structure 4 with respect to the dielectric 3, this layer is preferably applied first.
  • In embodiments where an extra layer is applied, possibly comprising sub-layers, these layers may also have to be removed at the top of the inner pillar structure 4 (process E) before the inner pillar structure 4 itself can be removed (process F).
  • A silicon oxide can have the function of barrier layer, an etch stop layer and a wetting layer at the same time. Other barrier layers include Ta(x), Ti(x). Ti(x) can also serve as a wetting layer. The notation “Element(x)” is used when any Element-alloy or Element-compound is meant. The barrier layer may comprise silicon oxide, Ta or Ti. The wetting layer may comprise Ti or silicon oxide. The etch stop layer may comprise silicon oxide.
  • In yet alternative embodiments, no extra layers are provided between producing of the ring structure 2 a as in process (B) and filling of the ring structure as in process (D), but only pre-wetting can be performed to improve the filling in process (D). Pre-wetting is introducing a solution, (may be spun and cured), before spinning the dielectric material. This can make the surface hydrophilic and helps the dielectric material, e.g. polymer to flow into the trench. The pre-wetting agent can be the solvent of the dielectric.
  • (D) Filling the Ring Structure 2 a with a Dielectric Material 3FIG. 4 b
  • Filling of the ring structure 2 a with dielectric material 3 is illustrated in FIG. 4 b. In advantageous embodiments of the present invention, the average width of the ring structure 2 a is chosen such that the ring structure is completely filled with the dielectric material 3, thus leaving no voids underneath the dielectric material 3 (see above).
  • A vacuum process may be added to ensure proper filling of the ring structure 2 a, e.g. Si substrate holes. If the dielectric material 3 is benzocyclobutene (BCB) this is advantageously performed at about 170° C. as this material exhibits its largest flow at that temperature, before it starts to cure at higher temperatures. RH8023 does not need to be applied at high temperatures such as e.g. about 170° C. It is flowing at room temperature.
  • According to embodiments of the present invention, the dielectric material 3 is applied in the ring structure 2 a in liquid phase. This can be spin-on or spray-on or CVD-deposited polymer as well as deposited/grown oxides or nitrides. The dielectric material 3 can be applied by spin coating or spray coating a layer of dielectric material on top of the first main surface S1.
  • The applied dielectric material 3 is typically not only filling the ring structure 2 a, but is also forming a layer on top of the main first surface S1.
  • In particular embodiments, the dielectric material comprises, or consists of, Benzo Cyclo Butene (BCB). In other embodiments it comprises or consists of silicone, Epoxy-based materials, or spin on dielectrics from R&H as for instance R&H 8022 and 8023 (with various viscosity versions).
  • In particular embodiments of the present invention, the dielectric material 3, e.g. BCB, is applied when the substrate 1 is heated above about 20° C. or above 30° C. or above 40° C. or above 50° C., or above 60° C., or above 70° C., or above 80° C., or above 90° C., or above 100° C., or above 110° C., or above 120° C.
  • Also RH8023 can be used. In this cased the filling can be done at lower temperatures, even at room temperature. The substrate heating leads to lower viscosity of the dielectric material 3, which may be advantageous for filling properties of the dielectric material 3, as illustrated in FIG. 10, where the top of the trenches is not filled in areas with high trench density.
  • One, two or more, a multiple of subsequent spin coating processes and/or spraying processes can be applied to improve the efficiency of filling the ring structure 2 a with the dielectric material 3. Also planarization of the dielectric material 3 can be improved using subsequent spin coating processes. When using certain materials, e.g. BCB, in dense structures these materials fill the trench only half way (or ⅔) from the bottom due to limited amount of material available on the wafer. In such case, a second (sometimes even third, fourth, . . . ) dielectric coating can help to complete the fill. Furthermore the surface topography may improve (i.e. the surface roughness is reduced) by multiple coatings. The planarizing dielectric material(s), that can be one or more extra layers on top of the first dielectric coating, can preferably be benzocyclobutene (BCB) or RH8023-10. Also RH8023-2 can be used. The dielectric material can be diluted with its suggested/relevant solvent. These multiple spin coating processes typically result in a flatter front surface.
  • In preferred embodiments the dielectric material 3 is chosen and applied such that the resulting structure provided with the dielectric material 3 has an essentially flat topology (flat front surface of the wafer). The dielectric material 3 forming a layer on top of the first main surface S1 may also be non-uniform, for example the surface thickness of the applied dielectric material 3 is not uniform. It may be thicker on less dense via regions while thinner on regions with a higher via density. This may be caused by the fact that more dielectric material is consumed for filling the ring trenches 2 a.
  • Furthermore, a dimple 9 may be formed just on top of the ring trenches 2 a/vias (see FIG. 11 and FIG. 12).
  • This non-uniformity/topography of the dielectric material 3 on the surface S1 may cause problems in subsequent process, for example when the dielectric material 3 is patterned on top of the inner pillar structure 4 for removal thereof. FIG. 14 shows a problem that can arise in case of a dimple at the locations of the trenches. In FIG. 14, opening of the dielectric layer on top of the inner pillar without further planarization of the first dielectric layer is represented. FIG. 14( a) represents the topography of the first dielectric layer including a dimple at the locations of the trenches. Due to topography of the single layer polymer fill, resist spun on it, is not uniform. FIG. 14( b) represents the topography of the resist layer after opening the resist layer on top of the inner pillars. Further dry etch selectivity to resist is typically low (both are kind of polymers) so CD enlarges (see FIG. 14( c)). The problem becomes larger with the increased thickness of polymer since CD loss is directly related to etch time, which in turn is directly related to material thickness to be removed. This is why according to embodiments of the present invention the double coating idea is applied: both to remove topography and to be able to use photo patternability of the dielectric material.
  • During lithography either an extra resist layer can be deposited on the dielectric 3 or the dielectric material 3 can be chosen to have itself lithographic properties. The extra topography results in different height of the mask (being either the dielectric 3 itself or an extra resist layer on top thereof) during lithography and this may cause problems because of the limited depth-of-focus during illumination. Furthermore the dielectric material 3 having litho properties or the extra resist layer on top may have a non-uniform thickness. During development, thinner regions develop faster than thicker regions eventually resulting into non-uniform print and CD loss. If the dielectric material 3 is not photopatternable, it can be patterned with a hard and/or resist mask accompanied by dry etch. Still thinner regions will be etched away faster than thicker regions, resulting in CD loss. Further, in case the selectivity of dry etch process to resist compared to the dielectric material is low, a thick (thicker than the dielectric material) resist layer is required for the patterning. This may also introduce problems during contact alignment structure, making alignment very difficult due to image blur through thick layers.
  • The above-mentioned problems can be solved by applying a dielectric layer twice. This can be the same dielectric layers or the same materials with different viscosities, or different material with the same or a different viscosity Preferably the second dielectric layer has a higher viscosity than the first dielectric material, but in alternative embodiments the first dielectric layer can also have a higher viscosity than the second dielectric material. This allows to separate trench filling requirements from patterning requirements. The procedure can be divided into essentially 3 processes (FIGS. 13 (a), (b), (c)).
  • First dielectric layer 3 a is chosen for trench filling capabilities and used to fill the trenches 2 a (see FIG. 13( a)). It can be photopatternable or not.
  • In a second process the first dielectric layer 3 a is then etched back. In the best case the top surface is very smooth. During etch back the first dielectric layer 3 a can be only partially removed, or etch-back can be done until the surface of the wafer 1 is completely exposed/cleaned, as represented in FIG. 13( b)). At this stage slight over-etch can be done to clean the wafer surface completely. In the best case the wafer surface is clean of dielectric layer 3 a. The trenches/vias are still substantially completely filled with the dielectric layer 3 a. In the best case the trenches 2 a are completely filled with dielectric material 3 a, such that the remaining topography is limited.
  • In a third process, a second layer of dielectric material 3 b is applied on the surface. Since the trenches are filled before applying the second dielectric layer 3 b (see FIG. 13( c)), the topography on the wafer surface is lower compared to the non-filled case. The second layer of dielectric material 3 b will distribute uniformly, more uniformly compared to first layer 3 a.
  • The second dielectric layer 3 b may be of the same dielectric material as the first dielectric material 3 a or may be the same material with a different viscosity or may be a different dielectric material. In particular embodiments, the second dielectric layer is thin, i.e. about 10 μm, even better below 5 μm, even better below 2 μm for example around 2 μm. This facilitates contact alignment and the field visibility is better for visible light source. This only holds for particular alignment schemes, and is not relevant in case of for example front to back side alignment or infrared alignment. The material can be chosen to tune the surface thickness of the dielectric layer 3 b or the material can be chosen for a specific material property, e.g. resistance to dry or wet etch. In both cases, the choice will depend on the application where this isolated via is used.
  • The second dielectric material 3 b is preferably benzocyclobutene (BCB) or RH8023-10. Preferably the second dielectric material 3 b is a photo BCB or a non-photo benzocyclobutene (BCB). Also RH8023-2 can be used.
  • In particular embodiments the dielectric material 3 and the width w of the ring structure 2 a are predetermined such that the ring structure 2 a is completely filled by the dielectric material 3, at a predetermined temperature as for instance room temperature, or any temperature below about 250° C., or below 150° C. For certain applications the temperature during processing needs to be limited and still the trenches need to be filled with dielectric material. A proper choice of the filling material and/or the dimensions of the trenches may facilitate filling under these circumstances.
  • In particular embodiments the dielectric material 3, the width w of the ring structure 2 a, and the average inter-ring structure distance p or ring structure density are predetermined such that the ring structure 2 a is completely filled by the dielectric material 3.
  • In particular embodiments the dielectric material 3 for the interconnect structure, the width w of the ring structure 2 a, and the average inter-ring structure distance p or ring structure density are predetermined such that the ring structure 2 a is completely filled by the dielectric material at a predetermined temperature, as for instance room temperature, or at any temperature below about 250° C., or below about 150° C., such that, for example, ICs are not destroyed by the temperature budget. This via may be used in post CMOS processing and specifically for example for memory applications. In that case the temperature is preferably as low as possible, even below 130° C. In certain embodiments the dielectric material can be applied on a heated substrate. The dielectric material can be locally heated, such that the viscosity is locally changed/reduced. That way flowing is enhanced and better filling can be achieved. The substrate 1 can for instance be heated to one of about 20, 30, 40, 50, 60, 70, 80, 90, 100, 110, 120, 130, 140, 150, 160, 170° C.
  • (E) Patterning of Dielectric Material 3
  • The dielectric material 3 on the first main surface S1 is patterned so as to open the layer of dielectric material 3 at least on top of the inner pillar structure 4 (not illustrated in FIG. 4). According to certain embodiments of the present invention, opening the layer of dielectric material 3 in at least the region above the inner pillar structure may be performed by lithography and etching.
  • In case a first and a second dielectric material 3 a, 3 b have been applied as illustrated in FIG. 13, the second dielectric material 3 b can be removed from the top of the inner pillar structure 4 (see FIG. 13( d)) to open up the pillar structure 4 during process (E). This can be done by extra lithography and etch processes. In a particular embodiment, the second dielectric layer 3 b can be chosen to be photopatternable. As such the second dielectric material 3 b can be removed from the top of the inner pillar structure 4 by standard litho process without extra resist coating on top. As such the uniformity of the print can be improved and CD loss problems can be reduced.
  • In case the inner pillar structure is a conductive material, for example conductive silicon, for example realized by implantation, processes (F) (G), and (H) can be omitted.
  • (F) Removing the Inner Pillar Structure 4FIG. 4 c
  • After patterning the dielectric material 3, and optionally other layers applied during process (C) the inner pillar structure 4 is removed down to the bottom 24 of the ring structure 2 a, leaving a pillar vacancy 2 b, as illustrated in FIG. 4 c. The inner pillar structure can be removed to a level lower than the bottom of the trenches, for example when landing in the ILD layer with for example W contacts. The inner pillar structure can also be etched to a level higher than the bottom of the ring structure. When contacting the via structure from the second surface S2, in the latter case extra thinning may be added after the via process to expose the via from the second surface S2. The latter case may not be applicable to IC wafers since that side is where the BEOL is but it can be used for e.g. MEMS capping application where there is no BEOL. This removal of the inner pillar may be performed by etching. It can be performed by wet or dry etching or a combination of both.
  • At least one layer (not illustrated) comprising a different material than the rest of the substrate 1 can be part of the substrate, and may have to be removed partially, for example at the bottom of the inner pillar structure 4, for example to realize electrical contact with underlying devices, e.g. a PMD layer. Removing the at least one layer comprising different material can mean e.g., etching it away when removing the inner pillar structure 4. This may occur in one single process, e.g., one single etch process together with the other materials present in the pillar structure 4, or may be performed in different subsequent processing (etching sub-processes), depending on the materials present in the inner pillar structure 4. Each process can be adapted for selectively removing a substrate pillar sub-layer or subsequent sub-layers (for example by changing the etch chemistry in case of dry and/or wet etching), but always with a large selectivity with respect to the dielectric material 3 surrounding the substrate pillar structure 4 as this material has to stay (partially) in the hole. A typical example of such a layer is an inter level dielectric (ILD) layer, which is a layer present in a back-end-of-line (BEOL) interconnect structure; having a function of electrically isolating the metal interconnects in the BEOL from for example the front-end-of-line (FEOL). Typical ILD layers or ILD materials are an oxide, e.g. silicon oxide, Tetraethooxysilane (TEOS) Oxide, low-k dielectrics, polymers as BCB or polyimide (epoxy based polymers can be added).
  • The removal of the pillar structure 4 may be performed by etching, e.g. by dry etching. In particular embodiments Deep Reactive Ion Etching-Inductive Coupled Plasma (DRIE-ICP) may be used. In alternative embodiments, it can be first a wet etch and afterwards a dry etch. It can be first a wet etch of a semiconductor part, e.g. silicon part, of the pillar structure 4, followed by a dry etch of an ILD layer of the pillar. In yet alternative embodiments, it can be first a dry etch and afterwards a wet etch. It can be first a dry etch of a semiconductor part, e.g. silicon part, of the pillar structure 4, followed by a wet etch of an ILD layer of the pillar structure 4.
  • (G) Providing a Barrier Layer.
  • Optionally, in certain embodiments of the present invention, a barrier layer (not illustrated) may be applied after having removed the inner pillar structure 4, rather than applying it between generating the ring structure 2 a and filling the ring structure 2 a with dielectric material 3. The details given with respect to the barrier layer provided during process (C) also hold for a barrier layer provided during this optional process (G).
  • (H) Filling Vacancy with Conductive Material—FIG. 4 d
  • The vacancy 2 b is at least partially filled with a conductive material 5, hereby forming an interconnect structure, the interconnect structure forming an electrical path from the bottom surface up until the first main surface.
  • Filling pillar vacancy 2 b is preferably performed by first depositing a seed layer and then performing electroplating of the conductive material 5. The seed layer may cover the whole surface of the wafer, i.e. the top surface of the substrate 1 and the side walls and bottom wall of the vacancy 2 b. The seed layer may be applied for instance by chemical vapor deposition (CVD), by physical vapor deposition (PVD), electro chemical deposition (ECD), sputtering techniques, MOCVD, as well as by any other method known in the art.
  • Alternatively a metal paste, e.g. Cu paste, can be applied.
  • The conductive material 5 may be a metal. In particular embodiments, the conductive material may be copper (Cu), aluminum (Al), tungsten (W) or any other metals used in the field. The conductive material can also be an alloy, an implanted semiconductor, conductive silicon, conducting polymers. Also combinations of above mentioned materials can be used. The process of depositing a conductive material possibly creates an interconnect with an external contact. This process can comprise various sub-steps.
  • Extra layers underlying the conductive material or intermediate layers can be used. This can be for example improve the filling of the holes, adhesion of the conductive material, reduce the stress, prevent interdiffusion of materials . . . .
  • In particular embodiments of the present invention, the removed inner pillar structure 4 is completely filled with conductive material, e.g. metal, so as to form a conductive pillar, e.g. metal pillar 5.
  • In alternative embodiments the interconnect structure is a layer of conductive material covering the bottom surface and side surfaces of the removed inner pillar structure 4, hereby leaving or forming an inner conductor hole. This can be produced by conformal plating of such layer. This is illustrated in FIG. 8 and FIG. 9. In preferred embodiments the inner conductor hole is filled with a dielectric material, see FIG. 8.
  • In particular embodiments, the dielectric material, conductive material for the interconnect structure, and the width of the ring structure are predetermined such that the ring structure is completely filled by the conductive material 5.
  • (I) Thinning Substrate
  • A method according to embodiments of the present invention may further comprise thinning the substrate 1 from the second main surface S2 until the created conductive structure 5 is reached. This embodiment results in an interconnect extending from the first main surface S1 to the second main surface S2 of the substrate 1. The interconnection is also called a via or through-hole or through silicon via (TSV) in silicon three-dimensional integration.
  • In embodiments of the present invention, the thinning can be performed by for instance mechanical operations such as grinding or other state of the art techniques. According to alternative embodiments of the present invention, the thinning may comprise chemically thinning, e.g. by dry etching or plasma etching the substrate 1 from the second main surface S2, the thinning being halted when the interconnect structure 5 is reached.
  • Also, according to yet other embodiments, the chemical thinning, e.g. plasma etching, may not stop at the level where the conductive structure 5 is reached, but may continue above that level (thus slightly over etching the substrate 1, e.g. silicon substrate). The interconnect structure (the conducting material 5 or the combined conductive material 5 and dielectric 3) will then stick out of the etched-back surface, which is advantageous for the addition of a solder volume such as a solder ball or bump. A solder volume as for instance a solder ball or solder bump can further be applied to the conductive structure on the second surface.
  • Some advantages of embodiments of the present invention include one or more of the following:
      • since the dielectric material 3 is applied in liquid phase and is such that a planar surface is achieved, a patterning process performed by lithography can be applied on such planar surface very accurately, which would be less the case for non-planar surfaces; process flow, litho and etch on topography wafers (e.g. opening a dielectric at the bottom of the trench or ring) is source of numerous issues.
  • The embodiments according to embodiments of the present invention provide a cheaper and more performing dielectric process. In prior art process flows, dielectrics are deposited by CVD, which is relatively expensive. Spray coating is typically more expensive than spin coating but still cheaper than CVD. Since CVD leads to large topography, a negative impact on yield results.
  • In embodiments of the present invention, a method is disclosed wherein the substrate 1 comprises at least one internal metal surface and wherein the ring structure 2 a is etched up until the metal surface, the internal metal surface hereby serving as an etch stop surface or layer.
  • The internal metal surface may be the first metal layer of the BEOL in typical embodiments.
  • Particular embodiments of the present invention may be applied on carrier, i.e., while the second main surface S2 of the substrate 1 is further bonded to a temporary carrier 50 (see FIG. 5, part 1) by a bonding agent 51. This bonding agent 51 can preferably be temporary. This is preferably done before an optional thinning of the substrate 1 (see FIG. 5, part 2), and before providing, e.g., etching ring structures 2 a (see FIG. 5, part 3). In those embodiments the bonding agent 51 is preferably a low temperature bonding agent, which can withstand temperatures up to about 400° C. or up to 250° C. or up to 170° C. or up to 150° C. or up to 130° C. The embodiments of the present invention in which a temporary bonding agent 51 and thus a carrier 50, being a second temporary substrate which forms together with the substrate 1 a composite substrate, is present, all processes are preferably performed at temperatures which the temporary bonding agent 51 can withstand, e.g. below about 250° C., or below 170° C., or below 150° C. or below 130° C.
  • Another aspect of the invention provides a device comprising electrical interconnects fabricated by any of the methods as described above. Another aspect of the invention provides an electrical interconnect, produced by any of the above-described methods.
  • Hereinafter, particular embodiments of method flows according to embodiments of the present invention are described. Details of the flows, if not given here, can be according to any of the method embodiments described above.
  • A first embodiment of a process flow is illustrated in FIG. 5.
  • The target device wafer, substrate 1, is being glued or bonded onto a carrier wafer 50, as illustrated in FIG. 5, part 1. This gluing or bonding may be performed by means of a temporary gluing or bonding material 51. In the embodiment illustrated, the device wafer 1 comprises a metal 52 which is part of the front-end (e.g. W plugs), back-end (e.g. Cu or Al) or packaging layer (e.g. Cu or Al), and an ILD layer 53 which may be part of the back-end of line stack. The ILD layer can be the first ILD of the interconnects or a layer present at the passivation level.
  • The device wafer 1 is thinned, as illustrated in FIG. 5, part 2. This may be performed by grinding the back side of the device wafer 1 so that the final device wafer thickness is lower than the original device wafer thickness. In particular embodiments, the device wafer thickness may be about 50 μm.
  • While still being on the carrier wafer 50, a photoresist process is applied on the ground surface S1 in order to define an annular geometry. A deep reactive ion etch of the annular geometry is being performed, as illustrated in FIG. 5, part 3, thus forming a donut or ring structure 2 a. The etching stops for example onto the ILD layer of the device wafer 1 (front-side of the device wafer). This ILD layer is a part of the back-end of line stack, it can be the first ILD of the interconnects or a layer present at the passivation level.
  • A dielectric material 3, e.g. a polymer such as for example BCB, is applied by means of spray or spin coating onto the wafer 1, as illustrated in FIG. 5, part 4. The annular structure 2 a gets filled-up and the surface of the wafer 1 is being passivated by this dielectric material 3, e.g. polymer. In embodiments of the present invention a double coating 3 a, 3 b as described above could be applied.
  • A second lithography process (with for example resist of a photosensitive second dielectric layer) defines an opening in the dielectric material 3, e.g. a polymer opening, on top of the inner remaining substrate, e.g. Si, pillar 4. The dielectric material, e.g. polymer, may for example be dry etched so that the substrate surface, e.g. Si surface, is exposed where the inner pillar structure 4 is present. An etch process, such as for example Deep Reactive Ion etching or a wet process such as concentrated TMAH solution being heated up to about between about 60° C. and 80° C., may be used to remove, e.g. etch completely the inner pillar structure 4. The removal process, e.g. etch process may stop onto the ILD layer previously described. Due to the nature of the processes the mechanical integrity of the dielectric material 3, e.g. polymer, is preserved.
  • A second removal process, e.g. etch process, is then used to selectively remove, e.g. etch, the ILD layer to the underlying metal layer at the bottom of the via. The removal, e.g. etch, of the ILD layers stop onto the metal 52, as illustrated in FIG. 5, part 5.
  • If present, the photo resist layer (not illustrated) located on top of the dielectric material 3, e.g. polymer, is removed and, while still mounted on the carrier wafer 50, the device wafer 1 with carrier wafer 50 undergo a Ti/Cu seed layer deposition (not illustrated). This seed layer deposition may be done by PVD. In alternative embodiments it can also be done by Ionized PVD or MOCVD. Optionally the seed can be repaired by an electroless process.
  • A third lithographic process defines the resist (not illustrated) that is going to be used as a mold for providing conductive material 5, on the ground surface, e.g. a mold for metal plating, such as e.g. Cu plating. The wafer may be electroplated by means of electro-chemical deposition process, and the interconnects can be redistributed in this way. As a result the via, i.e. the hole previously provided, is filled by conductive material such as metal, e.g. Cu, as illustrated in FIG. 5, part 6, allowing later on an electrical connection between the back-side surface of the ground wafer 1 and the metal present in the front-side of another wafer.
  • Optionally the surface of the applied conductive material, e.g. metal such as Cu, is being planarized, as illustrated in FIG. 5, part 7, in order to allow for further assembly where planarity is required (e.g. AKA micro-bumping). The planarization may be a mechanical planarization, such as for example grinding or fly-cutting.
  • Thereafter, the resist is removed (not illustrated) and the seed layer is removed, e.g. etched (not illustrated). Optionally the back-side of the ground wafer is being further passivated by for instance a another layer of polymer (not illustrated).
  • The device wafer 1 and the carrier wafer 50 are released, for example by means of heat and/or shear movement, as illustrated in FIG. 5, part 5.
  • During the via formation the temperature of the device wafer 1 with carrier wafer 50 preferably never exceeds the temperature which the temporary bonding layer can stand without curing, e.g. it never exceeds about 130° C.
  • After debonding the wafer 1 from the carrier 50, devices as obtained can be stacked, as illustrated in FIG. 5, part 9.
  • A second, third and fourth embodiment of a process flow are illustrated in FIG. 6 in combination with FIG. 7, FIG. 8 and FIG. 9, respectively.
  • FIG. 6, part 1, illustrates provision of a device wafer 1, for example a silicon device wafer. The device wafer 1 comprises a metal 52 which is part of the front-end (e.g. W plugs), back-end (e.g. Cu or Al) or packaging layer (e.g. Cu or Al), and an ILD layer 53 which may be part of the back-end of line stack. The ILD layer can be the first ILD of the interconnects or a layer present at the passivation level.
  • The device wafer 1, is glued or bonded onto a carrier wafer 50, as illustrated in FIG. 6, part 2. This gluing or bonding may be performed by means of a temporary gluing or bonding material 51.
  • The device wafer 1 is thinned, as illustrated in FIG. 6, part 3. This may be performed by grinding the back side of the device wafer 1 so that the final device wafer thickness is lower than the original device wafer thickness. In particular embodiments, the device wafer thickness may be about 50 μm.
  • While still being on the carrier wafer 50, a photoresist process is applied on the ground surface S1 in order to define an annular geometry, as illustrated in FIG. 6, part 4. This implies application of a photosensitive layer, e.g. photoresist 60 and patterning of this layer 60 so as to define the annular geometry.
  • The patterned photosensitive layer 60 is then used as a mask for etching the substrate 1 so as to provide a ring structure 2 a. A deep reactive ion etch of the annular geometry may be performed, as illustrated in FIG. 6, part 5, thus forming a donut or ring structure 2 a. The etching stops onto the ILD layer 53 of the device wafer 1 (front-side of the device wafer). This ILD layer is a part of the back-end of line stack, it can be the first ILD of the interconnects or a layer present at the passivation level.
  • Thereafter, the photosensitive layer 60 is stripped by any suitable process known by a person skilled in the art, as illustrated in FIG. 6, part 6.
  • A dielectric material 3, e.g. a polymer such as for example BCB, is applied by means of spray or spin coating onto the wafer 1, as illustrated in FIG. 6, part 7. The annular structure 2 a gets filled-up and the surface of the wafer 1 is being passivated by this dielectric material 3, e.g. polymer. In embodiments of the present invention a double coating 3 a, 3 b as described above could be applied.
  • A second lithography process defines an opening in the dielectric material 3, e.g. a polymer opening, on top of the inner remaining substrate pillar 4. Therefore, a photosensitive layer 61, e.g. photoresist, is applied and patterned, as illustrated in FIG. 6, part 8.
  • The dielectric material 3, e.g. polymer, may for example be dry etched so that the substrate surface, e.g. Si surface, is exposed where the inner pillar structure 4 is present (not illustrated).
  • An etch process, such as for example a plasma etch, or a deep reactive ion etching or a wet process such as concentrated TMAH solution being heated up to about between 60° C. and 80° C., may be used to remove, e.g. etch completely the inner pillar structure 4. The removal process, e.g. etch process may stop onto the ILD layer previously described. Due to the nature of the processes the mechanical integrity of the dielectric material 3, e.g. polymer, is preserved. A further removal process, e.g. etch process, may then be used to selectively remove, e.g. etch, the ILD layer 53 to the metal 52 at the bottom of the via. The removal, e.g. etch, of the ILD layers stop onto the metal 52, as illustrated in FIG. 6, part 9.
  • The photo resist 61 located on top of the dielectric material 3, e.g. polymer, is removed, as illustrated in FIG. 6, part 10.
  • Thereafter, while still mounted on the carrier wafer 50, the device wafer 1 and carrier wafer 50 combination undergo a seed layer 65 deposition, e.g. a Ti/Cu seed layer deposition (FIG. 6, part 11). This seed layer deposition may be done by PVD. In alternative embodiments it can also be done by Ionized PVD or MOCVD. Optionally the seed can be repaired by an electroless process.
  • A third lithographic process defines the resist 63 that is going to be used as a mold for providing conductive material 5, e.g. a mold for metal plating, such as e.g. Cu plating.
  • The above description is common for the second, third and fourth process flows.
  • The second process flow is further illustrated in FIG. 7.
  • The wafer is electroplated by means of electro-chemical deposition process, as illustrated in FIG. 7, part 13. As a result the via, i.e. the hole previously provided, is filled by conductive material 5 such as metal, e.g. Cu, allowing later on an electrical connection between the back-side surface of the ground wafer 1 and the metal present in the front-side of another wafer.
  • Thereafter, the resist 63 is removed (FIG. 7, part 14) and the seed layer 65 is removed, e.g. etched (FIG. 7, part 15).
  • Optionally the surface of the applied conductive material 5, e.g. metal such as Cu, is being planarized, as illustrated in FIG. 7, part 16, in order to allow for further assembly where planarity is required (e.g. AKA micro-bumping). The planarization may be a mechanical planarization, such as for example grinding or fly-cutting.
  • The device wafer 1 is debonded from the carrier wafer 50, for example by means of heat and/or shear movement, as illustrated in FIG. 7, part 17.
  • The third process flow is further illustrated in FIG. 8, starting from the situation in part 12 of FIG. 6.
  • A conformal electroplating of conductive material 5, e.g. metal such as Cu, is performed, as illustrated in FIG. 8, part 13. The resist 63 is stripped (FIG. 8, part 14), and the seed layer 65, previously present under the resist 63, is removed, e.g. etched away (FIG. 8, part 15).
  • A third dielectric layer 3 c, e.g. polymer, is applied and patterned (FIG. 8, part 16). This polymer is used to passivate the Cu lines and the patterning is required for the following bump (solder or TLP . . . ) formation process on top of the Cu pad.
  • A further seed layer 80 is deposited, e.g. Ti/Cu seed layer (FIG. 8, part 17). This seed layer deposition may be done by PVD. In alternative embodiments it can also be done by Ionized PVD or MOCVD. Optionally the seed can be repaired by an electroless process. Seed repair improves filling of the via for example when using Cu plating inside the via.
  • A further resist 81 is applied and patterned (FIG. 8, part 18) to plate the bump material (FIG. 8, part 19) for example Cu plating followed by Tin (Sn) plating. The resist that is put there for bump plating is stripped (FIG. 8, part 20) and the Ti/Cu seed 80 is etched (FIG. 8, part 21) (typically wet). As an option, the Sb surface can be planarized (FIG. 8, part 22), for example by fly cutting, for increased yield during flipchip bonding. Finally, the temporary carrier is removed either before or after 3D stacking (FIG. 8, part 23).
  • The fourth process flow is further illustrated in FIG. 9, starting from the situation in part 12 of FIG. 6.
  • A conformal electroplating of conductive material 5, e.g. metal such as Cu, is performed, as illustrated in FIG. 9, part 13. The resist 63 is stripped (FIG. 9, part 14), and the seed layer 65, previously present under the resist 63, is removed, e.g. etched away (FIG. 8, part 16).
  • Optionally the surface of the applied conductive material 5, e.g. metal such as Cu, is being planarized, as illustrated in FIG. 9, part 16, in order to allow for further assembly where planarity is required (e.g. AKA micro-bumping). The planarization may be a mechanical planarization, such as for example grinding or fly-cutting.
  • The device wafer 1 is debonded from the carrier wafer 50, for example by means of heat and/or shear movement, as illustrated in FIG. 9, part 17.
  • In the embodiments of the third and fourth process flow, the interconnect structure is a layer of conductive material 5 covering the bottom surface and side surfaces of the removed inner pillar structure 4, hereby leaving or forming an inner conductor hole. In the embodiment illustrated in FIG. 8, the inner conductor hole is filled with a dielectric material.
  • Selectivity Tests of Silicon Removal with Respect to the BCB Dielectric Layer.
  • The experimental results given below are for BCB, but similar tests were done for RH8023-10, showing similar results.
  • By using a DRIE process, a silicon pillar 4 was etched at a rate of about 3 μm/min, while the BCB 3 was removed at a rate of 2 μm/30 min (or 0.067 μm/min) on a horizontal surface. Given that the etching of a substantially horizontal surface is typically quicker than the etching of an essentially vertical surface (side surface of the pillar vacancy 2 b), the selectivity of the DRIE removal process over the BCB is larger than 1:45.
  • By using a wet etch, using 20% TMAH at 80° C., a perfect removal of the silicon pillar 4 was achieved, while the BCB 3 was unaffected. This means that the selectivity is infinite.
  • BCB is thus compatible with both the DRIE etch and even more with the wet TMAH etch.
  • Some Experimental Results
  • Silicon wafers 1 were provided with ring structures 2 a by dry etching. The first main surface of the substrate 1 was pre-treated with an adhesion promoter, e.g. AP3000 from Dow Chemicals, at 70° C., followed by the application of a BCB layer 3 via spin coating at room temperature (about 20° C.). BCB 3022-46 was used, spread for 20 s at 500 rpm rotation speed, followed by 10 s at 2000 rpm rotation speed.
  • The 5 μm large trench was half-filled without voids (before cure) (cfr FIG. 10). A second BCB coat has then successfully been applied to fill the via completely for the 5 μm wide trenches.
  • Successful experiments have been done with 3D SIC wafers, which were coated by an oxide. The trenches were partially filled with BCB (see FIG. 10) and fully filled with BCB 3. The filling rate was dependent on trench density.
  • An oxide layer present at the interface between the BCB 3 and the silicon pillar 4 provides appropriate wetting properties to allow for a more easily filling (or complete filling) of the trenches. It could provide also the function of etch stop layer, and possibly also as a diffusion barrier layer (avoiding metal atoms, e.g. copper atoms, to diffuse into and through the BCB into the neighboring substrate).
  • Experiments were performed wherein the substrate 1 was heated while being coated by BCB, at temperatures within the 50-70° C. range. The trenches were almost filled and the filling efficiency was improved.
  • Experiments with double coat to demonstrate full annular fill were performed. Applying two or more processes, e.g. a multitude of processes applied subsequently, improves the filling process of the trenches.
  • Polymers like BCB can be deposited at relatively low temperatures, when compared to for instance CVD Si oxide deposition. A typical prior art CVD Silicon oxide deposition process in order to create isolated holes, is also often limited by the characteristic of bad process coverage properties. Silicon oxide deposition typically also produces layers with about uniform thickness, which limits design freedom. Silicon oxide can easily build up stress and is not flexible.
  • BCB is patternable, since it is for instance anisotropically dry or plasma-etchable. BCB is easy to process and spin and spray coatable. It has good planarization properties. BCB is flexible and low stress formation appears. BCB provides a large design freedom. Water absorption is low. Adhesion properties to substrate and conductor are present. Other properties of BCB can be found in Burdeaux et al., “Benzocyclobutene (BCB) Dielectrics for the Fabrication of High Density, Thin Film Multichip Modules.”, journal of Electronic materials, Vol. 19, No. 12, 1990, which is incorporated herein by reference.
  • Experiment
  • FIG. 15 shows topography measurement on the print after etching the second dielectric layer (cfr. FIG. 14( c)) produced by the 3 process procedure represented in FIG. 14. Twice the same dielectric layer was used, with the same viscosity i.e. RH8023-10 spun at 60° C. The thickness of the polymer is ˜7 μm. This polymer is photopatternable and should be soft baked at ˜100° C., exposed, and developed after spinning and then finally cured at ˜190° C. It was found that softbake reduced the filling performance and increased the surface roughness. When spinning the material and moving to the final curing at ˜190° C. directly (no softbake, exposure or development), the filling of the trenches improved. Therefore, after spinning, the first dielectric layer received direct cure. The second dielectric layer received standard litho processing of the polymer, i.e. softbake at ˜100° C., exposure, development and finally cure at ˜190° C. The thickness of this layer is about 7 μm.
  • FIG. 15 shows a topography measurement after patterning the second dielectric layer on top of the center Si block. It shows nice definition of the mask pattern on the second dielectric layer. In this case, both first (for filling) and second (for surface coverage after recess and patterning) dielectric layers are the same viscosity version of the same material, which is a thick version because filling is more efficient. This graph shows a good definition of the mask pattern using double coating of dielectric layers with a recess etch in between and using the photo patternable properties of the second dielectric layer, while first layer is used just to fill the ring trench.
  • FIG. 16 shows the topography measurement on the print produced by the above-mentioned 3 process procedure represented in FIG. 14 and using two different viscosity versions of the same dielectric layer where the filling is done by the less viscous version. The diluted version of the same material is used for the second layer. The thickness of the second layer is 2 μm. FIG. 16 shows a topography measurement after patterning the dielectric layer on top of the center Si block. It shows nice definition of the mask pattern on the second dielectric layer. In this case the two dielectric layers have a different viscosity, i.e. the second dielectric on top has a higher viscosity than the first dielectric. Hence, the second dielectric layer applied after recess is a thinner version of the first one which is used for filling. The second dielectric in this case is thinner than in FIG. 15. This graph also shows a good definition of the mask pattern using double coating of dielectric layers with a recess etch in between and using the photo patternable properties of the second (thinner) dielectric layer, while first layer is used just to fill the ring trench.
  • The thermo-mechanical properties of polymers and silicones are such that “flexible” and less fragile structures, more specifically interconnect structures can be created. One embodiment of the invention allows smaller pitch and thus higher density interconnects. The method according to one embodiment of the present invention provides quicker, easier and cheaper processing.
  • The foregoing description details certain embodiments of the invention. It will be appreciated, however, that no matter how detailed the foregoing appears in text, the invention may be practiced in many ways. It should be noted that the use of particular terminology when describing certain features or aspects of the invention should not be taken to imply that the terminology is being re-defined herein to be restricted to including any specific characteristics of the features or aspects of the invention with which that terminology is associated.
  • It is to be understood that although preferred embodiments, specific constructions and configurations, as well as materials, have been discussed herein for devices according to the present invention, various changes or modifications in form and detail may be made without departing from the scope of this invention as defined by the appended claims.
  • While the above detailed description has shown, described, and pointed out novel features of the invention as applied to various embodiments, it will be understood that various omissions, substitutions, and changes in the form and details of the device or process illustrated may be made by those skilled in the technology without departing from the invention as defined by the appended claims.

Claims (18)

1. A method of fabricating at least one electrical interconnect in a substrate, comprising:
providing a substrate made of a substrate material and having a first main surface;
producing at least one ring structure in the substrate from the first main surface, the at least one ring structure having a bottom surface and surrounding an inner pillar structure of the substrate material having a top;
filling the at least one ring structure with a first dielectric material with spin-on or spray-on or chemical vapor deposition (CVD) in the ring structure and on the first main surface;
removing the first dielectric material to a level equal to or higher than the first main surface;
applying a second dielectric material on the first main surface;
removing the second dielectric material from the top of the inner pillar structure to open up the pillar structure;
removing the inner pillar structure down to the bottom surface of the ring structure so as to leave a pillar vacancy selectively to the dielectric material surrounding the pillar structure; and
providing a conductive inner pillar structure in the at least one ring structure by at least partially filling the pillar vacancy with a conductive material, thereby forming at least one interconnect structure, the at least one interconnect structure forming an electrical path from the bottom surface up until the first main surface.
2. The method according to claim 1, wherein the first dielectric material is a polymer, an oxide or a nitride.
3. The method according to claim 1, wherein the first dielectric material is applied in liquid phase.
4. The method according to claim 1, wherein the surface of the second dielectric material has a roughness lower than the surface roughness of the first dielectric material.
5. The method according to claim 1, wherein the second dielectric material is photopatternable.
6. The method according to claim 1, further comprising thinning the substrate from a second main surface opposite the first main surface until the interconnect structure is reached.
7. The method according to claim 1, further comprising providing a solder ball or bump to the interconnect structure.
8. The method according to claim 1, wherein the second dielectric material has a higher viscosity than the first dielectric material.
9. The method according to claim 1, wherein the removal of the pillar structure comprises dry etching.
10. The method according to claim 1, wherein the removal of the pillar structure comprises a dry etch and a wet etch.
11. The method according to claim 1, wherein the removal of the pillar structure stops on an interlayer dielectric (IDL) layer of the device.
12. The method according to claim 11, wherein the ILD is selectively removed in a second removal process to an underlying metal layer.
13. The method according to claim 1, wherein the removal of the pillar structure stops on an interlayer dielectric (IDL) layer of the device.
14. The method according to claim 1, wherein the thickness non-uniformity of the first dielectric layer in the at least one interconnect structure is between 0.5% and 10%.
15. The method according to claim 1, wherein the thickness of the ring structure of the first dielectric material in the at least one interconnect structure is between 100 nm and 10 μm.
16. The method according to claim 1, wherein the first dielectric material is BCB or RH8023.
17. The method according to claim 1, wherein the conductive material is selected from the group of conductive silicon, Cu, Al, and W.
18. A device comprising at least a substrate and one electrical interconnect in the substrate as made by a method according to claim 1.
US12/760,468 2007-10-15 2010-04-14 Method for producing electrical interconnects and devices made thereof Abandoned US20100264538A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/760,468 US20100264538A1 (en) 2007-10-15 2010-04-14 Method for producing electrical interconnects and devices made thereof

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US98013607P 2007-10-15 2007-10-15
PCT/EP2008/063892 WO2009050207A1 (en) 2007-10-15 2008-10-15 Method for producing electrical interconnects and devices made thereof
US12/760,468 US20100264538A1 (en) 2007-10-15 2010-04-14 Method for producing electrical interconnects and devices made thereof

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
PCT/EP2008/063892 Continuation WO2009050207A1 (en) 2007-10-15 2008-10-15 Method for producing electrical interconnects and devices made thereof

Publications (1)

Publication Number Publication Date
US20100264538A1 true US20100264538A1 (en) 2010-10-21

Family

ID=40315383

Family Applications (1)

Application Number Title Priority Date Filing Date
US12/760,468 Abandoned US20100264538A1 (en) 2007-10-15 2010-04-14 Method for producing electrical interconnects and devices made thereof

Country Status (4)

Country Link
US (1) US20100264538A1 (en)
EP (1) EP2201600B1 (en)
JP (1) JP5593228B2 (en)
WO (1) WO2009050207A1 (en)

Cited By (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120013022A1 (en) * 2010-07-16 2012-01-19 Imec Method for forming 3d-interconnect structures with airgaps
US20120139127A1 (en) * 2010-12-07 2012-06-07 Imec Method for forming isolation trenches
CN102709228A (en) * 2012-05-17 2012-10-03 日月光半导体制造股份有限公司 Method for producing silica perforating hole
CN102738072A (en) * 2012-05-22 2012-10-17 日月光半导体制造股份有限公司 Semiconductor assembly with through-silicon via and manufacturing method thereof
US20130196501A1 (en) * 2007-12-06 2013-08-01 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
US20150371978A1 (en) * 2012-08-03 2015-12-24 Ecole Polytechnique Federale De Lausanne (Epfl) Post-cmos processing and 3d integration based on dry-film lithography
US20160211206A1 (en) * 2013-08-28 2016-07-21 Institute Of Technical Education Multilayer structure for a semiconductor device and a method of forming a multilayer structure for a semiconductor device
WO2017086907A1 (en) * 2015-11-16 2017-05-26 Intel Corporation Structures and methods for improved lithographic processing
EP2605273A3 (en) * 2011-12-16 2017-08-09 Imec Method for forming isolation trenches in micro-bump interconnect structures and devices obtained thereof
US10910232B2 (en) 2017-09-29 2021-02-02 Samsung Display Co., Ltd. Copper plasma etching method and manufacturing method of display panel
US20210082866A1 (en) * 2019-09-12 2021-03-18 Taiwan Semiconductor Manufacturing Co., Ltd. Double etch stop layer to protect semiconductor device layers from wet chemical etch
US11101175B2 (en) 2018-11-21 2021-08-24 International Business Machines Corporation Tall trenches for via chamferless and self forming barrier
US11139201B2 (en) 2019-11-04 2021-10-05 International Business Machines Corporation Top via with hybrid metallization
WO2022108677A1 (en) * 2020-11-20 2022-05-27 Applied Materials, Inc. Methods of tsv formation for advanced packaging
US11362235B2 (en) 2019-05-10 2022-06-14 Applied Materials, Inc. Substrate structuring methods
US11398433B2 (en) 2019-05-10 2022-07-26 Applied Materials, Inc. Reconstituted substrate structure and fabrication methods for heterogeneous packaging integration
US11400545B2 (en) 2020-05-11 2022-08-02 Applied Materials, Inc. Laser ablation for package fabrication
US11454884B2 (en) 2020-04-15 2022-09-27 Applied Materials, Inc. Fluoropolymer stamp fabrication method
US11521937B2 (en) 2020-11-16 2022-12-06 Applied Materials, Inc. Package structures with built-in EMI shielding
US11676832B2 (en) 2020-07-24 2023-06-13 Applied Materials, Inc. Laser ablation system for package fabrication
US11705365B2 (en) 2021-05-18 2023-07-18 Applied Materials, Inc. Methods of micro-via formation for advanced packaging
US11742330B2 (en) 2020-03-10 2023-08-29 Applied Materials, Inc. High connectivity device stacking
US11862546B2 (en) 2019-11-27 2024-01-02 Applied Materials, Inc. Package core assembly and fabrication methods
US11931855B2 (en) 2019-06-17 2024-03-19 Applied Materials, Inc. Planarization methods for packaging substrates
US11942424B2 (en) 2021-12-01 2024-03-26 International Business Machines Corporation Via patterning for integrated circuits

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8263492B2 (en) 2009-04-29 2012-09-11 International Business Machines Corporation Through substrate vias
US8349735B2 (en) * 2010-09-22 2013-01-08 Stats Chippac, Ltd. Semiconductor device and method of forming conductive TSV with insulating annular ring
JP5970696B2 (en) * 2012-03-27 2016-08-17 セイコーエプソン株式会社 Electronic device manufacturing method, electronic device
NL2014598B1 (en) 2015-04-08 2017-01-20 Suss Microtec Lithography Gmbh Method for coating a substrate.
JP7401881B2 (en) 2016-12-27 2023-12-20 小橋工業株式会社 mower
US10011795B1 (en) * 2017-12-27 2018-07-03 Afton Chemical Corporation Fuel additive mixtures and fuels containing them
EP3528281A1 (en) * 2018-02-19 2019-08-21 ams AG Semiconductor device with through-substrate via and its method of manufacture

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6908856B2 (en) * 2003-04-03 2005-06-21 Interuniversitair Microelektronica Centrum (Imec) Method for producing electrical through hole interconnects and devices made thereof
US20050221601A1 (en) * 2004-03-31 2005-10-06 Nec Electronics Corporation Semiconductor device and method for manufacturing the same
US20060246718A1 (en) * 2005-04-29 2006-11-02 Kai Frohberg Technique for forming self-aligned vias in a metallization layer
US20060278989A1 (en) * 2005-06-14 2006-12-14 John Trezza Triaxial through-chip connection
US20070048896A1 (en) * 2005-08-30 2007-03-01 International Business Machines Corporation Conductive through via structure and process for electronic device carriers
US20080062503A1 (en) * 2002-06-19 2008-03-13 Miradia Inc. Fabrication of a high fill ratio reflective spatial light modulator with hidden hinge
US20080272445A1 (en) * 2007-03-19 2008-11-06 International Business Machines Corporation Low-k displacer for overlap capacitance reduction
US7473997B2 (en) * 2004-03-17 2009-01-06 International Business Machines Corporation Method for forming robust solder interconnect structures by reducing effects of seed layer underetching
US20090311520A1 (en) * 2005-12-15 2009-12-17 Hwail Jin Multi-layer adhesive film for die stacking
US8039393B2 (en) * 2008-06-27 2011-10-18 Advanced Semiconductor Engineering, Inc. Semiconductor structure, method for manufacturing semiconductor structure and semiconductor package

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3418548B2 (en) * 1997-04-03 2003-06-23 株式会社山武 Circuit board and method of manufacturing the same
KR100337658B1 (en) * 1997-04-03 2002-05-24 사토 요시하루 Circuit board and detector, and method for manufacturing the same
JP4197302B2 (en) * 2004-02-09 2008-12-17 シャープ株式会社 Manufacturing method of semiconductor device
JP4383939B2 (en) * 2004-03-29 2009-12-16 シャープ株式会社 Transmission line forming method, transmission line, semiconductor chip, and semiconductor integrated circuit unit
JP2006108244A (en) * 2004-10-01 2006-04-20 Sharp Corp Method of manufacturing semiconductor device

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080062503A1 (en) * 2002-06-19 2008-03-13 Miradia Inc. Fabrication of a high fill ratio reflective spatial light modulator with hidden hinge
US6908856B2 (en) * 2003-04-03 2005-06-21 Interuniversitair Microelektronica Centrum (Imec) Method for producing electrical through hole interconnects and devices made thereof
US7473997B2 (en) * 2004-03-17 2009-01-06 International Business Machines Corporation Method for forming robust solder interconnect structures by reducing effects of seed layer underetching
US20050221601A1 (en) * 2004-03-31 2005-10-06 Nec Electronics Corporation Semiconductor device and method for manufacturing the same
US20060246718A1 (en) * 2005-04-29 2006-11-02 Kai Frohberg Technique for forming self-aligned vias in a metallization layer
US20060278989A1 (en) * 2005-06-14 2006-12-14 John Trezza Triaxial through-chip connection
US20070048896A1 (en) * 2005-08-30 2007-03-01 International Business Machines Corporation Conductive through via structure and process for electronic device carriers
US20090311520A1 (en) * 2005-12-15 2009-12-17 Hwail Jin Multi-layer adhesive film for die stacking
US20080272445A1 (en) * 2007-03-19 2008-11-06 International Business Machines Corporation Low-k displacer for overlap capacitance reduction
US8039393B2 (en) * 2008-06-27 2011-10-18 Advanced Semiconductor Engineering, Inc. Semiconductor structure, method for manufacturing semiconductor structure and semiconductor package

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
Burdeaux, David et al; Benzocyclobutene (BCB) Dielectrics for the Fabrication of High Density, Thin Film Multichip Modules, (December 1990), Journal of Electronic Materials, Vol. 19, No. 12, pages 1357-1366 *
International Bureau of WIPO, International Preliminary Report on Patentability and Written Opinion, April 20, 2010, WIPO, all pages. *

Cited By (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130196501A1 (en) * 2007-12-06 2013-08-01 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
US9281241B2 (en) * 2007-12-06 2016-03-08 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
US20120013022A1 (en) * 2010-07-16 2012-01-19 Imec Method for forming 3d-interconnect structures with airgaps
US8647920B2 (en) * 2010-07-16 2014-02-11 Imec Vzw Method for forming 3D-interconnect structures with airgaps
US8742590B2 (en) * 2010-12-07 2014-06-03 Imec Method for forming isolation trenches
US20120139127A1 (en) * 2010-12-07 2012-06-07 Imec Method for forming isolation trenches
EP2605273A3 (en) * 2011-12-16 2017-08-09 Imec Method for forming isolation trenches in micro-bump interconnect structures and devices obtained thereof
CN102709228A (en) * 2012-05-17 2012-10-03 日月光半导体制造股份有限公司 Method for producing silica perforating hole
CN102738072A (en) * 2012-05-22 2012-10-17 日月光半导体制造股份有限公司 Semiconductor assembly with through-silicon via and manufacturing method thereof
US20150371978A1 (en) * 2012-08-03 2015-12-24 Ecole Polytechnique Federale De Lausanne (Epfl) Post-cmos processing and 3d integration based on dry-film lithography
US9412728B2 (en) * 2012-08-03 2016-08-09 Ecole Polytechnique Federale De Lausanne (Epfl) Post-CMOS processing and 3D integration based on dry-film lithography
US20160211206A1 (en) * 2013-08-28 2016-07-21 Institute Of Technical Education Multilayer structure for a semiconductor device and a method of forming a multilayer structure for a semiconductor device
US9806013B2 (en) * 2013-08-28 2017-10-31 Institute Of Technical Education Multilayer structure for a semiconductor device and a method of forming a multilayer structure for a semiconductor device
WO2017086907A1 (en) * 2015-11-16 2017-05-26 Intel Corporation Structures and methods for improved lithographic processing
US10490416B2 (en) 2015-11-16 2019-11-26 Intel Corporation Structures and methods for improved lithographic processing
US10910232B2 (en) 2017-09-29 2021-02-02 Samsung Display Co., Ltd. Copper plasma etching method and manufacturing method of display panel
US11101175B2 (en) 2018-11-21 2021-08-24 International Business Machines Corporation Tall trenches for via chamferless and self forming barrier
US11715700B2 (en) 2019-05-10 2023-08-01 Applied Materials, Inc. Reconstituted substrate structure and fabrication methods for heterogeneous packaging integration
US11417605B2 (en) 2019-05-10 2022-08-16 Applied Materials, Inc. Reconstituted substrate for radio frequency applications
US11887934B2 (en) 2019-05-10 2024-01-30 Applied Materials, Inc. Package structure and fabrication methods
US11362235B2 (en) 2019-05-10 2022-06-14 Applied Materials, Inc. Substrate structuring methods
US11398433B2 (en) 2019-05-10 2022-07-26 Applied Materials, Inc. Reconstituted substrate structure and fabrication methods for heterogeneous packaging integration
US11521935B2 (en) 2019-05-10 2022-12-06 Applied Materials, Inc. Package structure and fabrication methods
US11476202B2 (en) 2019-05-10 2022-10-18 Applied Materials, Inc. Reconstituted substrate structure and fabrication methods for heterogeneous packaging integration
US11931855B2 (en) 2019-06-17 2024-03-19 Applied Materials, Inc. Planarization methods for packaging substrates
US20210082866A1 (en) * 2019-09-12 2021-03-18 Taiwan Semiconductor Manufacturing Co., Ltd. Double etch stop layer to protect semiconductor device layers from wet chemical etch
US11164844B2 (en) * 2019-09-12 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Double etch stop layer to protect semiconductor device layers from wet chemical etch
US11139201B2 (en) 2019-11-04 2021-10-05 International Business Machines Corporation Top via with hybrid metallization
US11881447B2 (en) 2019-11-27 2024-01-23 Applied Materials, Inc. Package core assembly and fabrication methods
US11862546B2 (en) 2019-11-27 2024-01-02 Applied Materials, Inc. Package core assembly and fabrication methods
US11742330B2 (en) 2020-03-10 2023-08-29 Applied Materials, Inc. High connectivity device stacking
US11454884B2 (en) 2020-04-15 2022-09-27 Applied Materials, Inc. Fluoropolymer stamp fabrication method
US11927885B2 (en) 2020-04-15 2024-03-12 Applied Materials, Inc. Fluoropolymer stamp fabrication method
US11400545B2 (en) 2020-05-11 2022-08-02 Applied Materials, Inc. Laser ablation for package fabrication
US11676832B2 (en) 2020-07-24 2023-06-13 Applied Materials, Inc. Laser ablation system for package fabrication
US11521937B2 (en) 2020-11-16 2022-12-06 Applied Materials, Inc. Package structures with built-in EMI shielding
US11404318B2 (en) 2020-11-20 2022-08-02 Applied Materials, Inc. Methods of forming through-silicon vias in substrates for advanced packaging
WO2022108677A1 (en) * 2020-11-20 2022-05-27 Applied Materials, Inc. Methods of tsv formation for advanced packaging
US11705365B2 (en) 2021-05-18 2023-07-18 Applied Materials, Inc. Methods of micro-via formation for advanced packaging
US11942424B2 (en) 2021-12-01 2024-03-26 International Business Machines Corporation Via patterning for integrated circuits

Also Published As

Publication number Publication date
EP2201600B1 (en) 2019-01-02
EP2201600A1 (en) 2010-06-30
JP2011512643A (en) 2011-04-21
WO2009050207A1 (en) 2009-04-23
JP5593228B2 (en) 2014-09-17

Similar Documents

Publication Publication Date Title
EP2201600B1 (en) Method for producing through-substrate vias
TWI702658B (en) 3d ic package with rdl interposer and related method
US9087878B2 (en) Device with through-silicon via (TSV) and method of forming the same
US8951906B2 (en) Method of forming a through-silicon via utilizing a metal contact pad in a back-end-of-line wiring level to fill the through-silicon via
US7786584B2 (en) Through substrate via semiconductor components
US8329578B2 (en) Via structure and via etching process of forming the same
US9064717B2 (en) Lock and key through-via method for wafer level 3D integration and structures produced thereby
TWI429046B (en) Semiconductor device and method for forming the same
US7855455B2 (en) Lock and key through-via method for wafer level 3 D integration and structures produced
US6908856B2 (en) Method for producing electrical through hole interconnects and devices made thereof
TWI483312B (en) Conductive via formation utilizing electroplating
US8975753B2 (en) Three dimensional interconnect structure and method thereof
TWI653691B (en) Bonding structures and methods forming the same
US9240373B2 (en) Semiconductor devices with close-packed via structures having in-plane routing and method of making same
TWI569316B (en) Method of forming bonded structure of semiconducter wafers
US11315904B2 (en) Semiconductor assembly and method of manufacturing the same
TWI807315B (en) Integrated circuit device and method of manufacturing the same
TW202404893A (en) Mems device and method forming the same
CN113363158A (en) Semiconductor device and method of forming the same
TW202347614A (en) System and method for integrated circuit (ic) nanometer range interconnect fabrication
WO2011129763A1 (en) An interconnect structure and a method of forming the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: IMEC, BELGIUM

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SWINNEN, BART;SOUSSAN, PHILIPPE;TEZCAN, DENIZ SABUNCUOGLU;AND OTHERS;SIGNING DATES FROM 20100331 TO 20100615;REEL/FRAME:024635/0141

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION