US20100193154A1 - Rapid cooling of a substrate by motion - Google Patents

Rapid cooling of a substrate by motion Download PDF

Info

Publication number
US20100193154A1
US20100193154A1 US12/694,634 US69463410A US2010193154A1 US 20100193154 A1 US20100193154 A1 US 20100193154A1 US 69463410 A US69463410 A US 69463410A US 2010193154 A1 US2010193154 A1 US 2010193154A1
Authority
US
United States
Prior art keywords
substrate
cooling
temperature
moving
distance
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/694,634
Inventor
Wolfgang R. Aderhold
Leonid M. Tertitski
Aaron Muir Hunter
Martin Tran
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US12/694,634 priority Critical patent/US20100193154A1/en
Priority to SG2011050648A priority patent/SG172959A1/en
Priority to TW099102478A priority patent/TW201034110A/en
Priority to PCT/US2010/022338 priority patent/WO2010088338A2/en
Priority to KR1020117020115A priority patent/KR20110108420A/en
Priority to CN2010800158689A priority patent/CN102365719A/en
Priority to JP2011548280A priority patent/JP2012516576A/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: TRAN, MARTIN, ADERHOLD, WOLFGANG R., HUNTER, AARON MUIR, TERTITSKI, LEONID M.
Publication of US20100193154A1 publication Critical patent/US20100193154A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation

Definitions

  • Embodiments of the present invention generally relate to processing methods, and particularly to methods for cooling a substrate.
  • a transistor device may include ultra shallow junction (USJ) source/drain regions which may be doped with a dopant such as phosphorus or boron to increase carrier mobility.
  • a doping process such as ion implantation
  • the source/drain regions may be damaged and require healing by a thermal process.
  • the dopant may require activation by a thermal process after implantation, for example, to diffusion to a lattice site or a lattice interstice within the source/drain region.
  • a suitable thermal process for activating the dopant and healing the source/drain regions may include a rapid thermal process (RTP), such as a spike RTP anneal.
  • RTP rapid thermal process
  • RTP processes have very slow cooling rates due to poor conductive and/or radiative thermal loss. As such, the time that the source/drain region is at an elevated temperature due to a RTP process is sufficient to permit diffusion of the dopant into a channel of the transistor device, or another region of the device where the dopant is not desired.
  • a method for cooling a substrate includes heating a substrate in a process chamber from an introductory temperature to a peak temperature of greater than about 900 degrees Celsius; and cooling the substrate from within about 50 degrees Celsius of the peak temperature by moving the substrate at a rate of at least about 3 millimeters/second in a direction normal to an upper surface of the substrate.
  • cooling the substrate by moving the substrate further comprises moving the substrate to a first position having a first distance from an upper surface of the process chamber; and subsequently moving the substrate to a second position having a second distance that is further away from the upper surface than the first distance.
  • a residence time between heating the substrate from about 50 degrees Celsius below the peak temperature and cooling the substrate to about 50 degrees Celsius below the peak temperature is less than about 1.2 seconds. In some embodiments, the residence time is about 0.6 seconds or below. Other and further embodiments of the present invention are described below.
  • FIG. 1 depicts a flow chart of a method for cooling a substrate in accordance with some embodiments of the present invention
  • FIGS. 2A-D depict a substrate during various stages of the method as referred to in FIG. 1 .
  • FIG. 3 depicts a thermal process chamber in accordance with some embodiments of the present invention.
  • FIG. 4 depicts a thermal process chamber in accordance with some embodiments of the present invention.
  • FIGS. 5A-B depict the thermal process chamber in FIG. 3 in accordance with some embodiments of the present invention.
  • the cooling methods may be part of a rapid thermal process (RTP), such as a spike RTP.
  • RTP rapid thermal process
  • the cooling methods advantageously reduce a residence time that a substrate spends at, or proximate the peak temperature during the RTP anneal. Reducing the residence time is beneficial, for example, for limiting dopant diffusion while activating a dopant implanted in the substrate and healing substrate damage due to an implant process.
  • a substrate may be moved over a relatively short distance (e.g., less than about 8 mm, or less than about 5 mm), at a relatively fast speed (e.g., about 10 mm/sec or greater, or in some embodiments up to and including about 50 mm/sec, or in some embodiments at least about 3 mm/sec, or in some embodiments between about 3 to about 15 mm/sec, or in some embodiments between about 3 to about 50 mm/sec) to accomplish a relatively significant temperature drop (e.g., more than about 10 to about 50 degrees Celsius).
  • a relatively fast speed e.g., about 10 mm/sec or greater, or in some embodiments up to and including about 50 mm/sec, or in some embodiments at least about 3 mm/sec, or in some embodiments between about 3 to about 15 mm/sec, or in some embodiments between about 3 to about 50 mm/sec
  • such rapid cooling may be accomplished in between about 350 milliseconds to about 1.2 seconds.
  • the relatively high temperature referred to above does not have to be a peak temperature, but, in some embodiments, is within 50 degrees Celsius of the peak temperature of a process under which the substrate is subjected (e.g., an anneal, or spike anneal process),
  • FIG. 1 illustrates a flow chart of a method 100 for cooling a substrate in accordance with some embodiments of the present invention.
  • the method 100 may, be part of a thermal process, such as a rapid thermal process (RTP).
  • RTP rapid thermal process
  • One exemplary RTP is a spike rapid thermal anneal (spike RTP).
  • the method 100 may be performed in any suitable process chamber configured for thermal processes, such as the RADIANCE® or RADIANCEplus® thermal processing systems, available from Applied Materials, Inc. of Santa Clara, Calif., or such as the process chamber 300 described below with respect to FIG. 3 .
  • the method 100 is described below with respect to FIGS. 2A-C , which illustrate a substrate during various stages of the method 100 as referred to in FIG. 1 .
  • the method 100 generally begins at 102 , where a substrate 200 is provided as depicted in FIG. 2A .
  • the substrate 200 refers to any substrate or material surface upon which a film processing is performed.
  • the substrate 200 may comprise silicon, crystalline silicon (e.g., Si ⁇ 100> or Si ⁇ 111>), strained silicon, silicon germanium, doped or undoped polysilicon, doped or undoped silicon wafers, patterned or non-patterned wafers, silicon on insulator (SOI), doped silicon, or the like.
  • the substrate 200 may have various dimensions, such as 200 or 300 mm diameter wafers, as well as rectangular or square panels.
  • the substrate 200 comprises silicon.
  • the substrate 200 may be patterned and/or may contain multiple materials layers.
  • the substrate may be part of a semiconductor device (not shown), such as a transistor device, and having ultra shallow junction (USJ) regions (not shown), for example, source/drain regions.
  • the source/drain regions may be implanted with dopants such as phosphorus or boron to facilitate increased carrier mobility.
  • the substrate 200 may be damaged and/or have one or more doped regions where the dopants require activation.
  • the substrate 200 may be heated to a peak temperature.
  • the peak temperature may be above about 900 degrees Celsius, between about 900 to about 1400 degrees Celsius, or greater than about 1400 degrees Celsius. In one embodiment, the peak temperature is about 1050 degrees Celsius. Although discussed above with respect to peak temperatures above about 900 degrees Celsius, for example, in some embodiments the peak temperature may be a lower temperature, such as at least about at least about 150 degrees Celsius or at least about 350 degrees Celsius.
  • the substrate 200 may enter a process chamber, for example, one of the exemplary process chambers described below, and be heated and maintained at an introductory temperature, where the introductory temperature is below the peak temperature.
  • the introductory temperature may be about room temperature, or about 25 degrees Celsius. In some embodiments, the introductory temperature may be between about 25 to about 600 degrees Celsius.
  • the substrate 200 may be heated from the introductory temperature to a first temperature at a first heating rate, and subsequently heated from the first temperature to about the peak temperature at a second heating rate.
  • the first temperature is between about 900 to about 1150 degrees Celsius.
  • the second heating rate is greater than the first heating rate.
  • the first heating rate may about constant, or about 5 to about 25 degrees Celsius/second.
  • the second heating rate may be rapid, such as during a spike portion of a spike RTP anneal, or about 50 to about 300 degrees Celsius/second.
  • the heating rate may, for example, contribute to reducing a residence time that the substrate 200 spends at or proximate (with about 50 degrees Celsius) of the peak temperature.
  • the residence time may be defined as the time between heating the substrate from about 50 degrees Celsius below the peak temperature and cooling the substrate to about 50 degrees Celsius below the peak temperature. Reducing the residence time is beneficial as discussed above, for example, in limiting dopant diffusion during activation, or healing of the substrate 200 .
  • the heating rate may be increased by the formation of a thermally insulating fluid boundary layer.
  • a boundary layer 202 may be deposited adjacent the substrate 200 .
  • the boundary layer 202 may increase the heating rate by impeding the escape of radiant energy 204 from the substrate 200 , by reducing convective heat loss due to fluid flow adjacent the substrate 200 , and/or by reducing the conductive heat loss from the substrate by forming a layer having a temperature near that of the surface of the substrate 200 .
  • the radiant energy 204 may be radiated proximate the substrate surface, or from a depth up to about 30 nm from the substrate surface.
  • the radiant energy 204 may be radiated at a wavelength between about 700 to about 1000 nm.
  • the boundary layer 202 may comprise a process gas, for example, where the process gas physically adsorbs to the surface of the substrate 200 .
  • the process gas may absorb the radiant energy 204 , thus limiting heat loss at the substrate surface. Further, the process gas may reflect radiant energy 204 back to the substrate 200 , thus limiting heat loss from the substrate 200 .
  • the process gas may include helium, oxygen, nitrogen, or combinations thereof.
  • the process gas includes hydrogen (H 2 ) and oxygen (O 2 ).
  • the process gas may be flowed across the substrate surface, flowed from above the substrate surface, or any suitable flow configuration typically using in a RTP anneal.
  • the process gas may utilize a range of compositions.
  • a composition of the process gas may be varied, for example, to improve absorption of radiant energy from the substrate 200 , or the like.
  • the process gas may comprise between about 95 to about 99 percent of nitrogen (N 2 ) (e.g., an N 2 flow of between about 100 to about 20000 sccm).
  • the process gas may comprise between about 1 to about 5 percent of hydrogen (H 2 ).
  • the process gas comprises about 1 to about 5% hydrogen (H 2 ) in nitrogen (N 2 ).
  • the substrate 200 is cooled from within about 50 degrees Celsius of the peak temperature by moving the substrate in a direction normal to the surface of the substrate.
  • the movement of the substrate 200 may begin with about 50 degrees Celsius of the peak temperature, or in other words, within about 50 degrees Celsius prior to reaching the peak temperature.
  • the movement of the substrate 200 may begin at about the peak temperature.
  • a motion in a direction normal to the surface of the substrate 200 is depicted by the arrow labeled 206 in FIG. 2C .
  • the inventors have discovered that merely moving the substrate 200 is not sufficient to enhance the rate of cooling of the substrate 200 . It is believed that rapidly moving the substrate 200 disturbs the boundary layer 202 and facilitates more rapid cooling of the substrate 200 .
  • the substrate 200 may be moved at a rate sufficient to disturb the boundary layer 202 (e.g., the boundary layer 202 may be thinned by particles 208 of the process gas releasing from the boundary layer 202 ).
  • the substrate 200 may be moved at a rate of at least about 3 mm/sec, at a rate of up to about 10 mm/sec, at a rate of up to about 50 mm/sec, or at a rate of between about 3 to about 15 mm/sec.
  • the rate of the substrate movement may facilitate a temperature drop of about 10 to about 50 degrees Celsius, or more than about 50 degrees Celsius.
  • a cooling time to reach about 50 degrees below the peak (or initial) temperature is between about 350 milliseconds to about 1.2 seconds, or about 350 milliseconds.
  • the residence time (or cooling time) may be less than about 1.2 seconds, or between about 350 milliseconds to about 1.2 seconds, or between about 0.6 to about 1.0 seconds, or about 0.6 seconds or less, or about 350 milliseconds.
  • a cooling plate may be provided to assist in cooling the substrate 200 .
  • the substrate 200 may be cooled from the peak temperature by rapidly moving the substrate, in the manner discussed above, towards the cooling plate.
  • the cooling plate may be disposed proximate an upper surface of a process chamber, such as the cooling block 380 in the process chamber 300 depicted below in FIG. 3 .
  • the cooling plate may be disposed on a opposing side of the substrate from an energy source used to heat the substrate.
  • the exemplary process chamber 300 described below illustrates a cooling block 380 (i.e., a cooling plate) disposed above the substrate 200 and an energy source 306 disposed below the substrate 200 .
  • the substrate 200 may be held at an initial position having an initial distance from an upper surface of the process chamber, or from the cooling plate, during the heating of the substrate (described above at 104 ). In some embodiments, the initial distance is about 8 millimeters from the upper surface or cooling plate.
  • the substrate 200 may be cooled by rapidly moving the substrate towards the cooling plate.
  • the substrate 200 may be cooled over a relatively short distance, for example, by moving the substrate less than about 8 millimeters, or less than about 5 millimeters, or about 1 to about 3 millimeters towards the cooling plate.
  • cooling the substrate by moving the substrate towards the cooling plate may include moving the substrate to a first position having a first distance from the cooling plate; and subsequently moving the substrate to a second position having a second distance that is further away from the cooling plate than the first distance. Moving the substrate away from the cooling plate may provide more uniform final cooling profile across the substrate surface.
  • the first distance is about 1 to about 3 millimeters from the cooling plate.
  • the second distance is about 6 millimeters from the cooling plate.
  • the substrate 200 may be cooled by rapidly moving the substrate towards an upper surface of a process chamber, for example, an exemplary process chamber 400 described below.
  • an energy source for example, the lamphead 401 may be disposed above the upper surface (i.e., a window assembly 414 ) of the process chamber 400 .
  • the substrate may be cooled by moving the substrate towards the energy source.
  • the substrate may be cooled by moving the substrate to a first position having a first distance from the upper surface of the process chamber; and subsequently moving the substrate to a second position having a second distance that is further away from the upper surface than the first distance.
  • the substrate 200 may be moved in any suitable motion in a direction normal to the substrate surface which disturbs the thermal insulating boundary layer 202 .
  • the motion may be any suitable motion such as accelerating and decelerating the substrate 200 from an initial position having an initial distance from a cooling plate to a first position having a first distance from a cooling plate as discussed above.
  • the motion may be linear, sinusoidal, or any other suitable motion which disturbs the boundary layer 202 to facilitate a breakup of the boundary layer 202 into particles 208 of the process gas as depicted in FIG. 2C .
  • the particles 208 may include atoms or molecules of the process gas, and/or formed from the process gas.
  • Disturbing or breaking up the boundary layer 202 into particles 208 of the process gas may facilitate improved heat loss due to radiant energy 204 escaping from the substrate 200 as depicted in FIG. 2D .
  • the radiant energy 204 may be absorbed by, for example, a wall or surface of the process chamber 300 , such by a cooling plate disposed proximate the substrate 200 , or another similar means.
  • the cooling plate may be coated and/or comprise a non-reflective material such that the radiant energy 204 is absorbed, and hence not reflected back to the substrate 200 during cooling.
  • non-reflective materials may include ceramic, quartz, or materials having roughened surfaces.
  • the radiant energy 204 may be absorbed by the particles 208 of process gas and flowed away from the substrate 200 , for example, towards a wall or surface of the process chamber 300 which may act as a heat sink. As such, disturbing or breaking up the boundary layer 202 into particles 208 may improve a cooling rate from the peak temperature. In some embodiments, a cooling rate from about the peak temperature to about 50 degrees below the peak temperature is between about 90 to about 150 degrees Celsius/second.
  • the substrate 200 may be cooled from about the peak temperature to a second temperature at a first cooling rate; and cooled from the second temperature to an end temperature at a second cooling rate.
  • the second cooling rate is less than the first cooling rate.
  • the second cooling rate may about constant, or about 50 to about 90 degrees Celsius/second.
  • the first cooling rate may be rapid, such as during a spike portion of a spike RTP anneal, or about 90 to about 150 degrees Celsius/second.
  • the second temperature may be between about 900 to about 1150 degrees Celsius, or within about 80% of the first temperature discussed above.
  • the end temperature may be about room temperature, or about 25 degrees Celsius. In some embodiments, the end temperature may be between about 25 to about 600 degrees Celsius.
  • An improved cooling rate may be aided by an increased flow rate of the process gas.
  • the flow rate may be increased by rapidly moving the substrate 200 during cooling.
  • the flow rate may be increased to about 40 slm.
  • the increase flow rate may, for example, carry particles 208 away from the substrate surface faster during the motion 206 .
  • the flow rate of the process gas entering the process chamber such as at an inlet or nozzle may be increased during cooling of the substrate 200 from about the peak temperature to facilitate disturbing the boundary layer 202 .
  • the methods described herein for heating and cooling the substrate 200 about a peak temperature may facilitate a residence time as discussed above of less than about 1.2 seconds.
  • the residence time may be less than about 1.2 seconds, or between about 350 milliseconds to about 1.2 seconds, or between about 0.6 to about 1.0 seconds, or about 0.6 seconds or less, or about 350 milliseconds.
  • the methods described herein may be performed in any suitable thermal processing system, for example, such as the rapid thermal processing chamber 300 depicted in FIG. 3 .
  • FIG. 3 depicts one exemplary semiconductor processing chamber which may be utilized in accordance with some embodiments of the present invention.
  • the process chamber 300 may be any suitable process chamber, for example, configured for thermal processing, such as a rapid thermal process (RTP).
  • RTP rapid thermal process
  • the processing chamber 300 includes a contactless or magnetically levitated substrate support 304 , a chamber body 302 , having walls 308 , a bottom 310 , and a top 312 defining an interior volume 320 .
  • the walls 308 typically include at least one substrate access port 348 to facilitate entry and egress of the substrate 200 (a portion of which is shown in FIG. 1 ).
  • the access port may be coupled to a transfer chamber (not shown) or a load lock chamber (not shown) and may be selectively sealed with a valve, such as a slit valve (not shown).
  • the chamber 300 includes an energy source 306 disposed below the substrate 140 and in an inside diameter of the substrate support 304 .
  • the substrate support 304 is adapted to magnetically levitate and rotate within the interior volume 320 .
  • the substrate support 304 is capable of rotating while raising and lowering vertically during processing, and may also be raised or lowered without rotation before, during, or after processing. This magnetic levitation and/or magnetic rotation prevents or minimizes particle generation due to the absence or reduction of moving parts typically required to raise/lower and/or rotate the substrate support.
  • the radiant heat source 106 is movably coupled to the substrate support 304 .
  • the substrate support 304 includes a window 314 made from a material transparent to heat and light of various wavelengths, which may include light in the infra-red (IR) spectrum, through which photons from the radiant heat source 306 may heat the substrate 200 .
  • the window 314 is made of a quartz material, although other materials that are transparent to light may be used, such as sapphire.
  • the plurality of lift pins 344 disposed through the window 314 .
  • the lift pins 344 are adapted to selectively contact and support the substrate 200 , to facilitate transfer of the substrate into and out of the chamber 300 .
  • Each of the plurality of lift pins 344 are configured to minimize absorption of energy from the energy source 306 and may be made from the same material used for the window 314 , such as a quartz material.
  • the plurality of lift pins 344 may be positioned and radially spaced from each other to facilitate passage of an end effector coupled to a transfer robot (not shown). Alternatively, the end effector and/or robot may be capable of horizontal and vertical movement to facilitate transfer of the substrate 200 .
  • the substrate support 304 is capable of horizontal movement, where movement is independent of the plurality of lift pins 344 . Accordingly, the substrate support 304 may be moved along a horizontal plane and be aligned relative to the substrate 200 disposed on the lift pins 344 .
  • the energy source 306 includes a lamp assembly formed from a housing which includes a plurality of honeycomb tubes 360 in a coolant assembly (not shown) coupled to a coolant source 383 .
  • the coolant source 383 may be one or a combination of water, ethylene glycol, nitrogen (N 2 ), and helium (He).
  • the housing may be made of a copper material or other suitable material having suitable coolant channels formed therein for flow of the coolant from the coolant source 383 .
  • Each tube 360 may contain a reflector and a high-intensity lamp assembly or an IR emitter from which is formed a honeycomb-like pipe arrangement. This close-packed hexagonal arrangement of pipes provides energy sources with high-power density and good spatial resolution.
  • the energy source 306 provides sufficient radiant energy to thermally process the substrate, for example, annealing a silicon layer disposed on the substrate 200 .
  • the energy source 306 may further comprise annular zones, wherein the voltage supplied to the plurality of tubes 360 by a controller 324 may varied to enhance the radial distribution of energy from the tubes 360 .
  • Dynamic control of the heating of the substrate 200 may be affected by the one or more temperature sensors 317 (described in more detail below) adapted to measure the temperature across the substrate 340 .
  • the radiant energy provided by the energy source 306 has a wavelength between about 700 nm to about 1000 nm.
  • a stator assembly 318 circumscribes the walls 308 of the chamber body 302 and is coupled to one or more actuator assemblies 322 that control the elevation of the stator assembly 318 along the exterior of the chamber body 302 .
  • the chamber 300 includes three actuator assemblies 322 disposed radially about the chamber body, for example, at about 120 degree angles about the chamber body 302 .
  • the stator assembly 318 is magnetically coupled to the substrate support 304 disposed within the interior volume 320 of the chamber body 302 .
  • the substrate support 304 may comprise or include a magnetic portion (e.g., magnetic section 305 ) to function as a rotor, thus creating a magnetic bearing assembly to lift and/or rotate the substrate support 304 .
  • the substrate support 304 is partially surrounded by a trough (not shown) that is coupled to a fluid source 386 , which may include water, ethylene glycol, nitrogen (N 2 ), helium (He), or combinations thereof, adapted as a heat exchange medium for the substrate support.
  • the stator assembly 318 may also include a housing 390 to enclose various parts and components of the stator assembly 318 .
  • the stator assembly 318 includes a drive coil assembly 368 stacked on a suspension coil assembly 370 .
  • the drive coil assembly 368 is adapted to rotate and/or raise/lower the substrate support 304 while the suspension coil assembly 370 may be adapted to passively center the substrate support 304 within the processing chamber 300 .
  • the rotational and centering functions may be performed by a stator having a single coil assembly.
  • An atmosphere control system 364 is also coupled to the interior volume 320 of the chamber body 302 .
  • the atmosphere control system 364 generally includes throttle valves and vacuum pumps for controlling chamber pressure.
  • the atmosphere control system 364 may additionally include gas sources for providing process or other gases to the interior volume 320 .
  • the atmosphere control system 364 may also be adapted to deliver process gases for thermal deposition processes.
  • the chamber 300 includes a controller 324 , which generally includes a central processing unit (CPU) 330 , support circuits 328 and memory 326 .
  • the CPU 330 may be one of any form of computer processor that can be used in an industrial setting for controlling various actions and sub-processors.
  • the memory 326 or computer-readable medium, may be one or more of readily available memory such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote, and is typically coupled to the CPU 330 .
  • the support circuits 328 are coupled to the CPU 330 for supporting the controller 324 in a conventional manner. These circuits include cache, power supplies, clock circuits, input/output circuitry, subsystems, and the like.
  • the actuator assemblies 322 generally comprise a precision lead screw 332 coupled between two flanges 334 extending from the walls 308 of the chamber body 302 .
  • the lead screw 332 has a nut 358 that axially travels along the lead screw 332 as the screw rotates.
  • a coupling 336 is coupled between the stator 318 and nut 358 so that as the lead screw 332 is rotated, the coupling 336 is moved along the lead screw 332 to control the elevation of the stator 318 at the interface with the coupling 336 .
  • a motor 338 such as a stepper or servo motor, is coupled to the lead screw 332 to provide controllable rotation in response to a signal by the controller 324 .
  • actuators 322 may be utilized to control the linear position of the stator 318 , such as pneumatic cylinders, hydraulic cylinders, ball screws, solenoids, linear actuators and cam followers, among others.
  • the chamber 300 further includes one or more sensors 316 , which are generally adapted to detect the elevation of the substrate support 304 (or substrate 200 ) within the interior volume 320 of the chamber body 302 .
  • the sensors 316 may be coupled to the chamber body 302 and/or other portions of the processing chamber 300 and are adapted to provide an output indicative of the distance between the substrate support 304 and the top 312 and/or bottom 310 of the chamber body 302 , and may also detect misalignment of the substrate support 304 and/or substrate 200 .
  • the one or more sensors 316 are coupled to the controller 324 that receives the output metric from the sensors 316 and provides a signal or signals to the one or more actuator assemblies 322 to raise or lower at least a portion of the substrate support 304 .
  • the controller 324 may utilize a positional metric obtained from the sensors 316 to adjust the elevation of the stator 318 at each actuator assembly 322 so that both the elevation and the planarity of the substrate support 304 and substrate 200 seated thereon may be adjusted relative to and a central axis of the process chamber 300 and/or the energy source 306 .
  • the controller 324 may provide signals to raise the substrate support by action of one actuator 322 to correct axial misalignment of the substrate support 304 , or the controller may provide a signal to all actuators 322 to facilitate simultaneous vertical movement of the substrate support 104 .
  • the one or more sensors 316 may be ultrasonic, laser, inductive, capacitive, or other type of sensor capable of detecting the proximity of the substrate support 304 within the chamber body 302 .
  • the sensors 316 may be coupled to the chamber body 302 proximate the top 312 or coupled to the walls 308 , although other locations within and around the chamber body 302 may be suitable, such as coupled to the stator 318 outside of the chamber 300 .
  • one or more sensors 316 may be coupled to the stator 318 and are adapted to sense the elevation and/or position of the substrate support 304 (or substrate 140 ) through the walls 308 .
  • the walls 308 may include a thinner cross-section to facilitate positional sensing through the walls 308 .
  • the chamber 300 includes one or more temperature sensors 317 , which may be adapted to sense temperature of the substrate 200 before, during, and after processing.
  • the temperature sensors 317 are disposed through the top 312 , although other locations within and around the chamber body 302 may be used.
  • the temperature sensors 317 may be optical pyrometers, as an example, pyrometers having fiber optic probes.
  • the sensors 317 may be adapted to couple to the top 312 in a configuration to sense the entire diameter of the substrate, or a portion of the substrate.
  • the sensors 317 may comprise a pattern defining a sensing area substantially equal to the diameter of the substrate, or a sensing area substantially equal to the radius of the substrate.
  • a plurality of sensors 117 may be coupled to the top 312 in a radial or linear configuration to enable a sensing area across the radius or diameter of the substrate.
  • a plurality of sensors 317 may be disposed in a line extending radially from about the center of the top 312 to a peripheral portion of the top 312 . In this manner, the radius of the substrate may be monitored by the sensors 317 , which will enable sensing of the diameter of the substrate during rotation.
  • the chamber 300 further includes a cooling block 380 adjacent to, coupled to, or formed in the top 312 .
  • the cooling block 380 is spaced apart and opposing the energy source 106 .
  • the cooling block 380 comprises one or more coolant channels 384 coupled to an inlet 381 A and an outlet 381 B.
  • the cooling block 380 may be made of a process resistant material, such as stainless steel, aluminum, a polymer, or a ceramic material.
  • the coolant channels 384 may comprise a spiral pattern, a rectangular pattern, a circular pattern, or combinations thereof and the channels 384 may be formed integrally within the cooling block 380 , for example by casting the cooling block 380 and/or fabricating the cooling block 380 from two or more pieces and joining the pieces. Additionally or alternatively, the coolant channels 384 may be drilled into the cooling block 380 .
  • the chamber 300 is adapted to receive a substrate in a “face-up” orientation, wherein the deposit receiving side or face of the substrate is oriented toward the cooling block 380 and the “backside” of the substrate is facing the energy source 306 .
  • the “face-up” orientation may allow the energy from the energy source 306 to be absorbed more rapidly by the substrate 200 as the backside of the substrate is typically less reflective than the face (i.e., frontside) of the substrate.
  • the cooling block 380 and energy source 306 is described as being positioned in an upper and lower portion of the interior volume 320 , respectively, the position of the cooling block 380 and the energy source 306 may be reversed.
  • the cooling block 380 may be sized and configured to be positioned within the inside diameter of the substrate support 304 , and the energy source 306 may be coupled to the top 312 .
  • a window made of a transparent material such as quartz or a polarizing material in accordance with embodiments discussed above, may be disposed between the energy source 106 and the substrate support 304 , such as adjacent the energy source 306 in the upper portion of the chamber 300 .
  • the substrate 200 may absorb heat more readily when the backside is facing the energy source 306 , the substrate 200 could be oriented in a face-up orientation or a face down orientation in either configuration.
  • the inlet 381 A and outlet 381 B may be coupled to a coolant source 382 by valves and suitable plumbing and the coolant source 382 is in communication with the controller 124 to facilitate control of pressure and/or flow of a fluid disposed therein.
  • the fluid may be water, ethylene glycol, nitrogen (N 2 ), helium (He), or other fluid used as a heat exchange medium.
  • the chamber 300 may further include one or more gas inlets (not shown) for flowing a process gas.
  • the one or more gas inlets may be configured to deliver the process gas across and/or perpendicular, or at any suitable angle to the substrate surface.
  • the chamber 300 may further include an exhaust port (not shown) fluidly coupled to the interior volume 320 for removing the process gas from the interior volume 320 and/or for controlling pressure within the interior volume 320 .
  • the exhaust port may be coupled to a pump (not shown), such as a roughing pump, turbo pump, or the like.
  • the process gas may be utilizing for thermal processing, for example, such as during the formation of an oxide on the substrate 200 , or other suitable thermal processes, such as annealing.
  • Exemplary process gases may include at least one of oxygen (O 2 ), nitrogen (N 2 ), hydrogen (H 2 ), helium (He), argon (Ar), or the like.
  • the substrate 200 may be cooled by rapidly moving the substrate in a direction normal to the surface of the substrate 200 .
  • the substrate 200 may rest on the substrate support 304 , where the substrate 200 may be at an initial position at an initial distance 502 from the cooling block 380 (not shown in FIG. 5A ).
  • the initial distance is about 8 mm.
  • the one or more of the drive coil assembly 368 or suspension coil assembly 370 of the stator assembly 318 may be engaged to hold/secure and/or passively center the substrate support 304 .
  • the motor 338 of the actuator assembly 322 upon receiving a signal from the controller 324 may provide a controllable rotation causing the lead screw 332 to rotate, and the nut 358 to travel axially along the lead screw 332 as the screw rotates.
  • the stator assembly 318 coupled to the nut 358 via the coupling 336 moves as the screw 332 rotates to control the elevation of the substrate support 304 .
  • the substrate support 304 may be moved as described above towards the cooling block 380 (not shown in FIG. 5B ) such that the substrate 200 is moved to a first position at a first distance 504 from the cooling block 380 .
  • the first distance 504 is about 3 mm, or in some embodiments between about 1 to about 3 mm.
  • the flow rate of a process gas disposed between the cooling block 380 and the surface of the substrate 200 may be increased due to the movement of the substrate support 304 .
  • the process gas (depicted by arrows 506 ) is forced in a direction generally parallel to the surface of the substrate 200 and from a portion of the interior volume 320 between the surface of the substrate 200 and the cooling block 380 (not shown in FIG. 5B ).
  • the flow rate may be increased to about 40 slm.
  • inventive methods described herein may be performed in a process chamber configured for heating the substrate from above, such as a process chamber 400 depicted in FIG. 4 .
  • the process chamber 400 may be any suitable process chamber, for example, configured for thermal processing, such as a rapid thermal process (RTP).
  • RTP rapid thermal process
  • the substrate 200 is mounted inside the chamber 400 on a substrate support 408 and is heated by the lamphead 401 , which is disposed in a position opposing the substrate support 408 .
  • the lamphead 401 generates radiation which is directed to a front side 407 of the substrate 200 .
  • the lamphead 401 may be configured to heat the back side 409 of the substrate 200 , for example, such as by being disposed below the substrate 200 , or by directing the radiation to the back side of the substrate 200 .
  • the radiation enters the process chamber 400 through a water-cooled quartz window assembly 414 .
  • Beneath the substrate 200 is a reflector 402 , which is mounted on a water-cooled, stainless steel base 416 .
  • the base 416 includes a circulation circuit 446 through which coolant circulate to cool the reflector 402 .
  • the reflector 402 is made of aluminum and has a highly reflective surface coating 420 .
  • Water which may be above 23 degrees Celsius, may be circulated through the base 416 to keep the temperature of the reflector 402 well below that of the heated substrate 200 .
  • other coolants may be provided at the same or different temperatures.
  • antifreeze e.g., ethylene glycol, propylene glycol, or the like
  • heat transfer fluids may be circulated through the base 416 and/or the base 416 may be coupled to a chiller (not shown).
  • An underside or backside of the substrate 200 and the top of the reflector 402 form a reflecting cavity 418 .
  • the reflecting cavity 418 enhances the effective emissivity of the substrate 200 .
  • the width width-to-height ratio of the reflecting cavity may be about 20:1 or more.
  • the separation between the substrate 200 and the reflector 402 may be approximately 0.3 of an inch (7.6 mm), thus forming the reflecting cavity 418 which has a width-to-height ratio of about 27.
  • the distance between the substrate 200 and the reflector 302 may be between about 3 to about 9 mm.
  • the temperatures at localized regions of the substrate 200 are measured by a plurality of temperature probes, such as 452 a , 452 b , and 452 c .
  • Each temperature probe includes a sapphire light pipe 426 that passes through a conduit 424 that extends from the backside of the base 416 through the top of the reflector 402 .
  • the sapphire light pipe 426 is about 0.125 inch in diameter and the conduit 424 is slightly larger.
  • the sapphire light pipe 426 is positioned within the conduit 424 so that its uppermost end is flush with or slightly below the upper surface of the reflector 402 .
  • the other end of light pipe 426 couples to a flexible optical fiber 425 that transmits sampled light from the reflecting cavity 418 to a pyrometer 428 .
  • the pyrometer 428 is connected to a temperature controller 450 which controls the power supplied to the lamphead 401 in response to a measured temperature.
  • the lamphead 401 may use about 187 lights to deliver highly collimated radiation from tungsten-halogen lamps to the process chamber 400 .
  • the lamphead 401 may use about 409 lights.
  • the number and configuration of the lights disclosed herein are exemplary and other numbers and configurations may also suitably be used.
  • the lamps may be divided into multiple zones.
  • the zones can be individually adjusted by the controller to allow controlled radiative heating of different areas of the substrate 200 .
  • Such a control system is described in U.S. Pat. No. 5,755,511, assigned to the assignee of the present invention, the entire disclosure of which is incorporated herein by reference.
  • the described embodiments use measurement or temperature probes distributed over the reflector 402 so as to measure the temperature at different radii of the substrate 200 .
  • the substrate 200 is rotated, for example, at about 90 RPM.
  • each probe actually samples the temperature profile of a corresponding annular ring area on the substrate 200 .
  • the substrate support 408 may be configured to be stationary of may rotate the substrate 200 .
  • the substrate support 408 includes a support or an edge ring 434 which contacts the substrate 200 around the substrate's outer perimeter, thereby leaving the entire underside of the substrate 200 exposed except for a small annular region about the outer perimeter.
  • the support ring 434 is also known as the edge ring 434 and these two terms can be interchangeably used within the specification.
  • the support ring 434 has a radial width of approximately one inch (2.5 centimeters (cm)).
  • the support ring 434 is made of the same, or similar, material as that of the substrate 200 , for example, silicon.
  • the support ring 434 rests on a rotatable tubular quartz cylinder 436 that is coated with silicon to render it opaque in the frequency range of the pyrometer 428 .
  • the coating on the quartz cylinder 436 acts as a baffle to block out radiation from the external sources that might contaminate the intensity measurements.
  • the bottom of the quartz cylinder 436 is held by an annular upper bearing 441 which rests on a plurality of ball bearings 437 that are, in turn, held within a stationary, annular, lower bearing race 439 .
  • the ball bearings 437 are made of steel and coated with silicon nitride to reduce particulate formation during operations.
  • the upper bearing 441 is magnetically coupled to an actuator (not shown) which rotates the cylinder 436 , the edge ring 434 and the substrate 200 during the thermal processing.
  • a purge ring 445 which is fitted into the chamber body, surrounds the quartz cylinder 436 .
  • the purge ring 445 has an internal annular cavity 447 which opens up to a region above the upper bearing 441 .
  • the internal cavity 447 is connected to a gas supply (not shown) through a passageway 449 .
  • a purge gas is flowed into the chamber through the purge ring 445 .
  • the process gas may be flowed from a gas panel (not shown) and enter the process chamber 400 at an inlet 430 .
  • the inlet 430 is disposed in a side of the process chamber 400 and facilitates the flow of the process gas across the surface of the substrate 200 .
  • Process gas flow need not be limited to side entry, and other embodiments are possible.
  • the inlet 430 can be disposed above the substrate (not shown) for flowing the process gas thereto.
  • process gases can alternatively or in combination be introduced at different locations with respect to the substrate, such as radial locations.
  • the process gases can be provided with different flow rates per location (or independently controlled flow rates at each location) to allow for tuning of the cooling effect across the substrate.
  • Gases are exhausted through an exhaust port 460 disposed on an opposing side wall of the process chamber from the inlet 330 .
  • the exhaust port 460 is coupled to a vacuum pump (not shown).
  • the support ring 434 has an outer radius that is larger than the radius of the quartz cylinder 436 so that it extends out beyond the quartz cylinder 436 .
  • the annular extension of the support ring 434 beyond the cylinder 436 in cooperation with the purge ring 445 located below it, functions as a baffle which prevents stray light from entering the reflecting cavity 418 at the backside of the substrate 200 .
  • the support ring 434 and the purge ring 445 may also be coated with a material (for example, a black or gray material) that absorbs the radiation generated by the lamphead 401 .
  • the substrate support 408 may be coupled to a lift mechanism 455 capable of raising and lowering the substrate with respect to the lamphead 401 .
  • the substrate support 408 may be coupled to the lift mechanism 455 , such that a distance between the substrate 200 and the reflector 402 is constant during the lifting motion (i.e., motion 206 ).
  • the substrate support 408 can be adapted to magnetically levitate and rotate within the process chamber 300 .
  • the substrate support 408 is capable of rotating while raising and lowering vertically during processing, and may also be raised or lowered without rotation before, during, or after processing. This magnetic levitation and/or magnetic rotation prevents or minimizes particle generation due to the absence or reduction of moving parts typically required to raise/lower and/or rotate the substrate support.
  • the cooling methods may be part of a rapid thermal process (RTP), such as a spike RTP.
  • RTP rapid thermal process
  • the cooling methods advantageously reduce a residence time that a substrate spends proximate the peak temperature during the RTP anneal. Reducing the residence time is beneficial, for example, for limiting dopant diffusion while activating a dopant implanted in the substrate and healing substrate damage due to an implant process.

Abstract

Methods for cooling a substrate are provided herein. In some embodiments, a method for cooling a substrate includes heating a substrate in a process chamber from an introductory temperature to a peak temperature of greater than about 900 degrees Celsius; and cooling the substrate from within about 50 degrees Celsius of the peak temperature by moving the substrate at a rate of at least about 3 millimeters/second in a direction normal to an upper surface of the substrate. In some embodiments, cooling the substrate by moving the substrate further comprises moving the substrate to a first position having a first distance from an upper surface of the process chamber; and subsequently moving the substrate to a second position having a second distance that is further away from the upper surface than the first distance. In some embodiments, a residence time proximate the peak temperature is about 0.6 seconds or less.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application claims benefit of U.S. provisional patent application Ser. No. 61/147,891, filed Jan. 28, 2009, which is herein incorporated by reference in its entirety.
  • FIELD
  • Embodiments of the present invention generally relate to processing methods, and particularly to methods for cooling a substrate.
  • BACKGROUND
  • At smaller semiconductor device nodes, precise control of thermal processes is critical. For example, a transistor device may include ultra shallow junction (USJ) source/drain regions which may be doped with a dopant such as phosphorus or boron to increase carrier mobility. During a doping process, such as ion implantation, the source/drain regions may be damaged and require healing by a thermal process. Further, the dopant may require activation by a thermal process after implantation, for example, to diffusion to a lattice site or a lattice interstice within the source/drain region. A suitable thermal process for activating the dopant and healing the source/drain regions may include a rapid thermal process (RTP), such as a spike RTP anneal. Unfortunately, RTP processes have very slow cooling rates due to poor conductive and/or radiative thermal loss. As such, the time that the source/drain region is at an elevated temperature due to a RTP process is sufficient to permit diffusion of the dopant into a channel of the transistor device, or another region of the device where the dopant is not desired.
  • Accordingly, there is a need in the art for improved methods for cooling a substrate during a thermal process.
  • SUMMARY
  • Methods for cooling a substrate are provided herein. In some embodiments, a method for cooling a substrate includes heating a substrate in a process chamber from an introductory temperature to a peak temperature of greater than about 900 degrees Celsius; and cooling the substrate from within about 50 degrees Celsius of the peak temperature by moving the substrate at a rate of at least about 3 millimeters/second in a direction normal to an upper surface of the substrate.
  • In some embodiments, cooling the substrate by moving the substrate further comprises moving the substrate to a first position having a first distance from an upper surface of the process chamber; and subsequently moving the substrate to a second position having a second distance that is further away from the upper surface than the first distance. In some embodiments, a residence time between heating the substrate from about 50 degrees Celsius below the peak temperature and cooling the substrate to about 50 degrees Celsius below the peak temperature is less than about 1.2 seconds. In some embodiments, the residence time is about 0.6 seconds or below. Other and further embodiments of the present invention are described below.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Embodiments of the present invention, briefly summarized above and discussed in greater detail below, can be understood by reference to the illustrative embodiments of the invention depicted in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIG. 1 depicts a flow chart of a method for cooling a substrate in accordance with some embodiments of the present invention
  • FIGS. 2A-D depict a substrate during various stages of the method as referred to in FIG. 1.
  • FIG. 3 depicts a thermal process chamber in accordance with some embodiments of the present invention.
  • FIG. 4 depicts a thermal process chamber in accordance with some embodiments of the present invention.
  • FIGS. 5A-B depict the thermal process chamber in FIG. 3 in accordance with some embodiments of the present invention.
  • To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. The figures are not drawn to scale and may be simplified for clarity. It is contemplated that elements and features of one embodiment may be beneficially incorporated in other embodiments without further recitation.
  • DETAILED DESCRIPTION
  • Methods for rapidly cooling a substrate are provided herein. The cooling methods may be part of a rapid thermal process (RTP), such as a spike RTP. The cooling methods advantageously reduce a residence time that a substrate spends at, or proximate the peak temperature during the RTP anneal. Reducing the residence time is beneficial, for example, for limiting dopant diffusion while activating a dopant implanted in the substrate and healing substrate damage due to an implant process.
  • For example, as discussed below in greater detail, in some embodiments, starting from a relatively high temperature (e.g., above about 900 degrees Celsius, or in some embodiments between about 900 to about 1400 degrees Celsius, or in some embodiments between about 1000 to about 1400 degrees Celsius), a substrate may be moved over a relatively short distance (e.g., less than about 8 mm, or less than about 5 mm), at a relatively fast speed (e.g., about 10 mm/sec or greater, or in some embodiments up to and including about 50 mm/sec, or in some embodiments at least about 3 mm/sec, or in some embodiments between about 3 to about 15 mm/sec, or in some embodiments between about 3 to about 50 mm/sec) to accomplish a relatively significant temperature drop (e.g., more than about 10 to about 50 degrees Celsius). In some embodiments, such rapid cooling may be accomplished in between about 350 milliseconds to about 1.2 seconds. The relatively high temperature referred to above does not have to be a peak temperature, but, in some embodiments, is within 50 degrees Celsius of the peak temperature of a process under which the substrate is subjected (e.g., an anneal, or spike anneal process),
  • FIG. 1 illustrates a flow chart of a method 100 for cooling a substrate in accordance with some embodiments of the present invention. The method 100 may, be part of a thermal process, such as a rapid thermal process (RTP). One exemplary RTP is a spike rapid thermal anneal (spike RTP). The method 100 may be performed in any suitable process chamber configured for thermal processes, such as the RADIANCE® or RADIANCEplus® thermal processing systems, available from Applied Materials, Inc. of Santa Clara, Calif., or such as the process chamber 300 described below with respect to FIG. 3. The method 100 is described below with respect to FIGS. 2A-C, which illustrate a substrate during various stages of the method 100 as referred to in FIG. 1.
  • The method 100 generally begins at 102, where a substrate 200 is provided as depicted in FIG. 2A. The substrate 200 refers to any substrate or material surface upon which a film processing is performed. In some embodiments, the substrate 200 may comprise silicon, crystalline silicon (e.g., Si<100> or Si<111>), strained silicon, silicon germanium, doped or undoped polysilicon, doped or undoped silicon wafers, patterned or non-patterned wafers, silicon on insulator (SOI), doped silicon, or the like. In some embodiments, the substrate 200 may have various dimensions, such as 200 or 300 mm diameter wafers, as well as rectangular or square panels. In some embodiments, the substrate 200 comprises silicon. The substrate 200 may be patterned and/or may contain multiple materials layers.
  • For example, the substrate may be part of a semiconductor device (not shown), such as a transistor device, and having ultra shallow junction (USJ) regions (not shown), for example, source/drain regions. The source/drain regions may be implanted with dopants such as phosphorus or boron to facilitate increased carrier mobility. As a result of the implantation process, the substrate 200 may be damaged and/or have one or more doped regions where the dopants require activation.
  • At 104, the substrate 200 may be heated to a peak temperature. In some embodiments, the peak temperature may be above about 900 degrees Celsius, between about 900 to about 1400 degrees Celsius, or greater than about 1400 degrees Celsius. In one embodiment, the peak temperature is about 1050 degrees Celsius. Although discussed above with respect to peak temperatures above about 900 degrees Celsius, for example, in some embodiments the peak temperature may be a lower temperature, such as at least about at least about 150 degrees Celsius or at least about 350 degrees Celsius.
  • Initially, the substrate 200 may enter a process chamber, for example, one of the exemplary process chambers described below, and be heated and maintained at an introductory temperature, where the introductory temperature is below the peak temperature. For example, in some embodiments, the introductory temperature may be about room temperature, or about 25 degrees Celsius. In some embodiments, the introductory temperature may be between about 25 to about 600 degrees Celsius.
  • For example, in some embodiments, such as a spike RTP anneal, the substrate 200 may be heated from the introductory temperature to a first temperature at a first heating rate, and subsequently heated from the first temperature to about the peak temperature at a second heating rate. In some embodiments the first temperature is between about 900 to about 1150 degrees Celsius. In some embodiments, the second heating rate is greater than the first heating rate. The first heating rate may about constant, or about 5 to about 25 degrees Celsius/second. The second heating rate may be rapid, such as during a spike portion of a spike RTP anneal, or about 50 to about 300 degrees Celsius/second.
  • In some embodiments, for example, during a spike RTP anneal, it may be desired to maximize the heating rate, such that the substrate reaches the peak temperature quickly. Maximizing the heating rate may, for example, contribute to reducing a residence time that the substrate 200 spends at or proximate (with about 50 degrees Celsius) of the peak temperature. For example, and in some embodiments, the residence time may be defined as the time between heating the substrate from about 50 degrees Celsius below the peak temperature and cooling the substrate to about 50 degrees Celsius below the peak temperature. Reducing the residence time is beneficial as discussed above, for example, in limiting dopant diffusion during activation, or healing of the substrate 200.
  • In some embodiments, the heating rate may be increased by the formation of a thermally insulating fluid boundary layer. As illustrated in FIG. 2A, a boundary layer 202 may be deposited adjacent the substrate 200. The boundary layer 202 may increase the heating rate by impeding the escape of radiant energy 204 from the substrate 200, by reducing convective heat loss due to fluid flow adjacent the substrate 200, and/or by reducing the conductive heat loss from the substrate by forming a layer having a temperature near that of the surface of the substrate 200. The radiant energy 204 may be radiated proximate the substrate surface, or from a depth up to about 30 nm from the substrate surface. In some embodiments, the radiant energy 204 may be radiated at a wavelength between about 700 to about 1000 nm. For example, the boundary layer 202 may comprise a process gas, for example, where the process gas physically adsorbs to the surface of the substrate 200. The process gas may absorb the radiant energy 204, thus limiting heat loss at the substrate surface. Further, the process gas may reflect radiant energy 204 back to the substrate 200, thus limiting heat loss from the substrate 200.
  • The process gas may include helium, oxygen, nitrogen, or combinations thereof. In some embodiments, the process gas includes hydrogen (H2) and oxygen (O2). The process gas may be flowed across the substrate surface, flowed from above the substrate surface, or any suitable flow configuration typically using in a RTP anneal.
  • The process gas may utilize a range of compositions. For example, a composition of the process gas may be varied, for example, to improve absorption of radiant energy from the substrate 200, or the like. In some embodiments, the process gas may comprise between about 95 to about 99 percent of nitrogen (N2) (e.g., an N2 flow of between about 100 to about 20000 sccm). In some embodiments, the process gas may comprise between about 1 to about 5 percent of hydrogen (H2). In one embodiment, the process gas comprises about 1 to about 5% hydrogen (H2) in nitrogen (N2).
  • At 106, the substrate 200 is cooled from within about 50 degrees Celsius of the peak temperature by moving the substrate in a direction normal to the surface of the substrate. In some embodiments, the movement of the substrate 200 may begin with about 50 degrees Celsius of the peak temperature, or in other words, within about 50 degrees Celsius prior to reaching the peak temperature. In some embodiments, the movement of the substrate 200 may begin at about the peak temperature. A motion in a direction normal to the surface of the substrate 200 is depicted by the arrow labeled 206 in FIG. 2C. The inventors have discovered that merely moving the substrate 200 is not sufficient to enhance the rate of cooling of the substrate 200. It is believed that rapidly moving the substrate 200 disturbs the boundary layer 202 and facilitates more rapid cooling of the substrate 200. For example, the substrate 200 may be moved at a rate sufficient to disturb the boundary layer 202 (e.g., the boundary layer 202 may be thinned by particles 208 of the process gas releasing from the boundary layer 202). The substrate 200 may be moved at a rate of at least about 3 mm/sec, at a rate of up to about 10 mm/sec, at a rate of up to about 50 mm/sec, or at a rate of between about 3 to about 15 mm/sec. In some embodiments, the rate of the substrate movement may facilitate a temperature drop of about 10 to about 50 degrees Celsius, or more than about 50 degrees Celsius. In some embodiments, a cooling time to reach about 50 degrees below the peak (or initial) temperature is between about 350 milliseconds to about 1.2 seconds, or about 350 milliseconds. In some embodiments, the residence time (or cooling time) may be less than about 1.2 seconds, or between about 350 milliseconds to about 1.2 seconds, or between about 0.6 to about 1.0 seconds, or about 0.6 seconds or less, or about 350 milliseconds.
  • In some embodiments, a cooling plate may be provided to assist in cooling the substrate 200. For example, the substrate 200 may be cooled from the peak temperature by rapidly moving the substrate, in the manner discussed above, towards the cooling plate. In some embodiments, the cooling plate may be disposed proximate an upper surface of a process chamber, such as the cooling block 380 in the process chamber 300 depicted below in FIG. 3. In some embodiments, the cooling plate may be disposed on a opposing side of the substrate from an energy source used to heat the substrate. For example, the exemplary process chamber 300 described below illustrates a cooling block 380 (i.e., a cooling plate) disposed above the substrate 200 and an energy source 306 disposed below the substrate 200.
  • In some embodiments, the substrate 200 may be held at an initial position having an initial distance from an upper surface of the process chamber, or from the cooling plate, during the heating of the substrate (described above at 104). In some embodiments, the initial distance is about 8 millimeters from the upper surface or cooling plate.
  • At about a time at which the substrate is heated to about the peak temperature or within about 50 degrees Celsius of the peak temperature, the substrate 200 may be cooled by rapidly moving the substrate towards the cooling plate. The substrate 200 may be cooled over a relatively short distance, for example, by moving the substrate less than about 8 millimeters, or less than about 5 millimeters, or about 1 to about 3 millimeters towards the cooling plate. In some embodiments, cooling the substrate by moving the substrate towards the cooling plate may include moving the substrate to a first position having a first distance from the cooling plate; and subsequently moving the substrate to a second position having a second distance that is further away from the cooling plate than the first distance. Moving the substrate away from the cooling plate may provide more uniform final cooling profile across the substrate surface. In some embodiments, the first distance is about 1 to about 3 millimeters from the cooling plate. In some embodiments, the second distance is about 6 millimeters from the cooling plate.
  • In some embodiments, the substrate 200 may be cooled by rapidly moving the substrate towards an upper surface of a process chamber, for example, an exemplary process chamber 400 described below. In some embodiments, an energy source, for example, the lamphead 401 may be disposed above the upper surface (i.e., a window assembly 414) of the process chamber 400. Similar to the embodiments described above, the substrate may be cooled by moving the substrate towards the energy source. In some embodiments, the substrate may be cooled by moving the substrate to a first position having a first distance from the upper surface of the process chamber; and subsequently moving the substrate to a second position having a second distance that is further away from the upper surface than the first distance.
  • The substrate 200 may be moved in any suitable motion in a direction normal to the substrate surface which disturbs the thermal insulating boundary layer 202. The motion may be any suitable motion such as accelerating and decelerating the substrate 200 from an initial position having an initial distance from a cooling plate to a first position having a first distance from a cooling plate as discussed above. The motion may be linear, sinusoidal, or any other suitable motion which disturbs the boundary layer 202 to facilitate a breakup of the boundary layer 202 into particles 208 of the process gas as depicted in FIG. 2C. The particles 208 may include atoms or molecules of the process gas, and/or formed from the process gas.
  • Disturbing or breaking up the boundary layer 202 into particles 208 of the process gas may facilitate improved heat loss due to radiant energy 204 escaping from the substrate 200 as depicted in FIG. 2D. The radiant energy 204 may be absorbed by, for example, a wall or surface of the process chamber 300, such by a cooling plate disposed proximate the substrate 200, or another similar means. In some embodiments, the cooling plate may be coated and/or comprise a non-reflective material such that the radiant energy 204 is absorbed, and hence not reflected back to the substrate 200 during cooling. In some embodiments, non-reflective materials may include ceramic, quartz, or materials having roughened surfaces.
  • Further, the radiant energy 204 may be absorbed by the particles 208 of process gas and flowed away from the substrate 200, for example, towards a wall or surface of the process chamber 300 which may act as a heat sink. As such, disturbing or breaking up the boundary layer 202 into particles 208 may improve a cooling rate from the peak temperature. In some embodiments, a cooling rate from about the peak temperature to about 50 degrees below the peak temperature is between about 90 to about 150 degrees Celsius/second.
  • In some embodiments, for example, such as in a spike RTP anneal, the substrate 200 may be cooled from about the peak temperature to a second temperature at a first cooling rate; and cooled from the second temperature to an end temperature at a second cooling rate. In some embodiments, the second cooling rate is less than the first cooling rate. The second cooling rate may about constant, or about 50 to about 90 degrees Celsius/second. The first cooling rate may be rapid, such as during a spike portion of a spike RTP anneal, or about 90 to about 150 degrees Celsius/second. In some embodiments, the second temperature may be between about 900 to about 1150 degrees Celsius, or within about 80% of the first temperature discussed above. In some embodiments, the end temperature may be about room temperature, or about 25 degrees Celsius. In some embodiments, the end temperature may be between about 25 to about 600 degrees Celsius.
  • An improved cooling rate may be aided by an increased flow rate of the process gas. For example, the flow rate may be increased by rapidly moving the substrate 200 during cooling. In some embodiments, the flow rate may be increased to about 40 slm. The increase flow rate may, for example, carry particles 208 away from the substrate surface faster during the motion 206. Alternatively, the flow rate of the process gas entering the process chamber, such as at an inlet or nozzle may be increased during cooling of the substrate 200 from about the peak temperature to facilitate disturbing the boundary layer 202.
  • The methods described herein for heating and cooling the substrate 200 about a peak temperature may facilitate a residence time as discussed above of less than about 1.2 seconds. In some embodiments, the residence time may be less than about 1.2 seconds, or between about 350 milliseconds to about 1.2 seconds, or between about 0.6 to about 1.0 seconds, or about 0.6 seconds or less, or about 350 milliseconds.
  • The methods described herein may be performed in any suitable thermal processing system, for example, such as the rapid thermal processing chamber 300 depicted in FIG. 3.
  • FIG. 3 depicts one exemplary semiconductor processing chamber which may be utilized in accordance with some embodiments of the present invention. The process chamber 300 may be any suitable process chamber, for example, configured for thermal processing, such as a rapid thermal process (RTP).
  • The processing chamber 300 includes a contactless or magnetically levitated substrate support 304, a chamber body 302, having walls 308, a bottom 310, and a top 312 defining an interior volume 320. The walls 308 typically include at least one substrate access port 348 to facilitate entry and egress of the substrate 200 (a portion of which is shown in FIG. 1). The access port may be coupled to a transfer chamber (not shown) or a load lock chamber (not shown) and may be selectively sealed with a valve, such as a slit valve (not shown). The chamber 300 includes an energy source 306 disposed below the substrate 140 and in an inside diameter of the substrate support 304. An exemplary RTP chamber and a substrate support that may be modified in accordance with the present invention are described in U.S. Pat. No. 6,800,833, filed Mar. 29, 2002 and issued on Oct. 5, 2004, U.S. patent application Ser. No. 10/788,979, filed Feb. 27, 2004 and published as U.S. patent Publication No. 2005/0191044 on Sep. 1, 2005, both of which are incorporated by reference in their entireties. However, the substrate support 304 having an energy source 306 disposed therebelow is one exemplary embodiment which may be utilized with the present invention. For example, the present invention may be utilized with an energy source disposed above the substrate support 304, or in other configurations. Further, the present invention may be utilized with non-contactless and/or non-magnetically levitating substrate supports.
  • The substrate support 304 is adapted to magnetically levitate and rotate within the interior volume 320. The substrate support 304 is capable of rotating while raising and lowering vertically during processing, and may also be raised or lowered without rotation before, during, or after processing. This magnetic levitation and/or magnetic rotation prevents or minimizes particle generation due to the absence or reduction of moving parts typically required to raise/lower and/or rotate the substrate support. In some embodiments, the radiant heat source 106 is movably coupled to the substrate support 304.
  • The substrate support 304 includes a window 314 made from a material transparent to heat and light of various wavelengths, which may include light in the infra-red (IR) spectrum, through which photons from the radiant heat source 306 may heat the substrate 200. In one embodiment, the window 314 is made of a quartz material, although other materials that are transparent to light may be used, such as sapphire.
  • The plurality of lift pins 344 disposed through the window 314. The lift pins 344 are adapted to selectively contact and support the substrate 200, to facilitate transfer of the substrate into and out of the chamber 300. Each of the plurality of lift pins 344 are configured to minimize absorption of energy from the energy source 306 and may be made from the same material used for the window 314, such as a quartz material. The plurality of lift pins 344 may be positioned and radially spaced from each other to facilitate passage of an end effector coupled to a transfer robot (not shown). Alternatively, the end effector and/or robot may be capable of horizontal and vertical movement to facilitate transfer of the substrate 200. In some embodiments, the substrate support 304 is capable of horizontal movement, where movement is independent of the plurality of lift pins 344. Accordingly, the substrate support 304 may be moved along a horizontal plane and be aligned relative to the substrate 200 disposed on the lift pins 344.
  • The energy source 306 includes a lamp assembly formed from a housing which includes a plurality of honeycomb tubes 360 in a coolant assembly (not shown) coupled to a coolant source 383. The coolant source 383 may be one or a combination of water, ethylene glycol, nitrogen (N2), and helium (He). The housing may be made of a copper material or other suitable material having suitable coolant channels formed therein for flow of the coolant from the coolant source 383. Each tube 360 may contain a reflector and a high-intensity lamp assembly or an IR emitter from which is formed a honeycomb-like pipe arrangement. This close-packed hexagonal arrangement of pipes provides energy sources with high-power density and good spatial resolution. In one embodiment, the energy source 306 provides sufficient radiant energy to thermally process the substrate, for example, annealing a silicon layer disposed on the substrate 200. The energy source 306 may further comprise annular zones, wherein the voltage supplied to the plurality of tubes 360 by a controller 324 may varied to enhance the radial distribution of energy from the tubes 360. Dynamic control of the heating of the substrate 200 may be affected by the one or more temperature sensors 317 (described in more detail below) adapted to measure the temperature across the substrate 340. In some embodiments, the radiant energy provided by the energy source 306 has a wavelength between about 700 nm to about 1000 nm.
  • A stator assembly 318 circumscribes the walls 308 of the chamber body 302 and is coupled to one or more actuator assemblies 322 that control the elevation of the stator assembly 318 along the exterior of the chamber body 302. In one embodiment (not shown), the chamber 300 includes three actuator assemblies 322 disposed radially about the chamber body, for example, at about 120 degree angles about the chamber body 302. The stator assembly 318 is magnetically coupled to the substrate support 304 disposed within the interior volume 320 of the chamber body 302. The substrate support 304 may comprise or include a magnetic portion (e.g., magnetic section 305) to function as a rotor, thus creating a magnetic bearing assembly to lift and/or rotate the substrate support 304. In one embodiment, at least a portion of the substrate support 304 is partially surrounded by a trough (not shown) that is coupled to a fluid source 386, which may include water, ethylene glycol, nitrogen (N2), helium (He), or combinations thereof, adapted as a heat exchange medium for the substrate support. The stator assembly 318 may also include a housing 390 to enclose various parts and components of the stator assembly 318. In one embodiment, the stator assembly 318 includes a drive coil assembly 368 stacked on a suspension coil assembly 370. The drive coil assembly 368 is adapted to rotate and/or raise/lower the substrate support 304 while the suspension coil assembly 370 may be adapted to passively center the substrate support 304 within the processing chamber 300. Alternatively, the rotational and centering functions may be performed by a stator having a single coil assembly.
  • An atmosphere control system 364 is also coupled to the interior volume 320 of the chamber body 302. The atmosphere control system 364 generally includes throttle valves and vacuum pumps for controlling chamber pressure. The atmosphere control system 364 may additionally include gas sources for providing process or other gases to the interior volume 320. The atmosphere control system 364 may also be adapted to deliver process gases for thermal deposition processes.
  • The chamber 300 includes a controller 324, which generally includes a central processing unit (CPU) 330, support circuits 328 and memory 326. The CPU 330 may be one of any form of computer processor that can be used in an industrial setting for controlling various actions and sub-processors. The memory 326, or computer-readable medium, may be one or more of readily available memory such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote, and is typically coupled to the CPU 330. The support circuits 328 are coupled to the CPU 330 for supporting the controller 324 in a conventional manner. These circuits include cache, power supplies, clock circuits, input/output circuitry, subsystems, and the like.
  • The actuator assemblies 322 generally comprise a precision lead screw 332 coupled between two flanges 334 extending from the walls 308 of the chamber body 302. The lead screw 332 has a nut 358 that axially travels along the lead screw 332 as the screw rotates. A coupling 336 is coupled between the stator 318 and nut 358 so that as the lead screw 332 is rotated, the coupling 336 is moved along the lead screw 332 to control the elevation of the stator 318 at the interface with the coupling 336. Thus, as the lead screw 332 of one of the actuators 322 is rotated to produce relative displacement between the nuts 358 of the other actuators 322, the horizontal plane of the stator 318 changes relative to a central axis of the chamber body 302. A motor 338, such as a stepper or servo motor, is coupled to the lead screw 332 to provide controllable rotation in response to a signal by the controller 324. Alternatively, other types of actuators 322 may be utilized to control the linear position of the stator 318, such as pneumatic cylinders, hydraulic cylinders, ball screws, solenoids, linear actuators and cam followers, among others.
  • The chamber 300 further includes one or more sensors 316, which are generally adapted to detect the elevation of the substrate support 304 (or substrate 200) within the interior volume 320 of the chamber body 302. The sensors 316 may be coupled to the chamber body 302 and/or other portions of the processing chamber 300 and are adapted to provide an output indicative of the distance between the substrate support 304 and the top 312 and/or bottom 310 of the chamber body 302, and may also detect misalignment of the substrate support 304 and/or substrate 200.
  • The one or more sensors 316 are coupled to the controller 324 that receives the output metric from the sensors 316 and provides a signal or signals to the one or more actuator assemblies 322 to raise or lower at least a portion of the substrate support 304. The controller 324 may utilize a positional metric obtained from the sensors 316 to adjust the elevation of the stator 318 at each actuator assembly 322 so that both the elevation and the planarity of the substrate support 304 and substrate 200 seated thereon may be adjusted relative to and a central axis of the process chamber 300 and/or the energy source 306. For example, the controller 324 may provide signals to raise the substrate support by action of one actuator 322 to correct axial misalignment of the substrate support 304, or the controller may provide a signal to all actuators 322 to facilitate simultaneous vertical movement of the substrate support 104.
  • The one or more sensors 316 may be ultrasonic, laser, inductive, capacitive, or other type of sensor capable of detecting the proximity of the substrate support 304 within the chamber body 302. The sensors 316, may be coupled to the chamber body 302 proximate the top 312 or coupled to the walls 308, although other locations within and around the chamber body 302 may be suitable, such as coupled to the stator 318 outside of the chamber 300. In one embodiment, one or more sensors 316 may be coupled to the stator 318 and are adapted to sense the elevation and/or position of the substrate support 304 (or substrate 140) through the walls 308. In this embodiment, the walls 308 may include a thinner cross-section to facilitate positional sensing through the walls 308.
  • The chamber 300 includes one or more temperature sensors 317, which may be adapted to sense temperature of the substrate 200 before, during, and after processing. The temperature sensors 317 are disposed through the top 312, although other locations within and around the chamber body 302 may be used. The temperature sensors 317 may be optical pyrometers, as an example, pyrometers having fiber optic probes. The sensors 317 may be adapted to couple to the top 312 in a configuration to sense the entire diameter of the substrate, or a portion of the substrate. The sensors 317 may comprise a pattern defining a sensing area substantially equal to the diameter of the substrate, or a sensing area substantially equal to the radius of the substrate. For example, a plurality of sensors 117 may be coupled to the top 312 in a radial or linear configuration to enable a sensing area across the radius or diameter of the substrate. In one embodiment (not shown), a plurality of sensors 317 may be disposed in a line extending radially from about the center of the top 312 to a peripheral portion of the top 312. In this manner, the radius of the substrate may be monitored by the sensors 317, which will enable sensing of the diameter of the substrate during rotation.
  • The chamber 300 further includes a cooling block 380 adjacent to, coupled to, or formed in the top 312. Generally, the cooling block 380 is spaced apart and opposing the energy source 106. The cooling block 380 comprises one or more coolant channels 384 coupled to an inlet 381A and an outlet 381B. The cooling block 380 may be made of a process resistant material, such as stainless steel, aluminum, a polymer, or a ceramic material. The coolant channels 384 may comprise a spiral pattern, a rectangular pattern, a circular pattern, or combinations thereof and the channels 384 may be formed integrally within the cooling block 380, for example by casting the cooling block 380 and/or fabricating the cooling block 380 from two or more pieces and joining the pieces. Additionally or alternatively, the coolant channels 384 may be drilled into the cooling block 380.
  • As described herein, the chamber 300 is adapted to receive a substrate in a “face-up” orientation, wherein the deposit receiving side or face of the substrate is oriented toward the cooling block 380 and the “backside” of the substrate is facing the energy source 306. The “face-up” orientation may allow the energy from the energy source 306 to be absorbed more rapidly by the substrate 200 as the backside of the substrate is typically less reflective than the face (i.e., frontside) of the substrate.
  • Although the cooling block 380 and energy source 306 is described as being positioned in an upper and lower portion of the interior volume 320, respectively, the position of the cooling block 380 and the energy source 306 may be reversed. For example, the cooling block 380 may be sized and configured to be positioned within the inside diameter of the substrate support 304, and the energy source 306 may be coupled to the top 312. In this arrangement, a window, made of a transparent material such as quartz or a polarizing material in accordance with embodiments discussed above, may be disposed between the energy source 106 and the substrate support 304, such as adjacent the energy source 306 in the upper portion of the chamber 300. Although the substrate 200 may absorb heat more readily when the backside is facing the energy source 306, the substrate 200 could be oriented in a face-up orientation or a face down orientation in either configuration.
  • The inlet 381A and outlet 381B may be coupled to a coolant source 382 by valves and suitable plumbing and the coolant source 382 is in communication with the controller 124 to facilitate control of pressure and/or flow of a fluid disposed therein. The fluid may be water, ethylene glycol, nitrogen (N2), helium (He), or other fluid used as a heat exchange medium.
  • The chamber 300 may further include one or more gas inlets (not shown) for flowing a process gas. The one or more gas inlets may be configured to deliver the process gas across and/or perpendicular, or at any suitable angle to the substrate surface. The chamber 300 may further include an exhaust port (not shown) fluidly coupled to the interior volume 320 for removing the process gas from the interior volume 320 and/or for controlling pressure within the interior volume 320. The exhaust port may be coupled to a pump (not shown), such as a roughing pump, turbo pump, or the like. The process gas may be utilizing for thermal processing, for example, such as during the formation of an oxide on the substrate 200, or other suitable thermal processes, such as annealing. Exemplary process gases may include at least one of oxygen (O2), nitrogen (N2), hydrogen (H2), helium (He), argon (Ar), or the like.
  • In operation, and in some embodiments of the method 100 discussed above at 106, the substrate 200 may be cooled by rapidly moving the substrate in a direction normal to the surface of the substrate 200. For example, as shown in FIG. 5A, the substrate 200 may rest on the substrate support 304, where the substrate 200 may be at an initial position at an initial distance 502 from the cooling block 380 (not shown in FIG. 5A). In some embodiments, the initial distance is about 8 mm. For example, to move the substrate 200 in a direction normal to the surface of the substrate 200, the one or more of the drive coil assembly 368 or suspension coil assembly 370 of the stator assembly 318 may be engaged to hold/secure and/or passively center the substrate support 304. The motor 338 of the actuator assembly 322 upon receiving a signal from the controller 324 may provide a controllable rotation causing the lead screw 332 to rotate, and the nut 358 to travel axially along the lead screw 332 as the screw rotates. The stator assembly 318 coupled to the nut 358 via the coupling 336 moves as the screw 332 rotates to control the elevation of the substrate support 304. As shown in FIG. 5B, the substrate support 304 may be moved as described above towards the cooling block 380 (not shown in FIG. 5B) such that the substrate 200 is moved to a first position at a first distance 504 from the cooling block 380. In some embodiments, the first distance 504 is about 3 mm, or in some embodiments between about 1 to about 3 mm. During the movement of the substrate support 304 towards the cooling block 380, the flow rate of a process gas disposed between the cooling block 380 and the surface of the substrate 200 may be increased due to the movement of the substrate support 304. As illustrated in FIG. 5B, the process gas (depicted by arrows 506) is forced in a direction generally parallel to the surface of the substrate 200 and from a portion of the interior volume 320 between the surface of the substrate 200 and the cooling block 380 (not shown in FIG. 5B). In some embodiments, the flow rate may be increased to about 40 slm.
  • Alternatively, the inventive methods described herein may be performed in a process chamber configured for heating the substrate from above, such as a process chamber 400 depicted in FIG. 4. The process chamber 400 may be any suitable process chamber, for example, configured for thermal processing, such as a rapid thermal process (RTP).
  • The substrate 200 is mounted inside the chamber 400 on a substrate support 408 and is heated by the lamphead 401, which is disposed in a position opposing the substrate support 408. The lamphead 401 generates radiation which is directed to a front side 407 of the substrate 200. Alternatively (not shown), the lamphead 401 may be configured to heat the back side 409 of the substrate 200, for example, such as by being disposed below the substrate 200, or by directing the radiation to the back side of the substrate 200. The radiation enters the process chamber 400 through a water-cooled quartz window assembly 414. Beneath the substrate 200 is a reflector 402, which is mounted on a water-cooled, stainless steel base 416. The base 416 includes a circulation circuit 446 through which coolant circulate to cool the reflector 402. In some embodiments, the reflector 402 is made of aluminum and has a highly reflective surface coating 420. Water, which may be above 23 degrees Celsius, may be circulated through the base 416 to keep the temperature of the reflector 402 well below that of the heated substrate 200. Alternatively, other coolants may be provided at the same or different temperatures. For example, antifreeze (e.g., ethylene glycol, propylene glycol, or the like) or other heat transfer fluids may be circulated through the base 416 and/or the base 416 may be coupled to a chiller (not shown). An underside or backside of the substrate 200 and the top of the reflector 402 form a reflecting cavity 418. The reflecting cavity 418 enhances the effective emissivity of the substrate 200.
  • The width width-to-height ratio of the reflecting cavity may be about 20:1 or more. For example, in some embodiments, the separation between the substrate 200 and the reflector 402 may be approximately 0.3 of an inch (7.6 mm), thus forming the reflecting cavity 418 which has a width-to-height ratio of about 27. In processing systems that are designed for eight-inch silicon wafers, the distance between the substrate 200 and the reflector 302 may be between about 3 to about 9 mm.
  • The temperatures at localized regions of the substrate 200 are measured by a plurality of temperature probes, such as 452 a, 452 b, and 452 c. Each temperature probe includes a sapphire light pipe 426 that passes through a conduit 424 that extends from the backside of the base 416 through the top of the reflector 402. In some embodiments, the sapphire light pipe 426 is about 0.125 inch in diameter and the conduit 424 is slightly larger. The sapphire light pipe 426 is positioned within the conduit 424 so that its uppermost end is flush with or slightly below the upper surface of the reflector 402. The other end of light pipe 426 couples to a flexible optical fiber 425 that transmits sampled light from the reflecting cavity 418 to a pyrometer 428.
  • The pyrometer 428 is connected to a temperature controller 450 which controls the power supplied to the lamphead 401 in response to a measured temperature. In some embodiments, such as for a 200 mm wafer, the lamphead 401 may use about 187 lights to deliver highly collimated radiation from tungsten-halogen lamps to the process chamber 400. In some embodiments, such as for a 300 mm wafer, the lamphead 401 may use about 409 lights. The number and configuration of the lights disclosed herein are exemplary and other numbers and configurations may also suitably be used.
  • The lamps may be divided into multiple zones. The zones can be individually adjusted by the controller to allow controlled radiative heating of different areas of the substrate 200. Such a control system is described in U.S. Pat. No. 5,755,511, assigned to the assignee of the present invention, the entire disclosure of which is incorporated herein by reference.
  • As indicated above, the described embodiments use measurement or temperature probes distributed over the reflector 402 so as to measure the temperature at different radii of the substrate 200. During the thermal processing, the substrate 200 is rotated, for example, at about 90 RPM. Thus, each probe actually samples the temperature profile of a corresponding annular ring area on the substrate 200.
  • The substrate support 408 may be configured to be stationary of may rotate the substrate 200. The substrate support 408 includes a support or an edge ring 434 which contacts the substrate 200 around the substrate's outer perimeter, thereby leaving the entire underside of the substrate 200 exposed except for a small annular region about the outer perimeter. The support ring 434 is also known as the edge ring 434 and these two terms can be interchangeably used within the specification. In some embodiment, the support ring 434 has a radial width of approximately one inch (2.5 centimeters (cm)). To minimize the thermal discontinuities that will occur at the edge of the substrate 200 during processing, the support ring 434 is made of the same, or similar, material as that of the substrate 200, for example, silicon.
  • The support ring 434 rests on a rotatable tubular quartz cylinder 436 that is coated with silicon to render it opaque in the frequency range of the pyrometer 428. The coating on the quartz cylinder 436 acts as a baffle to block out radiation from the external sources that might contaminate the intensity measurements. The bottom of the quartz cylinder 436 is held by an annular upper bearing 441 which rests on a plurality of ball bearings 437 that are, in turn, held within a stationary, annular, lower bearing race 439. In some embodiments, the ball bearings 437 are made of steel and coated with silicon nitride to reduce particulate formation during operations. The upper bearing 441 is magnetically coupled to an actuator (not shown) which rotates the cylinder 436, the edge ring 434 and the substrate 200 during the thermal processing.
  • A purge ring 445, which is fitted into the chamber body, surrounds the quartz cylinder 436. In some embodiments, the purge ring 445 has an internal annular cavity 447 which opens up to a region above the upper bearing 441. The internal cavity 447 is connected to a gas supply (not shown) through a passageway 449. During processing, a purge gas is flowed into the chamber through the purge ring 445.
  • During processing, the process gas may be flowed from a gas panel (not shown) and enter the process chamber 400 at an inlet 430. The inlet 430 is disposed in a side of the process chamber 400 and facilitates the flow of the process gas across the surface of the substrate 200. Process gas flow need not be limited to side entry, and other embodiments are possible. For example, an embodiment where the lamphead 401 is disposed below the substrate (not shown), the inlet 430 can be disposed above the substrate (not shown) for flowing the process gas thereto. In some embodiments, process gases can alternatively or in combination be introduced at different locations with respect to the substrate, such as radial locations. The process gases can be provided with different flow rates per location (or independently controlled flow rates at each location) to allow for tuning of the cooling effect across the substrate. Gases are exhausted through an exhaust port 460 disposed on an opposing side wall of the process chamber from the inlet 330. The exhaust port 460 is coupled to a vacuum pump (not shown).
  • In some embodiments, the support ring 434 has an outer radius that is larger than the radius of the quartz cylinder 436 so that it extends out beyond the quartz cylinder 436. The annular extension of the support ring 434 beyond the cylinder 436, in cooperation with the purge ring 445 located below it, functions as a baffle which prevents stray light from entering the reflecting cavity 418 at the backside of the substrate 200. To further reduce the possibility of stray light entering into the reflecting cavity 418, the support ring 434 and the purge ring 445 may also be coated with a material (for example, a black or gray material) that absorbs the radiation generated by the lamphead 401.
  • The substrate support 408 may be coupled to a lift mechanism 455 capable of raising and lowering the substrate with respect to the lamphead 401. For example, the substrate support 408 may be coupled to the lift mechanism 455, such that a distance between the substrate 200 and the reflector 402 is constant during the lifting motion (i.e., motion 206).
  • Alternatively (not shown), the substrate support 408 can be adapted to magnetically levitate and rotate within the process chamber 300. The substrate support 408 is capable of rotating while raising and lowering vertically during processing, and may also be raised or lowered without rotation before, during, or after processing. This magnetic levitation and/or magnetic rotation prevents or minimizes particle generation due to the absence or reduction of moving parts typically required to raise/lower and/or rotate the substrate support.
  • Thus, methods for cooling a substrate are provided herein. The cooling methods may be part of a rapid thermal process (RTP), such as a spike RTP. The cooling methods advantageously reduce a residence time that a substrate spends proximate the peak temperature during the RTP anneal. Reducing the residence time is beneficial, for example, for limiting dopant diffusion while activating a dopant implanted in the substrate and healing substrate damage due to an implant process.
  • While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof.

Claims (25)

1. A method of cooling a substrate, comprising:
heating a substrate in a process chamber from an introductory temperature to a peak temperature of greater than about 900 degrees Celsius; and
cooling the substrate from within about 50 degrees Celsius of the peak temperature by moving the substrate at a rate of at least about 3 millimeters/second in a direction normal to an upper surface of the substrate.
2. The method of claim 1, wherein cooling the substrate further comprises:
moving the substrate towards a cooling plate utilized to cool the substrate.
3. The method of claim 2, wherein cooling the substrate further comprises:
moving the substrate to a first position having a first distance from the cooling plate; and
subsequently moving the substrate to a second position having a second distance that is further away from the cooling plate than the first distance.
4. The method of claim 2, wherein the cooling plate is disposed on an opposing side of the substrate from an energy source used to heat the substrate.
5. The method of claim 4, wherein heating a substrate comprises:
heating the substrate with an energy source that is disposed below the substrate.
6. The method of claim 1, wherein cooling the substrate further comprises:
moving the substrate to a first position having a first distance from an upper surface of the process chamber; and
subsequently moving the substrate to a second position having a second distance that is further away from the upper surface of the process chamber than the first distance.
7. The method of claim 6, wherein heating the substrate further comprises:
heating the substrate with an energy source disposed above the upper surface of the process chamber.
8. The method of claim 6, wherein cooling the substrate further comprises:
cooling the substrate with a cooling plate disposed proximate the upper surface of the process chamber.
9. The method of claim 6, wherein the first distance is between about 1 to about 3 mm from the upper surface of the process chamber and the second distance is at least about 6 mm from the upper surface of the process chamber.
10. The method of claim 1, wherein cooling the substrate further comprises:
cooling the substrate from about the peak temperature by moving the substrate at a rate of up to about 50 millimeters/second in a direction normal to the upper surface of the substrate.
11. The method of claim 1, wherein cooling the substrate further comprises:
moving the substrate from an initial position having an initial distance from an upper surface of the process chamber, wherein the initial distance is less than or equal to about 8 mm.
12. The method of claim 1, wherein cooling the substrate by moving the substrate further comprises:
disturbing a thermally insulating fluid boundary layer disposed adjacent to the upper surface of the substrate.
13. The method of claim 12, wherein moving the substrate increases a flow rate of a gas disposed between the substrate and an upper surface of the process chamber to greater than about 40 slm.
14. The method of claim 12, wherein heating the substrate further comprises:
flowing a process gas above the upper surface of the substrate, wherein the process gas forms the thermally insulating fluid boundary layer adjacent to the surface of the substrate.
15. The method of claim 12, flowing a process gas from a plurality of different locations relative to the substrate surface, with the flow rate of the process gas independently controlled to adjust the temperature distribution across the substrate during cooling.
16. The method of claim 1, wherein a residence time between heating the substrate from about 50 degrees Celsius below the peak temperature and cooling the substrate to about 50 degrees Celsius below the peak temperature is less than about 1.2 seconds.
17. The method of claim 16, wherein the residence time is about 0.6 seconds or less.
18. A method of cooling a substrate, comprising:
heating the substrate in a process chamber from an introductory temperature to a first temperature at a first heating rate;
heating the substrate from the first temperature to a peak temperature of greater than about 900 degrees Celsius at a second heating rate, wherein the second heating rate is greater than the first heating rate;
cooling the substrate from within about 50 degrees Celsius of the peak temperature to a second temperature at a first cooling rate by moving the substrate at a rate of at least about 3 millimeters/second in a direction normal to an upper surface of the substrate; and
cooling the substrate from the second temperature to an end temperature at a second cooling rate, wherein the second cooling rate is less than the first cooling rate.
19. The method of claim 18, wherein the second temperature is within about 80 percent of the first temperature.
20. The method of claim 18, wherein the introductory temperature and the end temperature are between about 25 to about 600 degrees Celsius.
21. The method of claim 18, wherein cooling the substrate by moving the substrate further comprises:
moving the substrate to a first position having a first distance from an upper surface of the process chamber; and
subsequently moving the substrate to a second position having a second distance that is further away from the upper surface than the first distance.
22. The method of claim 21, wherein the first distance is about 1 to about 3 mm from the upper surface and the second distance is about 6 mm from the upper surface.
23. The method of claim 21, wherein cooling the substrate by moving the substrate further comprises:
cooling the substrate from about the peak temperature to the second temperature by moving the substrate at a rate of up to about 10 millimeters/second in a direction normal to an upper surface of the substrate.
24. The method of claim 18, wherein a residence time between heating the substrate from about 50 degrees Celsius below the peak temperature and cooling the substrate to about 50 degrees Celsius below the peak temperature is less than about 1.2 seconds.
25. The method of claim 24, wherein the residence time is about 0.6 seconds or less.
US12/694,634 2009-01-28 2010-01-27 Rapid cooling of a substrate by motion Abandoned US20100193154A1 (en)

Priority Applications (7)

Application Number Priority Date Filing Date Title
US12/694,634 US20100193154A1 (en) 2009-01-28 2010-01-27 Rapid cooling of a substrate by motion
SG2011050648A SG172959A1 (en) 2009-01-28 2010-01-28 Rapid cooling of a substrate by motion
TW099102478A TW201034110A (en) 2009-01-28 2010-01-28 Rapid cooling of a substrate by motion
PCT/US2010/022338 WO2010088338A2 (en) 2009-01-28 2010-01-28 Rapid cooling of a substrate by motion
KR1020117020115A KR20110108420A (en) 2009-01-28 2010-01-28 Rapid cooling of a substrate by motion
CN2010800158689A CN102365719A (en) 2009-01-28 2010-01-28 Rapid cooling of a substrate by motion
JP2011548280A JP2012516576A (en) 2009-01-28 2010-01-28 Rapid cooling of substrate by motion

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14789109P 2009-01-28 2009-01-28
US12/694,634 US20100193154A1 (en) 2009-01-28 2010-01-27 Rapid cooling of a substrate by motion

Publications (1)

Publication Number Publication Date
US20100193154A1 true US20100193154A1 (en) 2010-08-05

Family

ID=42396327

Family Applications (1)

Application Number Title Priority Date Filing Date
US12/694,634 Abandoned US20100193154A1 (en) 2009-01-28 2010-01-27 Rapid cooling of a substrate by motion

Country Status (7)

Country Link
US (1) US20100193154A1 (en)
JP (1) JP2012516576A (en)
KR (1) KR20110108420A (en)
CN (1) CN102365719A (en)
SG (1) SG172959A1 (en)
TW (1) TW201034110A (en)
WO (1) WO2010088338A2 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130112680A1 (en) * 2011-11-03 2013-05-09 Kirk Moritz Rapid thermal processing chamber
US20130206362A1 (en) * 2012-02-09 2013-08-15 Applied Materials, Inc. Spike anneal residence time reduction in rapid thermal processing chambers
US20140345526A1 (en) * 2013-05-23 2014-11-27 Applied Materials, Inc. Coated liner assembly for a semiconductor processing chamber
US20150131698A1 (en) * 2013-11-11 2015-05-14 Applied Materials, Inc. Low temperature rtp control using ir camera
TWI566312B (en) * 2011-06-02 2017-01-11 應用材料股份有限公司 Apparatus and methods for positioning a substrate using capacitive sensors
US11098700B2 (en) 2016-12-29 2021-08-24 Beijing Goldwind Science & Creation Windpower Equipment Co., Ltd. Enclosure and dynamic heat dissipation method for heat source inside the enclosure and dynamic heat dissipation system inside the enclosure

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105244262A (en) * 2014-07-09 2016-01-13 中芯国际集成电路制造(上海)有限公司 NiSi last formation process
US10957563B2 (en) 2015-12-30 2021-03-23 Mattson Technology, Inc. Chamber wall heating for a millisecond anneal system
JP6839939B2 (en) * 2016-07-26 2021-03-10 株式会社Screenホールディングス Heat treatment method
JP6839940B2 (en) * 2016-07-26 2021-03-10 株式会社Screenホールディングス Heat treatment method
CN106655025B (en) * 2016-12-29 2019-10-29 北京金风科创风电设备有限公司 The drive system of dynamic radiating layout in building enclosure
CN110911320B (en) * 2019-12-09 2023-08-18 北京北方华创微电子装备有限公司 Cooling device, control method thereof and semiconductor processing equipment

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4857689A (en) * 1988-03-23 1989-08-15 High Temperature Engineering Corporation Rapid thermal furnace for semiconductor processing
US6179466B1 (en) * 1994-12-19 2001-01-30 Applied Materials, Inc. Method and apparatus for measuring substrate temperatures
US6589349B2 (en) * 1998-06-08 2003-07-08 Sony Corporation Apparatus for forming silicon oxide film and method of forming silicon oxide film
US6919271B2 (en) * 1998-11-20 2005-07-19 Mattson Technology, Inc. Method for rapidly heating and cooling semiconductor wafers
US6957690B1 (en) * 1998-09-10 2005-10-25 Asm America, Inc. Apparatus for thermal treatment of substrates
US20060160253A1 (en) * 2005-01-20 2006-07-20 Bong-Kil Kim Method and apparatus for wafer temperature regulation
US7378618B1 (en) * 2006-12-14 2008-05-27 Applied Materials, Inc. Rapid conductive cooling using a secondary process plane
US20080280453A1 (en) * 2007-05-09 2008-11-13 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07254545A (en) * 1994-03-15 1995-10-03 Oki Electric Ind Co Ltd Heat treatment method for semiconductor substrate and device therefor
JPH0817747A (en) * 1994-06-24 1996-01-19 Tokyo Electron Ltd Processing method and processing device
JPH1154393A (en) * 1997-08-04 1999-02-26 Komatsu Ltd Wafer temperature adjusting equipment and its control method
US6809035B2 (en) * 2002-08-02 2004-10-26 Wafermasters, Inc. Hot plate annealing

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4857689A (en) * 1988-03-23 1989-08-15 High Temperature Engineering Corporation Rapid thermal furnace for semiconductor processing
US6179466B1 (en) * 1994-12-19 2001-01-30 Applied Materials, Inc. Method and apparatus for measuring substrate temperatures
US6589349B2 (en) * 1998-06-08 2003-07-08 Sony Corporation Apparatus for forming silicon oxide film and method of forming silicon oxide film
US6957690B1 (en) * 1998-09-10 2005-10-25 Asm America, Inc. Apparatus for thermal treatment of substrates
US6919271B2 (en) * 1998-11-20 2005-07-19 Mattson Technology, Inc. Method for rapidly heating and cooling semiconductor wafers
US20060160253A1 (en) * 2005-01-20 2006-07-20 Bong-Kil Kim Method and apparatus for wafer temperature regulation
US7378618B1 (en) * 2006-12-14 2008-05-27 Applied Materials, Inc. Rapid conductive cooling using a secondary process plane
US20080141556A1 (en) * 2006-12-14 2008-06-19 Applied Materials, Inc. Rapid conductive cooling using a secondary process plane
US20080280453A1 (en) * 2007-05-09 2008-11-13 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI566312B (en) * 2011-06-02 2017-01-11 應用材料股份有限公司 Apparatus and methods for positioning a substrate using capacitive sensors
US20130112680A1 (en) * 2011-11-03 2013-05-09 Kirk Moritz Rapid thermal processing chamber
KR20140088857A (en) * 2011-11-03 2014-07-11 어플라이드 머티어리얼스, 인코포레이티드 Rapid thermal processing chamber
US9202730B2 (en) * 2011-11-03 2015-12-01 Applied Materials, Inc. Rapid thermal processing chamber
CN105679695A (en) * 2011-11-03 2016-06-15 应用材料公司 Rapid thermal processing chamber
KR102022718B1 (en) 2011-11-03 2019-09-18 어플라이드 머티어리얼스, 인코포레이티드 Rapid thermal processing chamber
US20130206362A1 (en) * 2012-02-09 2013-08-15 Applied Materials, Inc. Spike anneal residence time reduction in rapid thermal processing chambers
US8939760B2 (en) * 2012-02-09 2015-01-27 Applied Materials, Inc. Spike anneal residence time reduction in rapid thermal processing chambers
US20140345526A1 (en) * 2013-05-23 2014-11-27 Applied Materials, Inc. Coated liner assembly for a semiconductor processing chamber
US20150131698A1 (en) * 2013-11-11 2015-05-14 Applied Materials, Inc. Low temperature rtp control using ir camera
CN105706219A (en) * 2013-11-11 2016-06-22 应用材料公司 Low temperature RTP control using IR camera
US11098700B2 (en) 2016-12-29 2021-08-24 Beijing Goldwind Science & Creation Windpower Equipment Co., Ltd. Enclosure and dynamic heat dissipation method for heat source inside the enclosure and dynamic heat dissipation system inside the enclosure

Also Published As

Publication number Publication date
CN102365719A (en) 2012-02-29
TW201034110A (en) 2010-09-16
JP2012516576A (en) 2012-07-19
KR20110108420A (en) 2011-10-05
WO2010088338A2 (en) 2010-08-05
WO2010088338A3 (en) 2010-11-18
SG172959A1 (en) 2011-08-29

Similar Documents

Publication Publication Date Title
US20100193154A1 (en) Rapid cooling of a substrate by motion
EP2311076B1 (en) Rapid thermal processing chamber with shower head
US9209049B2 (en) Rapid conductive cooling using a secondary process plane
US11495479B2 (en) Light pipe window structure for thermal chamber applications and processes
US8461022B2 (en) Methods and apparatus for aligning a substrate in a process chamber
US8939760B2 (en) Spike anneal residence time reduction in rapid thermal processing chambers
KR102343692B1 (en) Light pipe structure window for low pressure thermal processes
TW201501207A (en) Light pipe window structure for thermal chamber applications and processes

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ADERHOLD, WOLFGANG R.;TERTITSKI, LEONID M.;HUNTER, AARON MUIR;AND OTHERS;SIGNING DATES FROM 20100128 TO 20100129;REEL/FRAME:024261/0029

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION