US20100084709A1 - Semiconductor device and method for manufacturing same - Google Patents

Semiconductor device and method for manufacturing same Download PDF

Info

Publication number
US20100084709A1
US20100084709A1 US11/993,862 US99386206A US2010084709A1 US 20100084709 A1 US20100084709 A1 US 20100084709A1 US 99386206 A US99386206 A US 99386206A US 2010084709 A1 US2010084709 A1 US 2010084709A1
Authority
US
United States
Prior art keywords
insulating film
type misfet
soi
gate electrode
region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/993,862
Inventor
Ryuta Tsuchiya
Shinichiro Kimura
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
Original Assignee
Renesas Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Electronics Corp filed Critical Renesas Electronics Corp
Assigned to RENESAS TECHNOLOGY CORP. reassignment RENESAS TECHNOLOGY CORP. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: TSUCHIYA, RYUTA, KIMURA, SHINICHIRO
Publication of US20100084709A1 publication Critical patent/US20100084709A1/en
Assigned to NEC ELECTRRONICS CORPORATION reassignment NEC ELECTRRONICS CORPORATION MERGER (SEE DOCUMENT FOR DETAILS). Assignors: RENESAS TECHNOLOGY CORP.
Assigned to RENESAS ELECTRONICS CORPORATION reassignment RENESAS ELECTRONICS CORPORATION CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: NEC ELECTRONICS CORPORATION
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1207Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with devices in contact with the semiconductor body, i.e. bulk/SOI hybrid circuits

Definitions

  • the present invention relates to an MISFET having a stacked structure of semiconductor/insulating film/metal, and more specifically it relates to a semiconductor device in which an MISFET is formed on a substrate having an SOI (Silicon on Insulator) structure and a method for manufacturing the same.
  • SOI Silicon on Insulator
  • MISFET Metal/Insulator/Semiconductor Field Effect Transistor
  • the threshold voltage Vth of the MISFET is controlled by the impurity concentration of a channel region.
  • Impurity concentration control of channel is relatively well performed up to an LSI of the design rule of about 100 nm node by using an ion implantation technique and a short heat treatment technique.
  • SOI structure has attracted attentions.
  • complete device isolation is made by an insulating film (for example, silicon oxide film), and so soft error and latch up are suppressed, so that high reliability is gained even in a highly-integrated LSI, and moreover, since junction capacitance of a diffusion layer is reduced, charge and discharge resulting from switching are reduced and so it is advantageous for achieving high speed and low power consumption.
  • an insulating film for example, silicon oxide film
  • the SOI type MISFETs are roughly classified to two operation modes.
  • One of these is a Full Depletion SOI in which a depletion layer induced in a body region beneath a gate electrode reaches a bottom surface of the body region, namely, an interfacial surface between the body region and an buried oxide film
  • the other is a Partial Depletion SOI in which the depletion layer does not reach the bottom surface of the body region and there remains a neutral region.
  • the threshold voltage Vth can be lowered while suppressing off-leakage current.
  • a drain current is secured even at a low operating voltage, so that it becomes possible to manufacture a MISFET with extremely low power consumption such as a MISFET operating at 1V or lower (the threshold voltage Vth is also 0.3 V or lower).
  • a double-gate MISFET structure has been known as another conventional technique relating to the SOI-MISFET, which has been proposed in Patent Document 1, for example.
  • a source diffusion layer and a drain diffusion layer are formed in an SOI layer according to self-alignment with a dummy gate electrode
  • formation of a reverse pattern groove of the dummy gate electrode and formation of a buried gate according to ion implantation of impurities from the groove to a supporting substrate are performed sequentially, thereafter, a metal film such as W (tungsten) is selectively buried in the groove region, thereby an upper gate electrode is made.
  • W tungsten
  • the well potential When the gate potential is applied as a low potential, the well potential is lowered in a following manner, so that a non-conduction state can be achieved faster. That is, a characteristic of further increasing drive current under the same condition of leakage current can be realized in the above operation mode, so that it becomes possible to perform switching between conduction and non-conduction at a higher speed.
  • Isolation for insulation on sidewalls of a well diffusion layer contributes to reduction of a parasitic capacitance, namely, reduction of a delay time constant of an application signal. Further, the thinner the buried insulating film is, the more effective for improvement of an increase effect of the drive current, and ideally, a film thickness condition equivalent to that of the gate insulating film of the SOI-MISFET is preferable.
  • the thin buried insulating film to the SOI-MISFET, a characteristic of essential performance improvement of the SOI-MISFET according to the double-gate structure can be utilized. Further, since the well diffusion layer just below the SOI-MISFET is formed in a self-aligned manner under the gate electrode, the problems of drive current variation and parasitic capacitance variation caused by an alignment error of the buried gate electrode, which are problematic in a conventional double-gate MISFET structure, can be essentially removed.
  • the SOI-type MISFET has such an excellent feature as low power consumption and high speed.
  • Patent Document 1 Japanese Patent Application Laid-Open Publication No. 2000-208770
  • Non-Patent Document 1 T. Mizuno et al. “Performance Fluctuations of 0.10 ⁇ m MOSFETs—Limitation of 0.10 ⁇ ULSIs”, Symp. On VLSI Technology 1994
  • Non-Patent Document 2 T. Yamada et al. “An Embedded DRAM Technology on SOI/Bulk Hybrid Substrate Formed with SEG Process for High-End SOC Application” Symp. On VLSI Technology 2002
  • an MISFET manufactured on an SOI substrate can be used only in a low voltage region, since a source-drain withstand voltage is deteriorated. It is difficult to form a device of a high withstand voltage system, an ESD protection device for preventing ESD breakdown (electrostatic breakdown), and the like on the SOI substrate. Therefore, in a region used at a high withstand voltage, not an SOI substrate but a bulk substrate is used. In this manner, when a bulk silicon substrate and an SOI substrate are used, two substrates are required, so that a board area cannot be made small, and therefore the whole semiconductor device cannot be made small.
  • the present invention has been made in view of the above conventional problems, and an object thereof is to provide a semiconductor device the whole of which can be reduced in size even if the semiconductor device is a semiconductor device in which both an SOI-type MISFET used as a lower voltage region and a bulk-type MISFET used as a high voltage region are present, and which can be manufactured without a complicating process, and a method for manufacturing the same.
  • an SOI substrate formed of a single crystal semiconductor substrate and a thin single crystal semiconductor thin film (SOI layer) separated from the single crystal semiconductor by a thin buried insulating film is used.
  • SOI layer thin single crystal semiconductor thin film
  • the gate length thereof is 100 nm or less, more preferably 50 nm or less
  • a thickness of the buried insulating film is 10 nm or less
  • a thickness of the thin single crystal semiconductor thin film is 20 nm or less
  • an SOI substrate having a thickness of about 10 nm is used.
  • the substrate includes a first device formation region and a second device formation region.
  • a first semiconductor substrate portion of a first conductive type, a semiconductor layer formed above the first semiconductor substrate portion via an insulating layer, a first source region and a first drain region of a second conductive type formed in the semiconductor layer, a first channel region formed between the first source region and the first drain region, a first gate insulating film formed on the first channel region, and a first gate electrode formed above the first channel region via the first gate insulating film are formed.
  • a second semiconductor substrate portion of the first conductive type, a second source region and a second drain region formed in the second semiconductor substrate portion, a second channel region formed between the second source region and the second drain region, a second gate insulating film formed on the second channel region, and a second gate electrode formed above the second channel region via the second gate insulating film are formed.
  • a thickness of the insulating layer is 20 nm or less, and a thickness of the semiconductor layer is 20 nm or less.
  • the insulating layer corresponds to a thin buried insulating film
  • the semiconductor layer corresponds to a thin single crystal semiconductor thin film
  • a step generated between the first device formation region and the second device formation region is as small as 30 nm or less. Therefore, the first and second source regions, the first and second drain regions, the first and second gate insulating film, and the first and second gate electrodes can be respectively formed at the same step. In other words, it becomes possible to make processes to form the SOI-MOSFET on the first device formation region and the bulk-MOSFET on the second device formation region simultaneously with a common process, without forming them in different steps, respectively.
  • the board area can be made smaller as compared to a case where an SOI-type MISFET which is excellent in low power consumption and high speed and a bulk-type MISFET are formed on different substrates and are connected with each other. Moreover, steps for manufacturing the SOI-type MISFET and the bulk-type MISFET are made common, so that manufacture of both the devices can be realized without complicating the process.
  • FIG. 1 is a sectional view of a completed MISFET according to a first embodiment of the present invention
  • FIG. 2 is a plan view showing a semiconductor device according to the first embodiment of the present invention.
  • FIG. 3 is a diagram for describing a manufacturing step of the MISFET according to the first embodiment
  • FIG. 4 is a diagram for describing a manufacturing step of the MISFET according to the first embodiment
  • FIG. 5 is a diagram for describing a manufacturing step of the MISFET according to the first embodiment
  • FIG. 6 is a diagram for describing a manufacturing step of the MISFET according to the first embodiment
  • FIG. 7 is a diagram for describing a manufacturing step of the MISFET according to the first embodiment
  • FIG. 8 is a diagram for describing a manufacturing step of the MISFET according to the first embodiment
  • FIG. 9 is a diagram for describing a manufacturing step of the MISFET according to the first embodiment.
  • FIG. 10 is a diagram for describing a manufacturing step of the MISFET according to the first embodiment
  • FIG. 11 is a diagram for describing a manufacturing step of the MISFET according to the first embodiment
  • FIG. 12 is a diagram for describing a manufacturing step of the MISFET according to the first embodiment
  • FIG. 13 is a diagram for describing a manufacturing step of the MISFET according to the first embodiment
  • FIG. 14 is a diagram for describing a manufacturing step of the MISFET according to the first embodiment
  • FIG. 15 is a diagram for describing a manufacturing step of the MISFET according to the first embodiment
  • FIG. 16 is a diagram for describing a manufacturing step of the MISFET according to the first embodiment
  • FIG. 17 is a diagram for describing a manufacturing step of the MISFET according to the first embodiment
  • FIG. 18 is a diagram for describing a manufacturing step of the MISFET according to the first embodiment
  • FIG. 19 is a diagram for describing a manufacturing step of the MISFET according to the first embodiment.
  • FIG. 20 is a diagram for describing a manufacturing step of the MISFET according to the first embodiment
  • FIG. 21 is a diagram for describing a manufacturing step of the MISFET according to the first embodiment
  • FIG. 22 is a diagram for describing a manufacturing step of the MISFET according to the first embodiment
  • FIG. 23 is a diagram for describing a manufacturing step of the MISFET according to the first embodiment
  • FIG. 24 is a diagram for describing a manufacturing step of the MISFET according to the first embodiment
  • FIG. 25 is a diagram for describing a manufacturing step of the MISFET according to the first embodiment
  • FIG. 26 is a layout diagram of a semiconductor device according to a second embodiment of the present invention.
  • FIG. 27 is a sectional view of a completed MISFET according to a third embodiment of the present invention.
  • FIG. 28 is a sectional view of a completed MISFET according to a fourth embodiment of the present invention.
  • FIG. 29 is a sectional view of a completed MISFET according to a fifth embodiment of the present invention.
  • FIG. 30 is a diagram for describing a manufacturing step of a MISFET according to the fifth embodiment.
  • components are not always indispensable unless particularly stated and apparently indispensable in principle.
  • shapes and positions of components include substantially approximate or similar ones unless otherwise stated and deemed to be apparently against the principle.
  • FIG. 1 is a sectional view showing a completed MISFET according to a first embodiment of the present invention. Manufacturing steps thereof will be described with reference to FIG. 2 and subsequent figures. For convenience of description, description will be made with conductive types of a semiconductor substrate and a semiconductor film being fixed, but the combination of conductive types may be arbitrary, so the conductive type is not limited to the ones described in the present embodiment.
  • a first semiconductor substrate is made by a semiconductor substrate 1 made of single crystal Si having a plane orientation of (100), P conductive type, a resistivity of 10 ohm ⁇ cm and a diameter of 20 cm, a main surface thereof has been subjected to mirror polishing, and a thermal oxide film 4 made of silicon having a thickness of 10 nm is formed thereon.
  • Hydrogen ion implantation is performed to the first semiconductor substrate based on a well-known method of manufacturing an ultra-thin film SOI substrate.
  • the implantation dose was 5 ⁇ 10 16 /cm 2 .
  • a crystal defect layer 3 is formed at a depth of about 40 nm from the main surface of single crystal Si substrate. From this state, after hydrophilic treatment is applied to each of second semiconductor substrates having the same specification of the first semiconductor substrate without a silicon oxide film on its surface, the main surfaces of both the substrates are adhered with each other at room temperature.
  • a surface of the single crystal Si thin film 3 namely, delamination surface is subjected to mirror polishing by a surface polishing method which does not contain abrasive grain, and a thin buried gate insulating film 4 is sequentially formed at a lower portion of the single crystal Si thin film 3 on the supporting substrate 1 , so that an SOI substrate is manufactured.
  • the above-described SOI substrate is not necessary to be manufactured according to the above method, and there is no problem if it is according to purchase of a commercially-available substrate with a similar specification.
  • a Si layer is thinned to a desired single crystal Si layer by removing the silicon oxide film and used.
  • a silicon oxide film 36 is formed having a thickness of 10 nm, a resist mask 35 is applied thereon thereafter, and the resist mask is removed only in a region 200 where a bulk-type MISFET should be formed ( FIG. 4 ).
  • the silicon oxide film 36 , the single crystal Si layer 3 , and the thin buried insulating film 4 are removed to expose a surface of the supporting substrate.
  • regions 100 and 200 in which the SOI-type MISFET and the bulk-type MISFET should be formed are formed, respectively. Steps subsequent to the above steps will be described by respectively illustrating the formation region 100 for the SOI-type MISFET and the formation region 200 for the bulk-type MISFET separately for convenience of description.
  • a silicon oxide film 36 and a silicon nitride film 37 are formed ( FIG. 6 ).
  • the silicon nitride film formed here is used as a polishing stopper during chemical-mechanical polishing in a later formation of a shallow trench device isolation. Note that, one point according to the present invention lies in that the film thickness of the silicon nitride film is made larger than the thickness obtained by adding the film thickness of the single crystal Si thin film 3 and the thickness of the thermal silicon oxide film 4 in the formation region 100 of the SOI-type MISFET.
  • the film thickness of the silicon nitride film is made larger than a step between the surface of the single crystal Si thin film 3 in the formation region 100 of the SOI-type MISFET and the surface of the semiconductor substrate 1 in the formation region 200 of the bulk-type MISFET.
  • An operational advantage relating to this feature will be described later.
  • the resist mask 35 is applied, only the resist mask in a desired region is removed ( FIG. 7 ).
  • the silicon nitride film 37 and the silicon oxide film 36 in a desired region and the single crystal Si layer 3 are removed ( FIG. 8 ). Note that, a resist mask between devices configuring a pair of complementary MISFETs is removed after such patterning that the devices are disposed on the same well diffusion layer.
  • the resist mask in a desired region is removed ( FIG. 9 ).
  • the buried oxide film 4 in a desired region and the Si layer of the supporting substrate 1 are removed by 200 nm to form a region for forming a contact between a gate and a well on a device isolation region serving as an STI (Shallow Trench Isolation) and the SOI-type MISFET formation region ( FIG. 10 ).
  • a thin thermal oxide film in an exposed Si region and an overall deposition of a thick silicon oxide film 39 having a film thickness sufficient to bury a patterning region are performed according to a well-known method for forming a device isolation insulating film ( FIG. 11 ).
  • a thick silicon oxide film exposed by selectively removing a silicon nitride film positioned on a region where the silicon nitride film has been selectively left by the deposition of the silicon nitride film and the previous patterning and a silicon nitride film positioned from the region up to a certain distance is removed by chemical-mechanical polishing.
  • Terminal points of the polishing are the silicon nitride film deposited previously and the silicon nitride film 37 left on the pattern. Subsequently, the silicon nitride film 37 and the like are selectively removed by hot phosphoric acid ( FIG. 12 ). At this step, the above-said point relating to the film thickness of the silicon nitride film 37 functions effectively.
  • the film thickness of the silicon nitride film 37 is set to be equal to or smaller than a step between the surface of the single crystal Si thin film 3 in the formation region 100 of the SOI-type MISFET and the surface of the semiconductor substrate 1 in the formation region 200 of the bulk-type MISFET, there occurs such a defect that even the surface of the single crystal Si thin film 3 in the formation region 100 of the SOI-type MISFET is ground by the chemical-mechanical polishing when the chemical-mechanical polishing is terminated by taking the silicon nitride film 37 in the formation region 200 of the bulk-type MISFET as a terminal point of the polishing.
  • the thickness of the silicon nitride film is made larger than the step between the surface of the single crystal Si thin film 3 in the formation region 100 of the SOI-type MISFET and the surface of the semiconductor substrate 1 in the formation region 200 of the bulk-type MISFET, these defects can be eliminated.
  • an N-conductive type well diffusion layer 6 is selectively formed in a desired region of the supporting substrate 1 by ion implantation via the thin silicon oxide film 36 , the oxide film thin single crystal Si film 3 , and the thin buried insulating film 4 as for the SOI-type MISFET formation region, and by ion implantation via the thin silicon oxide film 36 as for the bulk-type MISFET formation region.
  • a P-conductive type well diffusion layer 7 is selectively formed in a desired region of the supporting substrate 1 by ion implantation via the thin silicon oxide film 36 , the oxide film thin single crystal Si film 3 , and the thin buried insulating film 4 as for the SOI-type MISFET formation region, and by ion implantation via the thin silicon oxide film 36 as for the bulk-type MISFET formation region.
  • the single crystal Si film and the buried oxide film layer are respectively thin layers, and the step between the SOI-type MISFET formation region and the bulk-type MISFET formation region is as small as about 30 nm.
  • a depth condition for implanting impurity ions for forming the N-conductive type well diffusion layer and the P-conductive type well diffusion layer is generally 500 nm or more, which is enough deep as compared to the step. Therefore, an ion implantation condition for well diffusion layer formation can be made common in regions of the SOI-type MISFET and the bulk-type MISFET, so that the well diffusion layer regions 6 and 7 for the SOI-type MISFET and the bulk-type MISFET can be formed by the same step ( FIG. 13 ).
  • diffusion layer regions for threshold voltage control 25 and 26 in the N-type and P-type SOI-type MISFET formation regions are formed by ion implantation via the thin silicon oxide film 36 , the thin single crystal Si film 3 , and the thin buried insulating film 4 .
  • the N-conductive type and P-conductive type diffusion layer regions for threshold voltage control 27 and 28 are selectively formed in a desired region of the supporting substrate 1 ( FIG. 14 ).
  • a thermal oxide film with a thickness of 1.8 nm is formed and a nitride film with a thickness of 0.2 nm is formed by deposition on the main surface by nitriding a surface of the thermal oxide film by NO gas, so that a gate insulating film 5 is formed.
  • a polycrystalline Si film 38 having a thickness of, for example, 100 nm is deposited on the gate insulating film 5 by chemical vapor deposition method.
  • a feature of the present invention is that a focus depth of photolithography in formation of the gate electrode by patterning the polycrystalline Silicon film serving as a gate material film is adjusted to be focused on the formation region 100 side of the SOI-type MISFET.
  • the step between the SOI-type MISFET formation region and the bulk-type MISFET formation region is as small as about 30 nm, ion implantation conditions for formation of the ultra-shallow high-concentration source diffusion layer region and an ion implantation condition for formation of the drain diffusion layer region in the SOI-type MISFET region and the bulk-type MISFET region can be made common, so that the ultra-shallow high-concentration source diffusion layer region and the drain diffusion layer region for the SOI-type MISFET and the bulk-type MISFET can be formed in the same step.
  • B (boron) ion is implanted to the bulk-type N-conductive type MISFET region 200 by an acceleration energy of 10 keV under the condition of an implantation dose of 1 ⁇ 10 13 /cm 2
  • P (phosphorus) ion is implanted to the P-conductive type MISFET region by an acceleration energy of 10 keV under the condition of an implantation dose of 1 ⁇ 10 13 /cm 2 while a gate protective insulating film 51 is used as an implantation blocking mask, thereby forming halo regions 50 and 51 , respectively ( FIG. 17 ).
  • This is an ion implantation step performed to suppress the short-channel effect of the bulk-type MISFET.
  • a tilt angle of, for example, 20° as a halo formation condition there is no problem in adopting oblique ion implantation of a tilt angle of, for example, 20° as a halo formation condition.
  • a silicon oxide film with a film thickness of, for example, 70 nm is deposited overall and is subjected to anisotropic etching to be selectively left on a sidewall portion of the gate electrode to form a gate sidewall insulating film 12 ( FIG. 18 ). Subsequently, in FIG. 17 , a silicon oxide film with a film thickness of, for example, 70 nm is deposited overall and is subjected to anisotropic etching to be selectively left on a sidewall portion of the gate electrode to form a gate sidewall insulating film 12 ( FIG. 18 ). Subsequently, in FIG.
  • As ion is implanted to the SOI-type and bulk-type N-conductive type MISFET regions, and BF2 ion is implanted to the SOI-type and bulk-type P-conductive type MISFET regions by acceleration energies of, for example, 25 keV and 15 eV, respectively, under the condition of an implantation dose of 4 ⁇ 10 15 /cm 2 while the gate electrode and the gate sidewall insulating film 12 are used as implantation blocking masks, so that N-type source diffusion layer and drain diffusion layer regions 13 and 14 , and P-type source diffusion layer and drain diffusion layer regions 15 and 16 are formed which are further deeper than the ultra-shallow high-concentration source diffusion layer the drain diffusion layer regions, respectively ( FIG. 19 ).
  • the deep source diffusion layer and drain diffusion layer regions are formed in the SOI-type MISFET regions for the purpose of capacitance reduction of the source and drain diffusion layer regions. These are formed by a manufacturing method similar to a conventionally well-known method for reducing a junction capacitance of the bulk-type MISFET. That is, the purpose is to form impurity compensation region for making close to an intrinsic impurity region by implanting an opposite conductive type ion with a concentration and an acceleration energy which should compensate for the implantation ion for threshold voltage adjustment previously implanted by ion implantation taking an implantation blocking mask.
  • the bulk-type MISFET is formed for a purpose of forming low-resistance source and drain diffusion layer regions according to a similar process to source and drain diffusion layer regions of a conventionally well-known bulk-type MISFET.
  • an ion implantation step for parasitic capacitance reduction of the source and drain diffusion layer regions of the SOI-type MISFET region and an ion implantation step for achieving low resistance of the source and drain diffusion layer regions of the bulk-type MISFET region can be formed at a common step under the same condition. Consequently, the process can be simplified.
  • the resist mask 35 is applied in order to form a region for forming a contact between the gate and well, and only the resist mask in a desired region of the SOI-type MISFET is removed ( FIG. 20 ). Thereafter, the silicon nitride film 37 , the polycrystalline Silicon film 38 , the thin silicon oxide film 36 , and the buried oxide film 4 in the desired region are removed ( FIG. 21 ).
  • Si films 52 and 53 having a thickness of, for example, 60 nm are selectively deposited on the single crystal Si regions exposed by using selective epitaxial method.
  • single crystal Si is selectively grown epitaxially to the 52 on the source and drain diffusion layer regions, and, in the SOI-type MISFET, to the 53 on the contact formation region of the gate and well ( FIG. 22 ).
  • the formation step of the contact between the gate electrode and the well is performed by selective epitaxial growth simultaneously with the formation step of the stacked Si film on the source and drain diffusion layers. Accordingly, it is unnecessary to perform the formation step of the contact as a step independent from the other steps, thereby simplifying the process.
  • the single crystal Si is required to be subjected to selective epitaxial growth until an upper surface of the Si film 53 becomes higher than a lower surface of the gate electrode.
  • the silicon nitride film 37 is selectively removed by hot phosphoric acid ( FIG. 23 ) to expose the silicon gate polycrystalline Si film 38 , and then a Ni (nickel) film of 30 nm thick is then deposited on the entire surface by sputtering, the whole region of the gate electrode exposed and at least an upper region of N-conductive type and P-conductive type high-concentration stacked regions are selectively silicided by thermal treatment at 450° C. to make a silicide gate electrode, a silicide metal source, and a drain region 20 .
  • the silicon gate electrode where the impurity has not yet been added is transformed into a nickel silicide film up to a region contacting with the gate insulating film to have lower resistance. Not all the stacked Si film on the source and drain diffusion layers is transformed, a low-resistive polycrystalline Si film is left in a bottom surface region, the ultra-shallow N-conductive type source and drain diffusion layers 8 and 9 and the shallow P-conductive type source and drain diffusion layers 10 and 11 in the thin single crystal Si are reserved.
  • the silicidation treatment only the unreacted Ni film on the insulating film is removed selectively by an etching solution by means of a mixed solution of hydrochloric acid and hydrogen peroxide solution ( FIG. 24 ).
  • the gate electrode 20 is made of a metal silicide film.
  • a threshold voltage value can be set to about 0 V in both the N-conductive type MISFET and the P-conductive type MISFET.
  • the single crystal Si thin film 3 constituting a channel is finally made as ultra-thin as 10 nm, since the source and drain regions are configured as a stacked structure and further a major part of the stacked structure can be made of the metal silicide film 20 , such a problem of increase of contact resistance between the semiconductor and the metal silicide film and increase of serial resistance is solved.
  • the ion implantation step for reducing parasitic capacitance of the source and drain diffusion layer regions of the SOI-type MISFET region and the ion implantation step for achieving lower resistance of the source and drain diffusion layer regions of the bulk-type MISFET region are formed in a common step under the same condition, so that high drive current of the SOI-type MISFET can be realized and reduction of a bottom parasitic capacitance of the well diffusion layers 6 and 7 can be realized simultaneously.
  • the parasitic capacitance can be reduced by about 1 digit even in the same occupied area configuration of well.
  • the gate electrode which is a lowermost layer wiring can be directly connected with the well diffusion layer, it is possible to set the connection region regardless of the upper wirings.
  • the connection made by the upper wiring in a margin region taking into account the layout of the lower-layer wiring as having been done in a conventionally well-known structure is not required, high current and high drive performance of the semiconductor device can be realized without increase of the occupied area.
  • the feature of the embodiment that increase of the occupied area is not required gains an effect also on further reduction of the parasitic capacitance.
  • the semiconductor device according to the present embodiment since there is a wide variety of circuits to which the semiconductor device according to the present embodiment is applicable, it is most effective to apply the semiconductor device to a memory cell of an SRAM, an I/O buffer circuit as described below, and further a drive region of a critical path which defines an operation speed of an integrated circuit and the like.
  • the semiconductor device even in a case where these high-performance SOI type MISFET 100 and a high-withstand-voltage system device, and the bulk-type MISFET 200 for ESD protection for preventing ESD breakdown (electrostatic breakdown) are formed on the same substrate, by making manufacturing steps of the SOI-type MISFET and the bulk-type MISFET common, the whole semiconductor device can be scaled down and further the semiconductor can be manufactured without complicating the process.
  • ESD breakdown electrostatic breakdown
  • the thin buried insulating film 4 it is preferable to make the thin buried insulating film 4 as thin as possible within a range of a film thickness allowing to ignore leakage current and it is preferable to make the thin buried insulating film 4 have a film thickness of 10 nm or less, more preferably, about 2 nm which is similar to the gate insulating film 5 .
  • the gate insulating material is not limited to an Ni silicide film, and any material can be used as long as it has a work function positioned nearly in the center of the forbidden band of the single crystal Si thin film among a metal, a metal silicide film, or a metal nitride film of such as Ni, Co, Ti, W, Ta, Mo, Cr, Al, Pt, Pa and Ru.
  • the semiconductor device is manufactured according to the first embodiment, it has a different layout the second embodiment and has been invented to be capable of forming a bulk-type MISFET more stably.
  • the layout at the step of removing the resist mask is different only in the region 200 in FIG. 4 in which the bulk-type MISFET is formed.
  • the silicon oxide film 36 , the single crystal Si layer 3 and the thin buried insulating film 4 are removed except for the region 200 in which the bulk-type MISFET is formed to expose the supporting substrate surface.
  • the second embodiment as shown in the plan view of FIG.
  • a dummy pattern 60 is provided around the region 200 in which the bulk-type MISFET is formed, and the silicon oxide film 36 , the single crystal Si layer 3 and the thin buried insulating film 4 around the region 200 in which the bulk-type MISFET is formed are left.
  • the dummy pattern 60 is formed by the resist mask 35 applied in the step of FIG. 4 of the first embodiment.
  • the dummy pattern 60 formed in the present embodiment is a pattern provided for suppressing dishing generated in the chemical-mechanical polishing step for forming the device isolation region in the step of FIG. 12 of the first embodiment.
  • chemical-mechanical polishing when steps are scattered in a region to be polished, there occurs the problem of such dishing that, for example, a low-step region is selectively polished.
  • the bulk-type MISFET is formed in the low step region, there is a possibility that the bulk-type MISFET region 200 is selectively polished when the chemical-mechanical polishing step for forming the device isolation region of FIG. 12 of the first embodiment is performed.
  • the larger bulk-type MISFET region 200 becomes than the SOI-type MISFET region 100 , the higher possibility becomes. Accordingly, in the second embodiment, the dummy pattern 60 is formed, and the silicon oxide film 36 , the single crystal Si layer 3 and the thin buried insulating film 4 around the region 200 in which the bulk-type MISFET is formed are left, so that only the region 200 in which the bulk-type MISFET is formed becomes the low step region, and the periphery thereof becomes a high step region. This manner allows suppression of the dishing in the bulk-type MISFET region 200 when the chemical-mechanical polishing step for forming the device isolation region is performed, so that the bulk-type MISFET region can be formed more stably.
  • the gate electrode 20 is made of a metal silicide film. Therefore, in the semiconductor device according to the present embodiment, the threshold voltage value can be set to about 0 V in both the N-conductive type MISFET and the P-conductive type MISFET despite the full depletion type SOIIGFET. Further, in spite of the fact that the single crystal Si thin film 3 constituting the channel is finally formed to be as ultra-thin as 10 nm, since the source and drain regions are configured as a stacked structure, and further, most of the stacked structure can be made of a metal silicide film, such a problem as increase of contact resistance between the semiconductor and the metal silicide film and increase of serial resistance can be eliminated.
  • the semiconductor device by performing the ion implantation step for parasitic capacitance reduction of the source and drain diffusion layer regions of the SOI-type MISFET region and the ion implantation step for achieving lower resistance of the source and drain diffusion layer regions of the bulk-type MISFET region in a common step under the same condition, high drive current of the SOI-type MISFET can be realized and simultaneously reduction of bottom parasitic capacitance of the well diffusion layers 6 and 7 can be realized. In this manner, as compared to the well structure in a case where ion implantation for capacitance reduction is not performed, the parasitic capacitance can be reduced by about 1 digit even in the same occupied area configuration of well.
  • the gate electrode which is a lowermost layer wiring can be directly connected with the well diffusion layer, it is possible to set the connection region regardless of the upper wirings.
  • the connection made by the upper wiring in a margin region taking into account the layout of the lower-layer wiring as having been done in a conventionally well-known structure is not required, high current and high drive performance of the semiconductor device can be realized without increase of the occupied area.
  • the feature of the embodiment that increase of the occupied area is not required gains an effect also on further reduction of the parasitic capacitance.
  • the semiconductor device according to the present embodiment since there is a wide variety of circuits to which the semiconductor device according to the present embodiment is applicable, it is most effective to apply the semiconductor device to a memory cell of an SRAM, an I/O buffer circuit as described below, and further a drive region of a critical path which defines an operation speed of an integrated circuit and the like.
  • the thin buried insulating film 4 it is preferable to make the thin buried insulating film 4 as thin as possible within a range of a film thickness allowing to ignore leakage current and it is preferable to make the thin buried insulating film 4 have a film thickness of 10 nm or less, more preferably, about 2 nm which is similar to the gate insulating film 5 .
  • the gate insulating material is not limited to an Ni silicide film, and any material can be used as long as it has a work function positioned nearly in the center of the forbidden band of the single crystal Si thin film among a metal, a metal silicide film, or a metal nitride film of such as Ni, Co, Ti, W, Ta, Mo, Cr, Al, Pt, Pa and Ru.
  • FIG. 27 is a sectional view of a semiconductor device showing a third embodiment according to the present invention.
  • the semiconductor device is manufactured basically in a similar manner as the abovedescribed embodiments
  • the third embodiment prior to formations of the ultra-shallow N-conductive type high-concentration source diffusion layer 8 and the ultra-shallow N-conductive type high-concentration drain diffusion layer 9 , and the ultra-shallow P-conductive type high-concentration source diffusion layer 10 and the ultra-shallow P-conductive type high-concentration drain diffusion layer 11 , formation of an offset spacer 17 are performed on sidewalls of the gate electrode.
  • the offset spacer 17 is formed on the sidewalls of the gate electrode by depositing, for example, a silicon oxide film, a silicon nitride, a titanium oxide film, or the like by about 10 nm by CVD method and etching back the insulating film.
  • the ultra-shallow high-concentration source and drain diffusion layers are formed by ion implantation utilizing the gate electrode and the thin insulating layer for implantation blocking.
  • the ultra-shallow N-conductive type high-concentration source diffusion layer 8 , the ultra-shallow N-conductive type high concentration drain diffusion layer 9 , and the ultra-shallow P-conductive type high concentration source diffusion layer 10 and the ultra-shallow P-conductive type high concentration drain diffusion layer 11 are formed while the offset spacer 17 is used as a mask, horizontal spread of the diffusion layer regions toward the channel region 3 can be suppressed, and so an overlapping region of the gate electrode 20 and the ultra-shallow high-concentration source and drain diffusion layers is small, so that an effective channel length can be secured.
  • This allows the MISFET to be further miniaturized as compared to the first embodiment.
  • an overlapping capacitor between the gate electrode 20 and the ultra-shallow high concentration source and drain diffusion layers can be kept small, the parasitic capacitance is reduced, so that higher speed of the MISFET can be achieved as compared to the first embodiment.
  • the gate electrode 20 is made of a metal silicide film.
  • a threshold voltage value can be set to about 0 V in both the N-conductive type MISFET and the P-conductive type MISFET.
  • the single crystal Si thin film 3 constituting a channel is finally made as ultra-thin as 10 nm, since the source and drain regions are configured as a stacked structure and further a major part of the stacked structure can be made of the metal silicide film 20 , such a problem of increase of contact resistance between the semiconductor and the metal silicide film and increase of serial resistance is solved.
  • the ion implantation step for reducing parasitic capacitance of the source and drain diffusion layer regions of the SOI-type MISFET region and the ion implantation step for achieving lower resistance of the source and drain diffusion layer regions of the bulk-type MISFET region are formed in a common step under the same condition, so that high drive current of the SOI-type MISFET can be realized and reduction of a bottom parasitic capacitance of the well diffusion layers 6 and 7 can be realized simultaneously.
  • the parasitic capacitance can be reduced by about 1 digit even in the same occupied area configuration of well.
  • the gate electrode which is a lowermost layer wiring can be directly connected with the well diffusion layer, it is possible to set the connection region regardless of the upper wirings.
  • the connection made by the upper wiring in a margin region taking into account the layout of the lower-layer wiring as having been done in a conventionally well-known structure is not required, high current and high drive performance of the semiconductor device can be realized without increase of the occupied area.
  • the feature of the embodiment that increase of the occupied area is not required gains an effect also on further reduction of the parasitic capacitance.
  • the semiconductor device according to the present embodiment since there is a wide variety of circuits to which the semiconductor device according to the present embodiment is applicable, it is most effective to apply the semiconductor device to a memory cell of an SRAM, an I/O buffer circuit as described below, and further a drive region of a critical path which defines an operation speed of an integrated circuit and the like.
  • the semiconductor device even in a case where these high-performance SOI type MISFET 100 and a high-withstand-voltage system device, and the bulk-type MISFET 200 for ESD protection for preventing ESD breakdown (electrostatic breakdown) are formed on the same substrate, by making manufacturing steps of the SOI-type MISFET and the bulk-type MISFET common, the whole semiconductor device can be scaled down and further the semiconductor can be manufactured without complicating the process.
  • ESD breakdown electrostatic breakdown
  • the thin buried insulating film 4 it is preferable to make the thin buried insulating film 4 as thin as possible within a range of a film thickness allowing to ignore leakage current and it is preferable to make the thin buried insulating film 4 have a film thickness of 10 nm or less, more preferably, about 2 nm which is similar to the gate insulating film 5 .
  • the gate insulating material is not limited to an Ni silicide film, and any material can be used as long as it has a work function positioned nearly in the center of the forbidden band of the single crystal Si thin film among a metal, a metal silicide film, or a metal nitride film of such as Ni, Co, Ti, W, Ta, Mo, Cr, Al, Pt, Pa and Ru.
  • FIG. 28 is a sectional view of a semiconductor device showing a fourth embodiment according to the present invention.
  • the semiconductor device is manufactured basically in a similar manner as the abovedescribed embodiments
  • the bulk-type MISFET is formed without forming the halo regions 50 and 51 .
  • the halo regions 50 and 51 are formed for suppressing the short-channel effect when a MISFET is miniaturized.
  • a bulk-type MISFET can be formed in the bulk-type region 200 without forming the halo regions 50 and 51 according to the fourth embodiment. This manner allows the SOI-type MISFET and the bulk-type MISFET to be formed on the same substrate while further simplifying the process.
  • the gate electrode 20 is made of a metal silicide film.
  • a threshold voltage value can be set to about 0 V in both the N-conductive type MISFET and the P-conductive type MISFET.
  • the single crystal Si thin film 3 constituting a channel is finally made as ultra-thin as 10 nm, since the source and drain regions are configured as a stacked structure and further a major part of the stacked structure can be made of the metal silicide film 20 , such a problem of increase of contact resistance between the semiconductor and the metal silicide film and increase of serial resistance is solved.
  • the ion implantation step for reducing parasitic capacitance of the source and drain diffusion layer regions of the SOI-type MISFET region and the ion implantation step for achieving lower resistance of the source and drain diffusion layer regions of the bulk-type MISFET region are formed in a common step under the same condition, so that high drive current of the SOI-type MISFET can be realized and reduction of a bottom parasitic capacitance of the well diffusion layers 6 and 7 can be realized simultaneously.
  • the parasitic capacitance can be reduced by about 1 digit even in the same occupied area configuration of well.
  • the gate electrode which is a lowermost layer wiring can be directly connected with the well diffusion layer, it is possible to set the connection region regardless of the upper wirings.
  • the connection made by the upper wiring in a margin region taking into account the layout of the lower-layer wiring as having been done in a conventionally well-known structure is not required, high current and high drive performance of the semiconductor device can be realized without increase of the occupied area.
  • the feature of the embodiment that increase of the occupied area is not required gains an effect also on further reduction of the parasitic capacitance.
  • the semiconductor device according to the present embodiment since there is a wide variety of circuits to which the semiconductor device according to the present embodiment is applicable, it is most effective to apply the semiconductor device to a memory cell of an SRAM, an I/O buffer circuit, and further a drive region of a critical path which defines an operation speed of an integrated circuit and the like.
  • the semiconductor device even in a case where these high-performance SOI type MISFET 100 and a high-withstand-voltage system device, and the bulk-type MISFET 200 for ESD protection for preventing ESD breakdown (electrostatic breakdown) are formed on the same substrate, by making manufacturing steps of the SOI-type MISFET and the bulk-type MISFET common, the whole semiconductor device can be scaled down and further the semiconductor can be manufactured without complicating the process.
  • ESD breakdown electrostatic breakdown
  • the thin buried insulating film 4 it is preferable to make the thin buried insulating film 4 as thin as possible within a range of a film thickness allowing to ignore leakage current and it is preferable to make the thin buried insulating film 4 have a film thickness of 10 nm or less, more preferably, about 2 nm which is similar to the gate insulating film 5 .
  • the gate insulating material is not limited to an Ni silicide film, and any material can be used as long as it has a work function positioned nearly in the center of the forbidden band of the single crystal Si thin film among a metal, a metal silicide film, or a metal nitride film of such as Ni, Co, Ti, W, Ta, Mo, Cr, Al, Pt, Pa and Ru.
  • FIG. 29 is a sectional view of a semiconductor device showing a fifth embodiment according to the present invention.
  • the semiconductor device is manufactured basically in a similar manner as the abovedescribed embodiments, a feature thereof is that the diffusion layer region 21 stacked on the source and drain is formed to be higher than the gate electrode 20 , as shown in FIG. 29 .
  • This is realized by changing a film thickness ratio of the silicon gate polycrystalline Si film 38 and the silicon nitride film 37 to be deposited in the step of forming the gate electrode of FIG. 15 in the first embodiment.
  • it is required to silicide the whole region of the gate electrode 20 to make a silicided gate electrode.
  • the height of the gate electrode 20 is set to be smaller than that of the diffusion layer region 21 deposited on the source and drain, not all the deposited Si film on the source and drain diffusion layers is silicided even if the whole region of the gate electrode 20 is silicide, so a low-resistive polycrystalline Si film is left in the bottom surface region.
  • the gate electrode 20 is made of a metal silicide film.
  • a threshold voltage value can be set to about 0 V in both the N-conductive type MISFET and the P-conductive type MISFET.
  • the single crystal Si thin film 3 constituting a channel is finally made as ultra-thin as 10 nm, since the source and drain regions are configured as a stacked structure and further a major part of the stacked structure can be made of the metal silicide film 20 , such a problem of increase of contact resistance between the semiconductor and the metal silicide film and increase of serial resistance is solved.
  • the ion implantation step for reducing parasitic capacitance of the source and drain diffusion layer regions of the SOI-type MISFET region and the ion implantation step for achieving lower resistance of the source and drain diffusion layer regions of the bulk-type MISFET region are formed in a common step under the same condition, so that high drive current of the SOI-type MISFET can be realized and reduction of a bottom parasitic capacitance of the well diffusion layers 6 and 7 can be realized simultaneously.
  • the parasitic capacitance can be reduced by about 1 digit even in the same occupied area configuration of well.
  • the gate electrode which is a lowermost layer wiring can be directly connected with the well diffusion layer, it is possible to set the connection region regardless of the upper wirings.
  • the connection made by the upper wiring in a margin region taking into account the layout of the lower-layer wiring as having been done in a conventionally well-known structure is not required, high current and high drive performance of the semiconductor device can be realized without increase of the occupied area.
  • the feature of the embodiment that increase of the occupied area is not required gains an effect also on further reduction of the parasitic capacitance.
  • the semiconductor device according to the present embodiment since there is a wide variety of circuits to which the semiconductor device according to the present embodiment is applicable, it is most effective to apply the semiconductor device to a memory cell of an SRAM, an I/O buffer circuit, and further a drive region of a critical path which defines an operation speed of an integrated circuit and the like.
  • the semiconductor device even in a case where these high-performance SOI type MISFET 100 and a high-withstand-voltage system device, and the bulk-type MISFET 200 for ESD protection for preventing ESD breakdown (electrostatic breakdown) are formed on the same substrate, by making manufacturing steps of the SOI-type MISFET and the bulk-type MISFET common, the whole semiconductor device can be scaled down and further the semiconductor can be manufactured without complicating the process.
  • ESD breakdown electrostatic breakdown
  • the thin buried insulating film 4 it is preferable to make the thin buried insulating film 4 as thin as possible within a range of a film thickness allowing to ignore leakage current and it is preferable to make the thin buried insulating film 4 have a film thickness of 10 nm or less, more preferably, about 2 nm which is similar to the gate insulating film 5 .
  • the gate insulating material is not limited to an Ni silicide film, and any material can be used as long as it has a work function positioned nearly in the center of the forbidden band of the single crystal Si thin film among a metal, a metal silicide film, or a metal nitride film of such as Ni, Co, Ti, W, Ta, Mo, Cr, Al, Pt, Pa and Ru.
  • the present invention relates to an MISFET having a stacked structure of semiconductor/insulating film/metal, and more specifically, it is applicable to a semiconductor device in which an MISFET is formed on a substrate having an SOI structure and a manufacturing industry of manufacturing the same.

Abstract

When a bulk silicon substrate and an SOI substrate are used separately, a board area is increased and so it is impossible to reduce the size of a semiconductor device as a whole. On the other hand, when an SOI-type MISFET and a bulk-type MISFET are formed on a same substrate, the SOI-type MISFET and the bulk-type MISFET should be formed in separate steps respectively, and thus the process gets complicated. A single crystal semiconductor substrate and an SOI substrate separated from the single crystal semiconductor substrate by a thin buried insulating film and having a thin single crystal semiconductor thin film (SOI layer) are used, and well diffusion layer regions, drain regions, gate insulating films and gate electrodes of the SOI-type MISFET and the bulk-type MISFET are formed in same steps. Since the bulk-type MISFET and the SOI-type MISFET can be formed on the same substrate, the board area can be reduced. A simple process can be realized by making manufacturing steps of the SOI-type MISFET and the bulk-type MISFET common.

Description

    TECHNICAL FIELD
  • The present invention relates to an MISFET having a stacked structure of semiconductor/insulating film/metal, and more specifically it relates to a semiconductor device in which an MISFET is formed on a substrate having an SOI (Silicon on Insulator) structure and a method for manufacturing the same.
  • BACKGROUND ART
  • Recently, as high integration and high performance of LSIs have progressed, miniaturization of MISFET (Metal/Insulator/Semiconductor Field Effect Transistor) is advanced and its gate length is scaled, so that a problem of the short-channel effect which lowers a threshold voltage Vth has become significant. The short-channel effect is caused by the fact that a spread of a depletion layer in source and drain portions of the MISFET influences a channel portion according to miniaturization of the channel length. Increasing impurity concentration in the channel portion to suppress the spread of the depletion layer in the source and drain portions is one of methods for suppressing the influence. But if the impurity concentration in the channel portion is increased, degradation of drive current becomes problematic depending on carrier mobility resulting from increase of impurity scattering. Further, if the impurity concentration is increased, parasitic capacitances among the substrate, the source, and the drain are increased and thus fast operation of the MISFET is hampered.
  • Further, conventionally, the threshold voltage Vth of the MISFET is controlled by the impurity concentration of a channel region. Impurity concentration control of channel is relatively well performed up to an LSI of the design rule of about 100 nm node by using an ion implantation technique and a short heat treatment technique.
  • As to an MISFET of the design rule of 100 nm node or subsequent thereto, however, since an absolute number of impurities contributing to the threshold voltage Vth of MISFET per one is reduced along with the channel length shortened by the method of controlling the threshold voltage Vth by an impurity amount in the channel, variation of the threshold voltage Vth caused by statistical fluctuation cannot be ignored (for example, see Non-Patent Document 1). Therefore, it has been longed to make it possible to control the threshold value Vth of the MISFET according to a work function of a gate electrode as well by the impurity concentration control of a channel portion and another method as a miniaturized device-matching process.
  • In order to solve such a problem, in recent years, SOI structure has attracted attentions. In this structure, complete device isolation is made by an insulating film (for example, silicon oxide film), and so soft error and latch up are suppressed, so that high reliability is gained even in a highly-integrated LSI, and moreover, since junction capacitance of a diffusion layer is reduced, charge and discharge resulting from switching are reduced and so it is advantageous for achieving high speed and low power consumption.
  • The SOI type MISFETs are roughly classified to two operation modes. One of these is a Full Depletion SOI in which a depletion layer induced in a body region beneath a gate electrode reaches a bottom surface of the body region, namely, an interfacial surface between the body region and an buried oxide film, and the other is a Partial Depletion SOI in which the depletion layer does not reach the bottom surface of the body region and there remains a neutral region.
  • In the full depletion type SOI-MISFET, since the thickness of the depletion layer just below the gate is limited by the buried oxide film, a depletion charge amount is considerably reduced as compared to the partial depletion SOI-MISFET, and instead, mobile charges contributing to a drain current are increased. As a result, there is an advantage that a steep sub-threshold characteristic (S characteristic) can be obtained.
  • In other words, when a steep S characteristic is obtained, the threshold voltage Vth can be lowered while suppressing off-leakage current. As a result, a drain current is secured even at a low operating voltage, so that it becomes possible to manufacture a MISFET with extremely low power consumption such as a MISFET operating at 1V or lower (the threshold voltage Vth is also 0.3 V or lower).
  • Further, generally, in a case of a MISFET manufactured on a substrate, there is the abovementioned problem of short-channel effect. Meanwhile, in a case of the full depletion type SOI-MISFET, since the substrate and the device are isolated from each other by an oxide film and the depletion layer will not spread, a substrate concentration can be lowered in the full depletion type SOI-MISFET. Therefore, since lowering of carrier mobility along with increase of impurity scattering is suppressed, high drive current can be achieved. Further, as compared to the method of controlling the threshold voltage Vth by impurity concentration, variation of the threshold voltage Vth caused by statistical fluctuation of the number of impurities with respect to one MISFET can be reduced.
  • On the other hand, a double-gate MISFET structure has been known as another conventional technique relating to the SOI-MISFET, which has been proposed in Patent Document 1, for example. In the above SOI-MISFET, after a source diffusion layer and a drain diffusion layer are formed in an SOI layer according to self-alignment with a dummy gate electrode, formation of a reverse pattern groove of the dummy gate electrode and formation of a buried gate according to ion implantation of impurities from the groove to a supporting substrate are performed sequentially, thereafter, a metal film such as W (tungsten) is selectively buried in the groove region, thereby an upper gate electrode is made. Realization of the double-gate structure is potential means as means for improving SOI-MISFET performance, but in the double-gate MISFET structure based on presently well-known means, it is extremely difficult to form a high-concentration diffusion layer or the like in a buried manner in the supporting substrate without adversely affecting the SOI layer, and thus the double-gate MISFET structure has not reached practical use yet. When difficulty in manufacture is ignored and an essential concept of the double-gate MISFET structure is taken into account, it is a premise that a buried gate and an upper gate are aligned accurately, and it is necessarily required to arrange the buried gate for each individual device. Such a concept of sharing the role of the buried gate electrode by a plurality of MISFETs does not basically exist. Alignment error of the buried gate is fatal in an ultrafine SOI-MISFET and directly leads to variation of parasitic capacitance and variation of drive current. Therefore, even if the parasitic capacitor is effectively utilized for stabilization of a dynamic operation, utilization of the parasitic capacitor for stabilization is also irrealizable unless capacitance variation is suppressed essentially. Further, since the threshold voltage of the double-gate structure SOI-MISFET is determined only by a work function of each material for the upper gate and the buried gate except for a component of SOI layer film thickness, it is substantially impossible to set a threshold voltage value for each desired MISFET. It is a premise that a connection between the buried gate electrode and the upper gate electrode is made outside an MISFET active region, namely, in a device isolation region, and consistency with a consideration of peripheral device layout is essential.
  • Herein, in the above full depletion type SOI-MISFET manufactured by using an SOI substrate with a buried insulating film having a thickness of 50 nm or less, more preferably 10 nm or less, and a thin single crystal semiconductor thin film having a thickness of 20 nm or less, by applying a gate potential to a well diffusion layer just below the SOI-MISFET, a conduction state of the SOI-MISFET is further accelerated due to a high-potential application of a well potential via the thin buried insulating film, which causes significant increase of drive current, namely, achieves high current. When the gate potential is applied as a low potential, the well potential is lowered in a following manner, so that a non-conduction state can be achieved faster. That is, a characteristic of further increasing drive current under the same condition of leakage current can be realized in the above operation mode, so that it becomes possible to perform switching between conduction and non-conduction at a higher speed. Isolation for insulation on sidewalls of a well diffusion layer contributes to reduction of a parasitic capacitance, namely, reduction of a delay time constant of an application signal. Further, the thinner the buried insulating film is, the more effective for improvement of an increase effect of the drive current, and ideally, a film thickness condition equivalent to that of the gate insulating film of the SOI-MISFET is preferable. As described above, by applying the thin buried insulating film to the SOI-MISFET, a characteristic of essential performance improvement of the SOI-MISFET according to the double-gate structure can be utilized. Further, since the well diffusion layer just below the SOI-MISFET is formed in a self-aligned manner under the gate electrode, the problems of drive current variation and parasitic capacitance variation caused by an alignment error of the buried gate electrode, which are problematic in a conventional double-gate MISFET structure, can be essentially removed.
  • As described above, the SOI-type MISFET has such an excellent feature as low power consumption and high speed.
  • Patent Document 1: Japanese Patent Application Laid-Open Publication No. 2000-208770
  • Non-Patent Document 1: T. Mizuno et al. “Performance Fluctuations of 0.10 μm MOSFETs—Limitation of 0.10μ ULSIs”, Symp. On VLSI Technology 1994
  • Non-Patent Document 2: T. Yamada et al. “An Embedded DRAM Technology on SOI/Bulk Hybrid Substrate Formed with SEG Process for High-End SOC Application” Symp. On VLSI Technology 2002
  • DISCLOSURE OF THE INVENTION
  • Meanwhile, the above-said SOI-type MISFET has the following problems. Generally, an MISFET manufactured on an SOI substrate can be used only in a low voltage region, since a source-drain withstand voltage is deteriorated. It is difficult to form a device of a high withstand voltage system, an ESD protection device for preventing ESD breakdown (electrostatic breakdown), and the like on the SOI substrate. Therefore, in a region used at a high withstand voltage, not an SOI substrate but a bulk substrate is used. In this manner, when a bulk silicon substrate and an SOI substrate are used, two substrates are required, so that a board area cannot be made small, and therefore the whole semiconductor device cannot be made small. Moreover, when an SOI-type MISFET and a bulk-type MISFET are tried to be manufactured on the same substrate, selective epitaxial growth technique is required, and it is required to manufacture an SOI-type MISFET and a bulk-type MISFET in different steps, for example as shown in Non-Patent Document 2, which results in such a problem that the process becomes complex.
  • The present invention has been made in view of the above conventional problems, and an object thereof is to provide a semiconductor device the whole of which can be reduced in size even if the semiconductor device is a semiconductor device in which both an SOI-type MISFET used as a lower voltage region and a bulk-type MISFET used as a high voltage region are present, and which can be manufactured without a complicating process, and a method for manufacturing the same.
  • In an example of a typical one of the present inventions, it is premised that an SOI substrate formed of a single crystal semiconductor substrate and a thin single crystal semiconductor thin film (SOI layer) separated from the single crystal semiconductor by a thin buried insulating film is used. Premising an application to an ultrafine full depletion SOIMISFET, where the gate length thereof is 100 nm or less, more preferably 50 nm or less, a thickness of the buried insulating film is 10 nm or less and a thickness of the thin single crystal semiconductor thin film is 20 nm or less, and preferably an SOI substrate having a thickness of about 10 nm is used.
  • More specifically, in a semiconductor device having a sheet of substrate, the substrate includes a first device formation region and a second device formation region. Moreover, in the first device formation region, a first semiconductor substrate portion of a first conductive type, a semiconductor layer formed above the first semiconductor substrate portion via an insulating layer, a first source region and a first drain region of a second conductive type formed in the semiconductor layer, a first channel region formed between the first source region and the first drain region, a first gate insulating film formed on the first channel region, and a first gate electrode formed above the first channel region via the first gate insulating film are formed. Further, in the second device formation region, a second semiconductor substrate portion of the first conductive type, a second source region and a second drain region formed in the second semiconductor substrate portion, a second channel region formed between the second source region and the second drain region, a second gate insulating film formed on the second channel region, and a second gate electrode formed above the second channel region via the second gate insulating film are formed. Moreover, a thickness of the insulating layer is 20 nm or less, and a thickness of the semiconductor layer is 20 nm or less. Herein, the insulating layer corresponds to a thin buried insulating film, the semiconductor layer corresponds to a thin single crystal semiconductor thin film, and a step generated between the first device formation region and the second device formation region is as small as 30 nm or less. Therefore, the first and second source regions, the first and second drain regions, the first and second gate insulating film, and the first and second gate electrodes can be respectively formed at the same step. In other words, it becomes possible to make processes to form the SOI-MOSFET on the first device formation region and the bulk-MOSFET on the second device formation region simultaneously with a common process, without forming them in different steps, respectively.
  • According to the invention according to the above-described means, since the device of a high withstand voltage system and the ESD protection device for preventing ESD breakdown (electrostatic breakdown) are formed as a bulk-MISFET on the same substrate, the board area can be made smaller as compared to a case where an SOI-type MISFET which is excellent in low power consumption and high speed and a bulk-type MISFET are formed on different substrates and are connected with each other. Moreover, steps for manufacturing the SOI-type MISFET and the bulk-type MISFET are made common, so that manufacture of both the devices can be realized without complicating the process.
  • BRIEF DESCRIPTIONS OF THE DRAWINGS
  • FIG. 1 is a sectional view of a completed MISFET according to a first embodiment of the present invention;
  • FIG. 2 is a plan view showing a semiconductor device according to the first embodiment of the present invention;
  • FIG. 3 is a diagram for describing a manufacturing step of the MISFET according to the first embodiment;
  • FIG. 4 is a diagram for describing a manufacturing step of the MISFET according to the first embodiment;
  • FIG. 5 is a diagram for describing a manufacturing step of the MISFET according to the first embodiment;
  • FIG. 6 is a diagram for describing a manufacturing step of the MISFET according to the first embodiment;
  • FIG. 7 is a diagram for describing a manufacturing step of the MISFET according to the first embodiment;
  • FIG. 8 is a diagram for describing a manufacturing step of the MISFET according to the first embodiment;
  • FIG. 9 is a diagram for describing a manufacturing step of the MISFET according to the first embodiment;
  • FIG. 10 is a diagram for describing a manufacturing step of the MISFET according to the first embodiment;
  • FIG. 11 is a diagram for describing a manufacturing step of the MISFET according to the first embodiment;
  • FIG. 12 is a diagram for describing a manufacturing step of the MISFET according to the first embodiment;
  • FIG. 13 is a diagram for describing a manufacturing step of the MISFET according to the first embodiment;
  • FIG. 14 is a diagram for describing a manufacturing step of the MISFET according to the first embodiment;
  • FIG. 15 is a diagram for describing a manufacturing step of the MISFET according to the first embodiment;
  • FIG. 16 is a diagram for describing a manufacturing step of the MISFET according to the first embodiment;
  • FIG. 17 is a diagram for describing a manufacturing step of the MISFET according to the first embodiment;
  • FIG. 18 is a diagram for describing a manufacturing step of the MISFET according to the first embodiment;
  • FIG. 19 is a diagram for describing a manufacturing step of the MISFET according to the first embodiment;
  • FIG. 20 is a diagram for describing a manufacturing step of the MISFET according to the first embodiment;
  • FIG. 21 is a diagram for describing a manufacturing step of the MISFET according to the first embodiment;
  • FIG. 22 is a diagram for describing a manufacturing step of the MISFET according to the first embodiment;
  • FIG. 23 is a diagram for describing a manufacturing step of the MISFET according to the first embodiment;
  • FIG. 24 is a diagram for describing a manufacturing step of the MISFET according to the first embodiment;
  • FIG. 25 is a diagram for describing a manufacturing step of the MISFET according to the first embodiment;
  • FIG. 26 is a layout diagram of a semiconductor device according to a second embodiment of the present invention;
  • FIG. 27 is a sectional view of a completed MISFET according to a third embodiment of the present invention;
  • FIG. 28 is a sectional view of a completed MISFET according to a fourth embodiment of the present invention;
  • FIG. 29 is a sectional view of a completed MISFET according to a fifth embodiment of the present invention; and
  • FIG. 30 is a diagram for describing a manufacturing step of a MISFET according to the fifth embodiment.
  • BEST MODE FOR CARRYING OUT THE INVENTION
  • In the following embodiments, explanation will be given separately in a plurality of sections or embodiments when needed for the sake of convenience. However, unless otherwise stated, they are not irrelevant to each other, but are in the relation that one of them is a modification example, detail, supplemental explanation and so on of a part or the entirety of the other part.
  • Moreover, in the following embodiments, when the numbers of elements and the like (including the number of the items, numerical value, amount, range, etc.) are mentioned, they are not limited to the particular numbers unless otherwise stated and it is obviously limited to particular numbers in principle. The number larger or smaller than the specified number is also applicable.
  • Further, in the following embodiments, components (including composing steps) are not always indispensable unless particularly stated and apparently indispensable in principle.
  • Similarly, in the following embodiments, shapes and positions of components include substantially approximate or similar ones unless otherwise stated and deemed to be apparently against the principle.
  • Note that components having the same function are denoted by the same reference symbols throughout the drawings for describing the embodiment, and the repetitive description thereof will be omitted.
  • Hereinafter, embodiments of the present invention will be described in detail with reference to the accompanying drawings. Materials, conductive types, and manufacturing conditions of the respective parts are not limited to the description of the embodiments and it is needless to say that various modifications can be made respectively.
  • First Embodiment
  • FIG. 1 is a sectional view showing a completed MISFET according to a first embodiment of the present invention. Manufacturing steps thereof will be described with reference to FIG. 2 and subsequent figures. For convenience of description, description will be made with conductive types of a semiconductor substrate and a semiconductor film being fixed, but the combination of conductive types may be arbitrary, so the conductive type is not limited to the ones described in the present embodiment. A first semiconductor substrate is made by a semiconductor substrate 1 made of single crystal Si having a plane orientation of (100), P conductive type, a resistivity of 10 ohm·cm and a diameter of 20 cm, a main surface thereof has been subjected to mirror polishing, and a thermal oxide film 4 made of silicon having a thickness of 10 nm is formed thereon. Hydrogen ion implantation is performed to the first semiconductor substrate based on a well-known method of manufacturing an ultra-thin film SOI substrate. The implantation dose was 5×1016/cm2. As a result of the ion implantation, a crystal defect layer 3 is formed at a depth of about 40 nm from the main surface of single crystal Si substrate. From this state, after hydrophilic treatment is applied to each of second semiconductor substrates having the same specification of the first semiconductor substrate without a silicon oxide film on its surface, the main surfaces of both the substrates are adhered with each other at room temperature. Next, although the two Si substrates adhered with each other are heated to 500° C., formation of micro-holes and enlargement thereof occur in a crystal defective layer due to the heat treatment, so that the single crystal Si substrate is delaminated at a crystal defective layer portion. And, a thermal silicon oxide film 2 having a thickness of 10 nm is adhered with the supporting substrate 1 and a single-crystal Si thin film 3 having a thickness of about 20 nm is adhered thereon. In this state, by performing a high temperature heat treatment of 1100° C., adhesive strength between a thermal silicon oxide film 4 and the supporting substrate 1 is dramatically improved, where adhesive strength equivalent to an ordinal single crystal substrate is obtained. From this state, a surface of the single crystal Si thin film 3, namely, delamination surface is subjected to mirror polishing by a surface polishing method which does not contain abrasive grain, and a thin buried gate insulating film 4 is sequentially formed at a lower portion of the single crystal Si thin film 3 on the supporting substrate 1, so that an SOI substrate is manufactured. The above-described SOI substrate is not necessary to be manufactured according to the above method, and there is no problem if it is according to purchase of a commercially-available substrate with a similar specification. At that time, after forming a silicon oxide film on the SOI substrate, a Si layer is thinned to a desired single crystal Si layer by removing the silicon oxide film and used.
  • On the above SOI substrate, a silicon oxide film 36 is formed having a thickness of 10 nm, a resist mask 35 is applied thereon thereafter, and the resist mask is removed only in a region 200 where a bulk-type MISFET should be formed (FIG. 4). In the state in FIG. 4, the silicon oxide film 36, the single crystal Si layer 3, and the thin buried insulating film 4 are removed to expose a surface of the supporting substrate.
  • Thereafter, the resist mask 35 is removed (FIG. 5). According to the above steps, regions 100 and 200 in which the SOI-type MISFET and the bulk-type MISFET should be formed are formed, respectively. Steps subsequent to the above steps will be described by respectively illustrating the formation region 100 for the SOI-type MISFET and the formation region 200 for the bulk-type MISFET separately for convenience of description.
  • From the state of FIG. 5, a silicon oxide film 36 and a silicon nitride film 37 are formed (FIG. 6). The silicon nitride film formed here is used as a polishing stopper during chemical-mechanical polishing in a later formation of a shallow trench device isolation. Note that, one point according to the present invention lies in that the film thickness of the silicon nitride film is made larger than the thickness obtained by adding the film thickness of the single crystal Si thin film 3 and the thickness of the thermal silicon oxide film 4 in the formation region 100 of the SOI-type MISFET. In other words, the film thickness of the silicon nitride film is made larger than a step between the surface of the single crystal Si thin film 3 in the formation region 100 of the SOI-type MISFET and the surface of the semiconductor substrate 1 in the formation region 200 of the bulk-type MISFET. An operational advantage relating to this feature will be described later. Next, after the resist mask 35 is applied, only the resist mask in a desired region is removed (FIG. 7). Thereafter, the silicon nitride film 37 and the silicon oxide film 36 in a desired region and the single crystal Si layer 3 are removed (FIG. 8). Note that, a resist mask between devices configuring a pair of complementary MISFETs is removed after such patterning that the devices are disposed on the same well diffusion layer. From the state of FIG. 8, after the resist mask 35 is applied, the resist mask in a desired region is removed (FIG. 9). Next, the buried oxide film 4 in a desired region and the Si layer of the supporting substrate 1 are removed by 200 nm to form a region for forming a contact between a gate and a well on a device isolation region serving as an STI (Shallow Trench Isolation) and the SOI-type MISFET formation region (FIG. 10).
  • From the state of FIG. 10, formation of a thin thermal oxide film in an exposed Si region and an overall deposition of a thick silicon oxide film 39 having a film thickness sufficient to bury a patterning region are performed according to a well-known method for forming a device isolation insulating film (FIG. 11). Subsequently, a thick silicon oxide film exposed by selectively removing a silicon nitride film positioned on a region where the silicon nitride film has been selectively left by the deposition of the silicon nitride film and the previous patterning and a silicon nitride film positioned from the region up to a certain distance is removed by chemical-mechanical polishing. Terminal points of the polishing are the silicon nitride film deposited previously and the silicon nitride film 37 left on the pattern. Subsequently, the silicon nitride film 37 and the like are selectively removed by hot phosphoric acid (FIG. 12). At this step, the above-said point relating to the film thickness of the silicon nitride film 37 functions effectively. That is, when the film thickness of the silicon nitride film 37 is set to be equal to or smaller than a step between the surface of the single crystal Si thin film 3 in the formation region 100 of the SOI-type MISFET and the surface of the semiconductor substrate 1 in the formation region 200 of the bulk-type MISFET, there occurs such a defect that even the surface of the single crystal Si thin film 3 in the formation region 100 of the SOI-type MISFET is ground by the chemical-mechanical polishing when the chemical-mechanical polishing is terminated by taking the silicon nitride film 37 in the formation region 200 of the bulk-type MISFET as a terminal point of the polishing. On the other hand, there occurs such a defect that formation of the bulk-type MISFET to the surface of the semiconductor substrate 1 in the formation region 200 of the bulk-type MISFET cannot be performed due to that the surface of the silicon nitride film 37 in the formation region 200 of the bulk-type MISFET is not exposed and cannot be removed when the chemical-mechanical polishing is terminated by taking the silicon nitride film 37 in the formation region 100 of the SOI-type MISFET as a termination point of the polishing. In the present invention, by making the thickness of the silicon nitride film larger than the step between the surface of the single crystal Si thin film 3 in the formation region 100 of the SOI-type MISFET and the surface of the semiconductor substrate 1 in the formation region 200 of the bulk-type MISFET, these defects can be eliminated.
  • In FIG. 12, an N-conductive type well diffusion layer 6 is selectively formed in a desired region of the supporting substrate 1 by ion implantation via the thin silicon oxide film 36, the oxide film thin single crystal Si film 3, and the thin buried insulating film 4 as for the SOI-type MISFET formation region, and by ion implantation via the thin silicon oxide film 36 as for the bulk-type MISFET formation region. Subsequently, similarly, a P-conductive type well diffusion layer 7 is selectively formed in a desired region of the supporting substrate 1 by ion implantation via the thin silicon oxide film 36, the oxide film thin single crystal Si film 3, and the thin buried insulating film 4 as for the SOI-type MISFET formation region, and by ion implantation via the thin silicon oxide film 36 as for the bulk-type MISFET formation region. Herein, the single crystal Si film and the buried oxide film layer are respectively thin layers, and the step between the SOI-type MISFET formation region and the bulk-type MISFET formation region is as small as about 30 nm. On the other hand, a depth condition for implanting impurity ions for forming the N-conductive type well diffusion layer and the P-conductive type well diffusion layer is generally 500 nm or more, which is enough deep as compared to the step. Therefore, an ion implantation condition for well diffusion layer formation can be made common in regions of the SOI-type MISFET and the bulk-type MISFET, so that the well diffusion layer regions 6 and 7 for the SOI-type MISFET and the bulk-type MISFET can be formed by the same step (FIG. 13).
  • Subsequently, diffusion layer regions for threshold voltage control 25 and 26 in the N-type and P-type SOI-type MISFET formation regions are formed by ion implantation via the thin silicon oxide film 36, the thin single crystal Si film 3, and the thin buried insulating film 4. Thereafter, by ion implantation in the N-type and P-type bulk-type MISFET formation regions via the thin silicon oxide film 36, the N-conductive type and P-conductive type diffusion layer regions for threshold voltage control 27 and 28 are selectively formed in a desired region of the supporting substrate 1 (FIG. 14).
  • Subsequently, after the silicon oxide film 36 and the like are selectively removed by hydrofluoric acid cleaning and the like to expose the surface of the single crystal Si thin film 3, a thermal oxide film with a thickness of 1.8 nm is formed and a nitride film with a thickness of 0.2 nm is formed by deposition on the main surface by nitriding a surface of the thermal oxide film by NO gas, so that a gate insulating film 5 is formed. Subsequently, a polycrystalline Si film 38 having a thickness of, for example, 100 nm is deposited on the gate insulating film 5 by chemical vapor deposition method. Next, after a gate protective film mainly formed of the silicon nitride film 37 is deposited on the entire of the polycrystalline Si film 38, formation of a gate electrode and a gate protective film is performed by patterning according to a conventionally well-known method for manufacturing an MISFET (FIG. 15). A feature of the present invention is that a focus depth of photolithography in formation of the gate electrode by patterning the polycrystalline Silicon film serving as a gate material film is adjusted to be focused on the formation region 100 side of the SOI-type MISFET. Since there is a step between the surface of the single crystal Si thin film 3 in the formation region 100 of the SOI-type MISFET and the surface of the semiconductor substrate 1 in the formation region 200 of the bulk-type MISFET, when patterning of the gate electrode is performed by adjusting the focus depth to one region of the above regions, processing of the gate electrode in the other region cannot be made to form a shape as designed. Generally, however, since a high-withstand-voltage MISFET in which the dimension of a gate electrode is larger than that of the SOI-type MISFET is formed in the formation region of the bulk-type MISFET, influence on the bulk-type MISFET from shape variation of the gate electrode can be smaller in view of characteristics of MISFETs to be completed. In the present embodiment, an impurity for achieving low resistance is not introduced into any gate electrode of the N-conductive type IGFET and a P-conductive type IGFET.
  • Subsequently, from FIG. 15, for example. As ion is implanted to the SOI-type and bulk-type N-conductive type MISFET regions and BF2 ion is implanted to the SOI-type and bulk-type P-conductive type MISFET regions by acceleration energies of 1 keV and 600 eV, respectively, under a condition of implantation dose of 4×1015/cm2, so that an ultra-shallow N-conductive type high-concentration source diffusion layer 8, an ultra-shallow N-conductive type high-concentration drain diffusion layer 9, an ultra-shallow P-conductive type high-concentration source diffusion layer 10, and an ultra-shallow P-conductive type high-concentration drain diffusion layer 11 are formed in the main surface region of the single crystal Si film 3 (FIG. 16). Herein, as described above, since the step between the SOI-type MISFET formation region and the bulk-type MISFET formation region is as small as about 30 nm, ion implantation conditions for formation of the ultra-shallow high-concentration source diffusion layer region and an ion implantation condition for formation of the drain diffusion layer region in the SOI-type MISFET region and the bulk-type MISFET region can be made common, so that the ultra-shallow high-concentration source diffusion layer region and the drain diffusion layer region for the SOI-type MISFET and the bulk-type MISFET can be formed in the same step.
  • Subsequently, in FIG. 16, for example, B (boron) ion is implanted to the bulk-type N-conductive type MISFET region 200 by an acceleration energy of 10 keV under the condition of an implantation dose of 1×1013/cm2, and, for example, P (phosphorus) ion is implanted to the P-conductive type MISFET region by an acceleration energy of 10 keV under the condition of an implantation dose of 1×1013/cm2 while a gate protective insulating film 51 is used as an implantation blocking mask, thereby forming halo regions 50 and 51, respectively (FIG. 17). This is an ion implantation step performed to suppress the short-channel effect of the bulk-type MISFET. Herein, in order to enhance this suppression effect of the short-channel effect, there is no problem in adopting oblique ion implantation of a tilt angle of, for example, 20° as a halo formation condition.
  • In FIG. 17, a silicon oxide film with a film thickness of, for example, 70 nm is deposited overall and is subjected to anisotropic etching to be selectively left on a sidewall portion of the gate electrode to form a gate sidewall insulating film 12 (FIG. 18). Subsequently, in FIG. 18, for example, As ion is implanted to the SOI-type and bulk-type N-conductive type MISFET regions, and BF2 ion is implanted to the SOI-type and bulk-type P-conductive type MISFET regions by acceleration energies of, for example, 25 keV and 15 eV, respectively, under the condition of an implantation dose of 4×1015/cm2 while the gate electrode and the gate sidewall insulating film 12 are used as implantation blocking masks, so that N-type source diffusion layer and drain diffusion layer regions 13 and 14, and P-type source diffusion layer and drain diffusion layer regions 15 and 16 are formed which are further deeper than the ultra-shallow high-concentration source diffusion layer the drain diffusion layer regions, respectively (FIG. 19). Herein, the deep source diffusion layer and drain diffusion layer regions are formed in the SOI-type MISFET regions for the purpose of capacitance reduction of the source and drain diffusion layer regions. These are formed by a manufacturing method similar to a conventionally well-known method for reducing a junction capacitance of the bulk-type MISFET. That is, the purpose is to form impurity compensation region for making close to an intrinsic impurity region by implanting an opposite conductive type ion with a concentration and an acceleration energy which should compensate for the implantation ion for threshold voltage adjustment previously implanted by ion implantation taking an implantation blocking mask. On the other hand, the bulk-type MISFET is formed for a purpose of forming low-resistance source and drain diffusion layer regions according to a similar process to source and drain diffusion layer regions of a conventionally well-known bulk-type MISFET. As described above, according to the present invention, an ion implantation step for parasitic capacitance reduction of the source and drain diffusion layer regions of the SOI-type MISFET region and an ion implantation step for achieving low resistance of the source and drain diffusion layer regions of the bulk-type MISFET region can be formed at a common step under the same condition. Consequently, the process can be simplified.
  • Next, from FIG. 19, in the SOI-type MISFET, the resist mask 35 is applied in order to form a region for forming a contact between the gate and well, and only the resist mask in a desired region of the SOI-type MISFET is removed (FIG. 20). Thereafter, the silicon nitride film 37, the polycrystalline Silicon film 38, the thin silicon oxide film 36, and the buried oxide film 4 in the desired region are removed (FIG. 21).
  • In this state, Si films 52 and 53 having a thickness of, for example, 60 nm are selectively deposited on the single crystal Si regions exposed by using selective epitaxial method. By this step, single crystal Si is selectively grown epitaxially to the 52 on the source and drain diffusion layer regions, and, in the SOI-type MISFET, to the 53 on the contact formation region of the gate and well (FIG. 22). In the present invention, the formation step of the contact between the gate electrode and the well is performed by selective epitaxial growth simultaneously with the formation step of the stacked Si film on the source and drain diffusion layers. Accordingly, it is unnecessary to perform the formation step of the contact as a step independent from the other steps, thereby simplifying the process. Note that, in order to connect the gate electrode and the well electrically, the single crystal Si is required to be subjected to selective epitaxial growth until an upper surface of the Si film 53 becomes higher than a lower surface of the gate electrode.
  • From the state in FIG. 22, the silicon nitride film 37 is selectively removed by hot phosphoric acid (FIG. 23) to expose the silicon gate polycrystalline Si film 38, and then a Ni (nickel) film of 30 nm thick is then deposited on the entire surface by sputtering, the whole region of the gate electrode exposed and at least an upper region of N-conductive type and P-conductive type high-concentration stacked regions are selectively silicided by thermal treatment at 450° C. to make a silicide gate electrode, a silicide metal source, and a drain region 20. In the above silicidation treatment, the silicon gate electrode where the impurity has not yet been added is transformed into a nickel silicide film up to a region contacting with the gate insulating film to have lower resistance. Not all the stacked Si film on the source and drain diffusion layers is transformed, a low-resistive polycrystalline Si film is left in a bottom surface region, the ultra-shallow N-conductive type source and drain diffusion layers 8 and 9 and the shallow P-conductive type source and drain diffusion layers 10 and 11 in the thin single crystal Si are reserved. After the silicidation treatment, only the unreacted Ni film on the insulating film is removed selectively by an etching solution by means of a mixed solution of hydrochloric acid and hydrogen peroxide solution (FIG. 24).
  • From this state, deposition and planarization polishing of a wiring interlayer insulating film, a wiring step including an wiring interlayer insulating film 31 and the like are performed, and a semiconductor device is manufactured through further second wiring step (FIG. 25).
  • In the semiconductor device according to the present embodiment, the gate electrode 20 is made of a metal silicide film. In this manner, in the semiconductor device according to the present embodiment, despite it is a full depletion type SOIIGFET, a threshold voltage value can be set to about 0 V in both the N-conductive type MISFET and the P-conductive type MISFET. Further, in spite of the fact that the single crystal Si thin film 3 constituting a channel is finally made as ultra-thin as 10 nm, since the source and drain regions are configured as a stacked structure and further a major part of the stacked structure can be made of the metal silicide film 20, such a problem of increase of contact resistance between the semiconductor and the metal silicide film and increase of serial resistance is solved. Moreover, in the semiconductor device according to the present embodiment, the ion implantation step for reducing parasitic capacitance of the source and drain diffusion layer regions of the SOI-type MISFET region and the ion implantation step for achieving lower resistance of the source and drain diffusion layer regions of the bulk-type MISFET region are formed in a common step under the same condition, so that high drive current of the SOI-type MISFET can be realized and reduction of a bottom parasitic capacitance of the well diffusion layers 6 and 7 can be realized simultaneously. In this manner, as compared to the well structure in a case where ion implantation for capacitance reduction is not performed, the parasitic capacitance can be reduced by about 1 digit even in the same occupied area configuration of well. Further, in the semiconductor device according to the present embodiment, since the gate electrode which is a lowermost layer wiring can be directly connected with the well diffusion layer, it is possible to set the connection region regardless of the upper wirings. In this manner, since the connection made by the upper wiring in a margin region taking into account the layout of the lower-layer wiring as having been done in a conventionally well-known structure is not required, high current and high drive performance of the semiconductor device can be realized without increase of the occupied area. The feature of the embodiment that increase of the occupied area is not required gains an effect also on further reduction of the parasitic capacitance. Therefore, since there is a wide variety of circuits to which the semiconductor device according to the present embodiment is applicable, it is most effective to apply the semiconductor device to a memory cell of an SRAM, an I/O buffer circuit as described below, and further a drive region of a critical path which defines an operation speed of an integrated circuit and the like.
  • In the semiconductor device according to the present embodiment, even in a case where these high-performance SOI type MISFET 100 and a high-withstand-voltage system device, and the bulk-type MISFET 200 for ESD protection for preventing ESD breakdown (electrostatic breakdown) are formed on the same substrate, by making manufacturing steps of the SOI-type MISFET and the bulk-type MISFET common, the whole semiconductor device can be scaled down and further the semiconductor can be manufactured without complicating the process. Further, in the semiconductor device according to the present embodiment, it is preferable to make the thin buried insulating film 4 as thin as possible within a range of a film thickness allowing to ignore leakage current and it is preferable to make the thin buried insulating film 4 have a film thickness of 10 nm or less, more preferably, about 2 nm which is similar to the gate insulating film 5.
  • In the semiconductor device according to the present embodiment, the gate insulating material is not limited to an Ni silicide film, and any material can be used as long as it has a work function positioned nearly in the center of the forbidden band of the single crystal Si thin film among a metal, a metal silicide film, or a metal nitride film of such as Ni, Co, Ti, W, Ta, Mo, Cr, Al, Pt, Pa and Ru.
  • Second Embodiment
  • Next, a semiconductor device according to a second embodiment of the present invention will be described. In the present embodiment, though the semiconductor device is manufactured according to the first embodiment, it has a different layout the second embodiment and has been invented to be capable of forming a bulk-type MISFET more stably. In the present embodiment, the layout at the step of removing the resist mask is different only in the region 200 in FIG. 4 in which the bulk-type MISFET is formed. In the first embodiment, the silicon oxide film 36, the single crystal Si layer 3 and the thin buried insulating film 4 are removed except for the region 200 in which the bulk-type MISFET is formed to expose the supporting substrate surface. On the other hand, in the second embodiment, as shown in the plan view of FIG. 26, a dummy pattern 60 is provided around the region 200 in which the bulk-type MISFET is formed, and the silicon oxide film 36, the single crystal Si layer 3 and the thin buried insulating film 4 around the region 200 in which the bulk-type MISFET is formed are left. Incidentally, the dummy pattern 60 is formed by the resist mask 35 applied in the step of FIG. 4 of the first embodiment.
  • The dummy pattern 60 formed in the present embodiment is a pattern provided for suppressing dishing generated in the chemical-mechanical polishing step for forming the device isolation region in the step of FIG. 12 of the first embodiment. Generally, in chemical-mechanical polishing, when steps are scattered in a region to be polished, there occurs the problem of such dishing that, for example, a low-step region is selectively polished. In a case of the present invention, since the bulk-type MISFET is formed in the low step region, there is a possibility that the bulk-type MISFET region 200 is selectively polished when the chemical-mechanical polishing step for forming the device isolation region of FIG. 12 of the first embodiment is performed. The larger bulk-type MISFET region 200 becomes than the SOI-type MISFET region 100, the higher possibility becomes. Accordingly, in the second embodiment, the dummy pattern 60 is formed, and the silicon oxide film 36, the single crystal Si layer 3 and the thin buried insulating film 4 around the region 200 in which the bulk-type MISFET is formed are left, so that only the region 200 in which the bulk-type MISFET is formed becomes the low step region, and the periphery thereof becomes a high step region. This manner allows suppression of the dishing in the bulk-type MISFET region 200 when the chemical-mechanical polishing step for forming the device isolation region is performed, so that the bulk-type MISFET region can be formed more stably.
  • Further, in the semiconductor device according to the present embodiment, the gate electrode 20 is made of a metal silicide film. Therefore, in the semiconductor device according to the present embodiment, the threshold voltage value can be set to about 0 V in both the N-conductive type MISFET and the P-conductive type MISFET despite the full depletion type SOIIGFET. Further, in spite of the fact that the single crystal Si thin film 3 constituting the channel is finally formed to be as ultra-thin as 10 nm, since the source and drain regions are configured as a stacked structure, and further, most of the stacked structure can be made of a metal silicide film, such a problem as increase of contact resistance between the semiconductor and the metal silicide film and increase of serial resistance can be eliminated. Moreover, in the semiconductor device according to the present embodiment, by performing the ion implantation step for parasitic capacitance reduction of the source and drain diffusion layer regions of the SOI-type MISFET region and the ion implantation step for achieving lower resistance of the source and drain diffusion layer regions of the bulk-type MISFET region in a common step under the same condition, high drive current of the SOI-type MISFET can be realized and simultaneously reduction of bottom parasitic capacitance of the well diffusion layers 6 and 7 can be realized. In this manner, as compared to the well structure in a case where ion implantation for capacitance reduction is not performed, the parasitic capacitance can be reduced by about 1 digit even in the same occupied area configuration of well. Further, in the semiconductor device according to the present embodiment, since the gate electrode which is a lowermost layer wiring can be directly connected with the well diffusion layer, it is possible to set the connection region regardless of the upper wirings. In this manner, since the connection made by the upper wiring in a margin region taking into account the layout of the lower-layer wiring as having been done in a conventionally well-known structure is not required, high current and high drive performance of the semiconductor device can be realized without increase of the occupied area. The feature of the embodiment that increase of the occupied area is not required gains an effect also on further reduction of the parasitic capacitance. Therefore, since there is a wide variety of circuits to which the semiconductor device according to the present embodiment is applicable, it is most effective to apply the semiconductor device to a memory cell of an SRAM, an I/O buffer circuit as described below, and further a drive region of a critical path which defines an operation speed of an integrated circuit and the like.
  • 045 In the semiconductor device according to the present embodiment, even in a case where these high-performance SOI type MISFET 100 and a high-withstand-voltage system device, and the bulk-type MISFET 200 for ESD protection for preventing ESD breakdown (electrostatic breakdown) are formed on the same substrate, by making manufacturing steps of the SOI-type MISFET and the bulk-type MISFET common, the whole semiconductor device can be scaled down and further the semiconductor can be manufactured without complicating the process. Further, in the semiconductor device according to the present embodiment, it is preferable to make the thin buried insulating film 4 as thin as possible within a range of a film thickness allowing to ignore leakage current and it is preferable to make the thin buried insulating film 4 have a film thickness of 10 nm or less, more preferably, about 2 nm which is similar to the gate insulating film 5.
    046 In the semiconductor device according to the present embodiment, the gate insulating material is not limited to an Ni silicide film, and any material can be used as long as it has a work function positioned nearly in the center of the forbidden band of the single crystal Si thin film among a metal, a metal silicide film, or a metal nitride film of such as Ni, Co, Ti, W, Ta, Mo, Cr, Al, Pt, Pa and Ru.
  • Third Embodiment
  • FIG. 27 is a sectional view of a semiconductor device showing a third embodiment according to the present invention. In the present embodiment, though the semiconductor device is manufactured basically in a similar manner as the abovedescribed embodiments, in the third embodiment, prior to formations of the ultra-shallow N-conductive type high-concentration source diffusion layer 8 and the ultra-shallow N-conductive type high-concentration drain diffusion layer 9, and the ultra-shallow P-conductive type high-concentration source diffusion layer 10 and the ultra-shallow P-conductive type high-concentration drain diffusion layer 11, formation of an offset spacer 17 are performed on sidewalls of the gate electrode. The offset spacer 17 is formed on the sidewalls of the gate electrode by depositing, for example, a silicon oxide film, a silicon nitride, a titanium oxide film, or the like by about 10 nm by CVD method and etching back the insulating film. The ultra-shallow high-concentration source and drain diffusion layers are formed by ion implantation utilizing the gate electrode and the thin insulating layer for implantation blocking.
  • In the present embodiment, since the ultra-shallow N-conductive type high-concentration source diffusion layer 8, the ultra-shallow N-conductive type high concentration drain diffusion layer 9, and the ultra-shallow P-conductive type high concentration source diffusion layer 10 and the ultra-shallow P-conductive type high concentration drain diffusion layer 11 are formed while the offset spacer 17 is used as a mask, horizontal spread of the diffusion layer regions toward the channel region 3 can be suppressed, and so an overlapping region of the gate electrode 20 and the ultra-shallow high-concentration source and drain diffusion layers is small, so that an effective channel length can be secured. This allows the MISFET to be further miniaturized as compared to the first embodiment. Further, since an overlapping capacitor between the gate electrode 20 and the ultra-shallow high concentration source and drain diffusion layers can be kept small, the parasitic capacitance is reduced, so that higher speed of the MISFET can be achieved as compared to the first embodiment.
  • Further, in the semiconductor device according to the present embodiment, the gate electrode 20 is made of a metal silicide film. In this manner, in the semiconductor device according to the present embodiment, despite it is a full depletion type SOIIGFET, a threshold voltage value can be set to about 0 V in both the N-conductive type MISFET and the P-conductive type MISFET. Further, in spite of the fact that the single crystal Si thin film 3 constituting a channel is finally made as ultra-thin as 10 nm, since the source and drain regions are configured as a stacked structure and further a major part of the stacked structure can be made of the metal silicide film 20, such a problem of increase of contact resistance between the semiconductor and the metal silicide film and increase of serial resistance is solved. Moreover, in the semiconductor device according to the present embodiment, the ion implantation step for reducing parasitic capacitance of the source and drain diffusion layer regions of the SOI-type MISFET region and the ion implantation step for achieving lower resistance of the source and drain diffusion layer regions of the bulk-type MISFET region are formed in a common step under the same condition, so that high drive current of the SOI-type MISFET can be realized and reduction of a bottom parasitic capacitance of the well diffusion layers 6 and 7 can be realized simultaneously. In this manner, as compared to the well structure in a case where ion implantation for capacitance reduction is not performed, the parasitic capacitance can be reduced by about 1 digit even in the same occupied area configuration of well. Further, in the semiconductor device according to the present embodiment, since the gate electrode which is a lowermost layer wiring can be directly connected with the well diffusion layer, it is possible to set the connection region regardless of the upper wirings. In this manner, since the connection made by the upper wiring in a margin region taking into account the layout of the lower-layer wiring as having been done in a conventionally well-known structure is not required, high current and high drive performance of the semiconductor device can be realized without increase of the occupied area. The feature of the embodiment that increase of the occupied area is not required gains an effect also on further reduction of the parasitic capacitance. Therefore, since there is a wide variety of circuits to which the semiconductor device according to the present embodiment is applicable, it is most effective to apply the semiconductor device to a memory cell of an SRAM, an I/O buffer circuit as described below, and further a drive region of a critical path which defines an operation speed of an integrated circuit and the like.
  • In the semiconductor device according to the present embodiment, even in a case where these high-performance SOI type MISFET 100 and a high-withstand-voltage system device, and the bulk-type MISFET 200 for ESD protection for preventing ESD breakdown (electrostatic breakdown) are formed on the same substrate, by making manufacturing steps of the SOI-type MISFET and the bulk-type MISFET common, the whole semiconductor device can be scaled down and further the semiconductor can be manufactured without complicating the process. Further, in the semiconductor device according to the present embodiment, it is preferable to make the thin buried insulating film 4 as thin as possible within a range of a film thickness allowing to ignore leakage current and it is preferable to make the thin buried insulating film 4 have a film thickness of 10 nm or less, more preferably, about 2 nm which is similar to the gate insulating film 5.
  • In the semiconductor device according to the present embodiment, the gate insulating material is not limited to an Ni silicide film, and any material can be used as long as it has a work function positioned nearly in the center of the forbidden band of the single crystal Si thin film among a metal, a metal silicide film, or a metal nitride film of such as Ni, Co, Ti, W, Ta, Mo, Cr, Al, Pt, Pa and Ru.
  • Fourth Embodiment
  • FIG. 28 is a sectional view of a semiconductor device showing a fourth embodiment according to the present invention. In the present embodiment, though the semiconductor device is manufactured basically in a similar manner as the abovedescribed embodiments, in the fourth embodiment, the bulk-type MISFET is formed without forming the halo regions 50 and 51. The halo regions 50 and 51 are formed for suppressing the short-channel effect when a MISFET is miniaturized. When a miniaturized MISFET is not formed, a bulk-type MISFET can be formed in the bulk-type region 200 without forming the halo regions 50 and 51 according to the fourth embodiment. This manner allows the SOI-type MISFET and the bulk-type MISFET to be formed on the same substrate while further simplifying the process.
  • Further, in the semiconductor device according to the present embodiment, the gate electrode 20 is made of a metal silicide film. In this manner, in the semiconductor device according to the present embodiment, despite it is a full depletion type SOIIGFET, a threshold voltage value can be set to about 0 V in both the N-conductive type MISFET and the P-conductive type MISFET. Further, in spite of the fact that the single crystal Si thin film 3 constituting a channel is finally made as ultra-thin as 10 nm, since the source and drain regions are configured as a stacked structure and further a major part of the stacked structure can be made of the metal silicide film 20, such a problem of increase of contact resistance between the semiconductor and the metal silicide film and increase of serial resistance is solved. Moreover, in the semiconductor device according to the present embodiment, the ion implantation step for reducing parasitic capacitance of the source and drain diffusion layer regions of the SOI-type MISFET region and the ion implantation step for achieving lower resistance of the source and drain diffusion layer regions of the bulk-type MISFET region are formed in a common step under the same condition, so that high drive current of the SOI-type MISFET can be realized and reduction of a bottom parasitic capacitance of the well diffusion layers 6 and 7 can be realized simultaneously. In this manner, as compared to the well structure in a case where ion implantation for capacitance reduction is not performed, the parasitic capacitance can be reduced by about 1 digit even in the same occupied area configuration of well. Further, in the semiconductor device according to the present embodiment, since the gate electrode which is a lowermost layer wiring can be directly connected with the well diffusion layer, it is possible to set the connection region regardless of the upper wirings. In this manner, since the connection made by the upper wiring in a margin region taking into account the layout of the lower-layer wiring as having been done in a conventionally well-known structure is not required, high current and high drive performance of the semiconductor device can be realized without increase of the occupied area. The feature of the embodiment that increase of the occupied area is not required gains an effect also on further reduction of the parasitic capacitance. Therefore, since there is a wide variety of circuits to which the semiconductor device according to the present embodiment is applicable, it is most effective to apply the semiconductor device to a memory cell of an SRAM, an I/O buffer circuit, and further a drive region of a critical path which defines an operation speed of an integrated circuit and the like.
  • In the semiconductor device according to the present embodiment, even in a case where these high-performance SOI type MISFET 100 and a high-withstand-voltage system device, and the bulk-type MISFET 200 for ESD protection for preventing ESD breakdown (electrostatic breakdown) are formed on the same substrate, by making manufacturing steps of the SOI-type MISFET and the bulk-type MISFET common, the whole semiconductor device can be scaled down and further the semiconductor can be manufactured without complicating the process. Further, in the semiconductor device according to the present embodiment, it is preferable to make the thin buried insulating film 4 as thin as possible within a range of a film thickness allowing to ignore leakage current and it is preferable to make the thin buried insulating film 4 have a film thickness of 10 nm or less, more preferably, about 2 nm which is similar to the gate insulating film 5.
  • In the semiconductor device according to the present embodiment, the gate insulating material is not limited to an Ni silicide film, and any material can be used as long as it has a work function positioned nearly in the center of the forbidden band of the single crystal Si thin film among a metal, a metal silicide film, or a metal nitride film of such as Ni, Co, Ti, W, Ta, Mo, Cr, Al, Pt, Pa and Ru.
  • Fifth Embodiment
  • FIG. 29 is a sectional view of a semiconductor device showing a fifth embodiment according to the present invention. In the present embodiment, though the semiconductor device is manufactured basically in a similar manner as the abovedescribed embodiments, a feature thereof is that the diffusion layer region 21 stacked on the source and drain is formed to be higher than the gate electrode 20, as shown in FIG. 29. This is realized by changing a film thickness ratio of the silicon gate polycrystalline Si film 38 and the silicon nitride film 37 to be deposited in the step of forming the gate electrode of FIG. 15 in the first embodiment. Herein, in the present invention, it is required to silicide the whole region of the gate electrode 20 to make a silicided gate electrode. On the other hand, it is required to silicide not all the stacked Si film on the source and drain diffusion layers, leave a low-resistive polycrystalline Si film in the bottom surface region, and reserve the ultra-shallow N-conductive type source and drain diffusion layers 8 and 9 and the shallow P-conductive type source and drain diffusion layers 10 and 11 in the thin single crystal Si. This is because, if all of these are silicided down to their bottom surface regions, contact areas of the channel region and the source and drain diffusion layers are reduced, which results in increase of resistance. According to the present invention, since the height of the gate electrode 20 is set to be smaller than that of the diffusion layer region 21 deposited on the source and drain, not all the deposited Si film on the source and drain diffusion layers is silicided even if the whole region of the gate electrode 20 is silicide, so a low-resistive polycrystalline Si film is left in the bottom surface region.
  • In this manner, by applying the present embodiment, a method for manufacturing a semiconductor device of a good-quality product with good yield can be provided.
  • Further, in the semiconductor device according to the present embodiment, the gate electrode 20 is made of a metal silicide film. In this manner, in the semiconductor device according to the present embodiment, despite it is a full depletion type SOIIGFET, a threshold voltage value can be set to about 0 V in both the N-conductive type MISFET and the P-conductive type MISFET. Further, in spite of the fact that the single crystal Si thin film 3 constituting a channel is finally made as ultra-thin as 10 nm, since the source and drain regions are configured as a stacked structure and further a major part of the stacked structure can be made of the metal silicide film 20, such a problem of increase of contact resistance between the semiconductor and the metal silicide film and increase of serial resistance is solved. Moreover, in the semiconductor device according to the present embodiment, the ion implantation step for reducing parasitic capacitance of the source and drain diffusion layer regions of the SOI-type MISFET region and the ion implantation step for achieving lower resistance of the source and drain diffusion layer regions of the bulk-type MISFET region are formed in a common step under the same condition, so that high drive current of the SOI-type MISFET can be realized and reduction of a bottom parasitic capacitance of the well diffusion layers 6 and 7 can be realized simultaneously. In this manner, as compared to the well structure in a case where ion implantation for capacitance reduction is not performed, the parasitic capacitance can be reduced by about 1 digit even in the same occupied area configuration of well. Further, in the semiconductor device according to the present embodiment, since the gate electrode which is a lowermost layer wiring can be directly connected with the well diffusion layer, it is possible to set the connection region regardless of the upper wirings. In this manner, since the connection made by the upper wiring in a margin region taking into account the layout of the lower-layer wiring as having been done in a conventionally well-known structure is not required, high current and high drive performance of the semiconductor device can be realized without increase of the occupied area. The feature of the embodiment that increase of the occupied area is not required gains an effect also on further reduction of the parasitic capacitance. Therefore, since there is a wide variety of circuits to which the semiconductor device according to the present embodiment is applicable, it is most effective to apply the semiconductor device to a memory cell of an SRAM, an I/O buffer circuit, and further a drive region of a critical path which defines an operation speed of an integrated circuit and the like.
  • In the semiconductor device according to the present embodiment, even in a case where these high-performance SOI type MISFET 100 and a high-withstand-voltage system device, and the bulk-type MISFET 200 for ESD protection for preventing ESD breakdown (electrostatic breakdown) are formed on the same substrate, by making manufacturing steps of the SOI-type MISFET and the bulk-type MISFET common, the whole semiconductor device can be scaled down and further the semiconductor can be manufactured without complicating the process. Further, in the semiconductor device according to the present embodiment, it is preferable to make the thin buried insulating film 4 as thin as possible within a range of a film thickness allowing to ignore leakage current and it is preferable to make the thin buried insulating film 4 have a film thickness of 10 nm or less, more preferably, about 2 nm which is similar to the gate insulating film 5.
  • In the semiconductor device according to the present embodiment, the gate insulating material is not limited to an Ni silicide film, and any material can be used as long as it has a work function positioned nearly in the center of the forbidden band of the single crystal Si thin film among a metal, a metal silicide film, or a metal nitride film of such as Ni, Co, Ti, W, Ta, Mo, Cr, Al, Pt, Pa and Ru.
  • INDUSTRIAL APPLICABILITY
  • The present invention relates to an MISFET having a stacked structure of semiconductor/insulating film/metal, and more specifically, it is applicable to a semiconductor device in which an MISFET is formed on a substrate having an SOI structure and a manufacturing industry of manufacturing the same.

Claims (24)

1-5. (canceled)
6. A method for manufacturing a semiconductor device comprising one substrate including a first device formation region and a second device formation region, in which the first device formation region has: a first semiconductor substrate portion of a first conductive type; a semiconductor layer formed above the first semiconductor substrate portion interposing an insulator layer; a first source region and a first drain region of a second conductive type which is a conductive type opposite to the first conductive type formed in the semiconductor layer; a first channel region formed between the first source region and the first drain region; a first gate insulating film formed on the first channel region; and a first gate electrode formed above the first channel region interposing the first gate insulating film formed therein, the second device formation region has: a second semiconductor substrate portion of the first conductive type; a second source region and a second drain region of the second conductive type formed in the second semiconductor substrate portion; a second channel region formed between the second source region and the second drain region; a second gate insulating film formed on the second channel region; and a second gate electrode formed above the second channel region interposing the second gate insulating film formed therein,
wherein the first source region and the second source region, and the first drain region and the second drain region are formed in a same step, the first gate insulating film and the second gate insulating film are formed in a same step, and the first gate electrode and the second gate electrode are formed in a same step.
7. The method for manufacturing the semiconductor device according to claim 6,
wherein the first gate electrode and the second gate electrode are formed of Ni, Co, Ti, W, Ta, Mo, Cr, Al, Pt, Pa, Ru, a silicide film thereof, or a nitride film thereof.
8. A semiconductor device comprising an SOI-type MISFET formed on a surface of a semiconductor layer on a buried insulating film and a bulk-type MISFET formed on a surface of a semiconductor substrate,
wherein a dummy pattern comprised by the semiconductor substrate, the buried insulating film formed thereon, and the semiconductor layer formed thereon is provided around a formation region of the bulk-type MISFET.
9. The semiconductor device according to claim 8,
wherein a device is not formed on a surface of the semiconductor layer of the dummy pattern.
10. The semiconductor device according to claim 9,
wherein a device isolation insulating film is provided between the dummy pattern and the bulk-type MISFET.
11. The semiconductor device according to claim 10,
wherein a plurality of the dummy patterns having a same planar shape are arranged so as to surround the formation region of one of the bulk-type MISFET, and the device isolation insulating film is provided between each of the dummy patterns.
12. A method for manufacturing a semiconductor device having an SOI-type MISFET and a bulk-type MISFET mounted in a mixed manner on a same substrate,
the method comprising the steps of:
preparing an SOI substrate formed of a semiconductor substrate, a buried insulating film formed on the semiconductor substrate, and a semiconductor layer formed on the buried insulating film;
removing the semiconductor layer and the buried insulating film in a formation region for the bulk-type MISFET to expose a surface of the semiconductor substrate;
forming a first insulating film on the whole surface such that a film thickness thereof is thicker than a thickness obtained by adding film thicknesses of the semiconductor layer and the buried insulating film;
removing the first insulting film in a device isolation region;
removing the semiconductor layer, the buried insulating film, and the semiconductor substrate in a portion not having the first insulating film formed thereabove to form a trench in the device isolation region;
forming a second insulating film different from the first insulating film on the whole surface to fill in the groove; and
removing the second insulating film excluding the same in the device isolation region by chemical mechanical polishing to expose a surface of the first insulating film.
13. The method for manufacturing the semiconductor device according to claim 12 further comprising the steps of:
removing the exposed first insulating film; and
forming the SOI-type MISFET and the bulk-type MISFET on the semiconductor layer surface in the formation region for the SOI-type MISFET and the semiconductor substrate surface in the formation region for the bulk-type MISFET, respectively.
14. The method for manufacturing the semiconductor device according to claim 12,
wherein the first insulating film and the second insulating film have a different polishing rate for each chemical mechanical polishing thereof.
15. The method for manufacturing the semiconductor device according to claim 13,
wherein the first insulating film and the second insulating film have a different polishing rate for each chemical mechanical polishing thereof.
16. The method for manufacturing the semiconductor device according to claim 12,
wherein the first insulating film is a silicon nitride film, and the second insulating film is a silicon oxide film.
17. The method for manufacturing the semiconductor device according to claim 13,
wherein the first insulating film is a silicon nitride film, and the second insulating film is a silicon oxide film.
18. The method for manufacturing the semiconductor device according to claim 16,
wherein the silicon nitride film is used as a polishing stopper for chemical mechanical polishing.
19. The method for manufacturing the semiconductor device according to claim 17,
wherein the silicon nitride film is used as a polishing stopper for chemical mechanical polishing.
20. A method for manufacturing a semiconductor device including an SOI-type MISFET and a bulk-type MISFET,
the method comprising the steps of:
removing a semiconductor layer and a buried insulating film of an SOI substrate in a formation region for the bulk-type MISFET to expose a surface of a semiconductor substrate;
forming a gate electrode material film above each of the semiconductor layer in a formation region for the SOI-type MISFET and the semiconductor substrate in the formation region for the bulk-type MISFET; and
patterning the gate electrode material film to form a first gate electrode of the SOI-type MISFET and a second gate electrode of the bulk-type MISFET,
wherein, in the step of forming the first gate electrode and the second gate electrode, the step is performed making a focus depth of photolithography adjusted to be focused on the side of the formation region for the SOI-type MISFET.
21. The method for manufacturing the semiconductor device according to claim 20,
wherein a dimension of the second gate electrode is made larger than that of the first gate electrode.
22. The method for manufacturing the semiconductor device according to claim 21,
wherein the gate electrode material film includes a polycrystalline silicon film.
23. A method for manufacturing a semiconductor device comprising the steps of:
preparing an SOI substrate formed of a semiconductor substrate, a buried insulating film formed on the semiconductor substrate, and a semiconductor layer formed on the buried insulating film;
removing the semiconductor layer and the buried insulating film partially to expose part of a surface of the semiconductor substrate;
forming a first insulating film on the exposed semiconductor substrate surface;
forming a gate insulating film on the surface of the semiconductor substrate;
forming a gate electrode material film on the whole surface including the gate insulating film and the first insulating film;
forming a second insulating film on the gate electrode material film;
patterning the gate electrode material film and the second insulating film to form a gate electrode formed astride the gate insulating film and the first insulating film,
forming source and drain regions in the semiconductor layer;
forming a hole penetrating the gate electrode and the first insulating film and exposing the surface of the semiconductor substrate; and
forming a silicon film on the source and drain regions and on the surface of the semiconductor substrate exposed through the hole by selective epitaxial growth.
24. The method for manufacturing a semiconductor device according to claim 23,
wherein the selective epitaxial growth is performed until an upper surface of the silicon film formed inside the hole becomes higher than a lower surface of the gate electrode.
25. The method for manufacturing a semiconductor device according to claim 24 further comprising a step of forming a third insulating film covering a side surface of the gate electrode between the step of forming the gate electrode and the step of forming the hole.
26. A method for manufacturing a semiconductor device having an SOI-type MISFET of a first conductive type and a bulk-type MISFET of the first conductive type mounted on a same substrate in a mixed manner,
the method comprising the steps of:
preparing an SOI substrate formed of a semiconductor substrate, a buried insulating film formed on the semiconductor substrate, and a semiconductor layer formed on the buried insulating film;
removing the semiconductor layer and the buried insulating film in a formation region for the bulk-type MISFET to expose a surface of the semiconductor substrate;
respectively forming a first gate insulating film on the semiconductor layer in a formation region for the SOI-type MISFET and a second gate insulating film on the surface of the semiconductor substrate in the formation region for the bulk-type MISFET;
respectively forming a first gate electrode of the SOI-type MISFET on the first gate insulating film and a second gate electrode of the bulk-type MISFET on the second gate insulating film;
simultaneously forming shallow first source and drain diffusion layers of the first conductive type in the semiconductor layer in the formation region for the SOI-type MISFET and shallow second source and drain diffusion layers of the first conductive type in the semiconductor substrate in the formation region for the bulk-type MISFET respectively, by ion implantation using the first gate electrode and the second gate electrode as masks;
forming a first gate sidewall insulating film on side faces of the first gate electrode and a second gate sidewall insulating film on side surfaces of the second gate electrode respectively; and
respectively forming deep third source and drain diffusion layers of the first conductive type in the semiconductor substrate in the formation region for the SOI-type MISFET and deep fourth source and drain diffusion layers of the first conductive type in the semiconductor substrate in the formation region for the bulk-type MISFET by ion implantation using the first gate electrode, the first gate sidewall insulating film, the second gate electrode, and the second gate sidewall insulating film as masks.
27. The method for manufacturing a semiconductor device according to claim 26,
wherein the third source and drain diffusion layers are formed so as to contact with a lower surface of the buried insulating film in the formation region for the SOI-type MISFET.
28. The method for manufacturing a semiconductor device according to claim 27,
wherein the third source and drain diffusion layers have a function of reducing parasitic capacitance of the first source and drain diffusion layers.
US11/993,862 2005-07-05 2006-06-30 Semiconductor device and method for manufacturing same Abandoned US20100084709A1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2005195748 2005-07-05
JP2005-195748 2005-07-05
PCT/JP2006/313060 WO2007004535A1 (en) 2005-07-05 2006-06-30 Semiconductor device and method for manufacturing same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2006/313060 A-371-Of-International WO2007004535A1 (en) 2005-07-05 2006-06-30 Semiconductor device and method for manufacturing same

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US13/363,268 Continuation US8409936B2 (en) 2005-07-05 2012-01-31 Method for manufacturing a semiconductor device by forming portions thereof at the same time

Publications (1)

Publication Number Publication Date
US20100084709A1 true US20100084709A1 (en) 2010-04-08

Family

ID=37604400

Family Applications (3)

Application Number Title Priority Date Filing Date
US11/993,862 Abandoned US20100084709A1 (en) 2005-07-05 2006-06-30 Semiconductor device and method for manufacturing same
US13/363,268 Expired - Fee Related US8409936B2 (en) 2005-07-05 2012-01-31 Method for manufacturing a semiconductor device by forming portions thereof at the same time
US13/784,709 Abandoned US20130240991A1 (en) 2005-07-05 2013-03-04 Semiconductor device and method for manufacturing same

Family Applications After (2)

Application Number Title Priority Date Filing Date
US13/363,268 Expired - Fee Related US8409936B2 (en) 2005-07-05 2012-01-31 Method for manufacturing a semiconductor device by forming portions thereof at the same time
US13/784,709 Abandoned US20130240991A1 (en) 2005-07-05 2013-03-04 Semiconductor device and method for manufacturing same

Country Status (3)

Country Link
US (3) US20100084709A1 (en)
JP (3) JPWO2007004535A1 (en)
WO (1) WO2007004535A1 (en)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090096036A1 (en) * 2007-10-11 2009-04-16 Takashi Ishigaki Semiconductor device and method of manufacturing the same
US20100032761A1 (en) * 2008-08-08 2010-02-11 Hanyi Ding Semiconductor structure including a high performance fet and a high voltage fet on a soi substrate
US20100035390A1 (en) * 2008-08-08 2010-02-11 International Business Machines Corporation Method of forming a high performance fet and a high voltage fet on a soi substrate
US20120018807A1 (en) * 2009-01-19 2012-01-26 Hitachi, Ltd. Semiconductor device, method for manufacturing same, and semiconductor storage device
CN102446856A (en) * 2010-09-30 2012-05-09 富士通半导体股份有限公司 Semiconductor device and method of manufacturing semiconductor device
US20120153393A1 (en) * 2010-12-17 2012-06-21 Institute of Microelectronics, Chinese Academy of Sciences Transistor, Semiconductor Device Comprising the Transistor and Method for Manufacturing the Same
US20120313172A1 (en) * 2011-06-07 2012-12-13 Renesas Electronics Corporation Semiconductor device, semiconductor wafer, and methods of manufacturing the same
US20130087855A1 (en) * 2011-10-11 2013-04-11 Renesas Electronics Corporation Semiconductor integrated circuit device and manufacturing method for semiconductor integrated circuit device
US20140017821A1 (en) * 2012-07-13 2014-01-16 Stmicroelectronics Sa On-SOI integrated circuit comprising a triac for protection against electrostatic discharges
US20150155300A1 (en) * 2011-03-10 2015-06-04 Renesas Electronics Corporation Manufacturing method of semiconductor device and semiconductor device
US9935125B2 (en) 2012-04-09 2018-04-03 Renesas Electronics Corporation Semiconductor device and manufacturing method of the same
US10685970B2 (en) * 2018-06-06 2020-06-16 Globalfoundries Singapore Pte. Ltd. Low cost multiple-time programmable cell on silicon on insulator technology and method for producing the same
US11211406B2 (en) 2007-11-28 2021-12-28 Renesas Electronics Corporation Semiconductor device and method for controlling semiconductor device
US11276753B2 (en) * 2017-08-01 2022-03-15 Sony Semiconductor Solutions Corporation Transistor and electronic apparatus

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008035598A1 (en) * 2006-09-19 2008-03-27 Nec Corporation Complementary mis semiconductor device
JP5406583B2 (en) * 2009-04-10 2014-02-05 株式会社日立製作所 Semiconductor device
EP2254148B1 (en) * 2009-05-18 2011-11-30 S.O.I.Tec Silicon on Insulator Technologies Fabrication process of a hybrid semiconductor substrate
US10304826B2 (en) * 2012-12-28 2019-05-28 Taiwan Semiconductor Manufacturing Company Complimentary metal-oxide-semiconductor (CMOS) with low contact resistivity and method of forming same
JP6178118B2 (en) * 2013-05-31 2017-08-09 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
US10418899B2 (en) * 2014-04-14 2019-09-17 Alpha And Omega Semiconductor Incorporated MOSFET switch circuit for slow switching application
US9281303B2 (en) 2014-05-28 2016-03-08 International Business Machines Corporation Electrostatic discharge devices and methods of manufacture
JP6203915B2 (en) * 2016-07-14 2017-09-27 ルネサスエレクトロニクス株式会社 Semiconductor device
US10319827B2 (en) * 2017-07-12 2019-06-11 Globalfoundries Inc. High voltage transistor using buried insulating layer as gate dielectric
US10672795B2 (en) * 2018-06-27 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Bulk semiconductor substrate configured to exhibit semiconductor-on-insulator behavior

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5631179A (en) * 1995-08-03 1997-05-20 Taiwan Semiconductor Manufacturing Company Method of manufacturing metallic source line, self-aligned contact for flash memory devices
US6043536A (en) * 1998-05-19 2000-03-28 Kabushiki Kaisha Toshiba Semiconductor device
US6380037B1 (en) * 1999-04-06 2002-04-30 Seiko Instruments Inc. Method of manufacturing a semiconductor integrated circuit device
US20030153172A1 (en) * 2002-02-08 2003-08-14 Hitachi, Ltd. Method of manufacturing a semiconductor integrated circuit device

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62179143A (en) * 1986-01-31 1987-08-06 Fujitsu Ltd Semiconductor device and manufacture thereof
JPH05343686A (en) * 1992-06-04 1993-12-24 Mitsubishi Electric Corp Semiconductor device and manufacture thereof
JPH08102498A (en) * 1994-09-30 1996-04-16 Hitachi Ltd Semiconductor device
JP3376204B2 (en) * 1996-02-15 2003-02-10 株式会社東芝 Semiconductor device
KR100562539B1 (en) 1997-12-19 2006-03-22 어드밴스드 마이크로 디바이시즈, 인코포레이티드 Silicon-on-insulator configuration which is compatible with bulk cmos architecture
JP3714654B2 (en) * 1998-02-23 2005-11-09 ローム株式会社 Manufacturing method of semiconductor device
US6172405B1 (en) * 1998-07-17 2001-01-09 Sharp Kabushiki Kaisha Semiconductor device and production process therefore
JP2000208770A (en) 1999-01-08 2000-07-28 Toshiba Corp Semiconductor device and its manufacture
US5998248A (en) * 1999-01-25 1999-12-07 International Business Machines Corporation Fabrication of semiconductor device having shallow junctions with tapered spacer in isolation region
JP2000252462A (en) * 1999-03-01 2000-09-14 Toshiba Corp Mis semiconductor device and manufacture thereof
JP3523531B2 (en) * 1999-06-18 2004-04-26 シャープ株式会社 Method for manufacturing semiconductor device
JP2001044441A (en) * 1999-07-29 2001-02-16 Sony Corp Full depletion soi-type semiconductor device and integrated circuit
KR100474546B1 (en) * 1999-12-24 2005-03-08 주식회사 하이닉스반도체 Fabricating method for semiconductor device
KR20010063852A (en) * 1999-12-24 2001-07-09 박종섭 A method for forming a self aligned contact of semiconductor device
JP3547419B2 (en) * 2001-03-13 2004-07-28 株式会社東芝 Semiconductor device and manufacturing method thereof
JP2003078141A (en) * 2001-09-05 2003-03-14 Sharp Corp Semiconductor device and its manufacturing method as well as portable electronic equipment
JP2003110109A (en) * 2001-09-28 2003-04-11 Sharp Corp Semiconductor device, method of manufacturing the same, and portable electronic apparatus
JP4446690B2 (en) * 2003-06-27 2010-04-07 富士通マイクロエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
JP2005116981A (en) * 2003-10-10 2005-04-28 Hitachi Ltd Semiconductor device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5631179A (en) * 1995-08-03 1997-05-20 Taiwan Semiconductor Manufacturing Company Method of manufacturing metallic source line, self-aligned contact for flash memory devices
US6043536A (en) * 1998-05-19 2000-03-28 Kabushiki Kaisha Toshiba Semiconductor device
US6380037B1 (en) * 1999-04-06 2002-04-30 Seiko Instruments Inc. Method of manufacturing a semiconductor integrated circuit device
US20030153172A1 (en) * 2002-02-08 2003-08-14 Hitachi, Ltd. Method of manufacturing a semiconductor integrated circuit device

Cited By (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110195566A1 (en) * 2007-10-11 2011-08-11 Renesas Electroncs Corporation Method of manufacturing a semiconductor device
US8183115B2 (en) 2007-10-11 2012-05-22 Renesas Electronics Corporation Method of manufacturing a semiconductor device having elevated layers of differing thickness
US20090096036A1 (en) * 2007-10-11 2009-04-16 Takashi Ishigaki Semiconductor device and method of manufacturing the same
US11695014B2 (en) 2007-11-28 2023-07-04 Renesas Electronics Corporation Semiconductor device and method for controlling semiconductor device
US11211406B2 (en) 2007-11-28 2021-12-28 Renesas Electronics Corporation Semiconductor device and method for controlling semiconductor device
US20100032761A1 (en) * 2008-08-08 2010-02-11 Hanyi Ding Semiconductor structure including a high performance fet and a high voltage fet on a soi substrate
US20100035390A1 (en) * 2008-08-08 2010-02-11 International Business Machines Corporation Method of forming a high performance fet and a high voltage fet on a soi substrate
US8012814B2 (en) * 2008-08-08 2011-09-06 International Business Machines Corporation Method of forming a high performance fet and a high voltage fet on a SOI substrate
US8120110B2 (en) 2008-08-08 2012-02-21 International Business Machines Corporation Semiconductor structure including a high performance FET and a high voltage FET on a SOI substrate
US8399927B2 (en) 2008-08-08 2013-03-19 International Business Machines Corporation Semiconductor structure including a high performance fet and a high voltage fet on an SOI substrate
US8643117B2 (en) * 2009-01-19 2014-02-04 Hitachi, Ltd. Semiconductor device, method for manufacturing same, and semiconductor storage device
US20120018807A1 (en) * 2009-01-19 2012-01-26 Hitachi, Ltd. Semiconductor device, method for manufacturing same, and semiconductor storage device
CN104078463A (en) * 2010-09-30 2014-10-01 富士通半导体股份有限公司 Semiconductor device
CN102446856A (en) * 2010-09-30 2012-05-09 富士通半导体股份有限公司 Semiconductor device and method of manufacturing semiconductor device
US8492210B2 (en) * 2010-12-17 2013-07-23 Institute of Microelectronics, Chinese Academy of Sciences Transistor, semiconductor device comprising the transistor and method for manufacturing the same
US20120153393A1 (en) * 2010-12-17 2012-06-21 Institute of Microelectronics, Chinese Academy of Sciences Transistor, Semiconductor Device Comprising the Transistor and Method for Manufacturing the Same
US9324734B2 (en) * 2011-03-10 2016-04-26 Renesas Electronics Corporation Semiconductor device having semiconductor layers with different thicknesses
US20150155300A1 (en) * 2011-03-10 2015-06-04 Renesas Electronics Corporation Manufacturing method of semiconductor device and semiconductor device
US20120313172A1 (en) * 2011-06-07 2012-12-13 Renesas Electronics Corporation Semiconductor device, semiconductor wafer, and methods of manufacturing the same
US10056406B2 (en) * 2011-10-11 2018-08-21 Renesas Electronics Corporation Semiconductor integrated circuit device comprising MISFETs in SOI and bulk subtrate regions
US20160064416A1 (en) * 2011-10-11 2016-03-03 Renesas Electronics Corporation Semiconductor integrated circuit device and manufacturing method for semiconductor integrated circuit device
US9202761B2 (en) * 2011-10-11 2015-12-01 Renesas Electronics Corporation Semiconductor integrated circuit device and manufacturing method for semiconductor integrated circuit device
US10263012B2 (en) 2011-10-11 2019-04-16 Renesas Electronics Corporation Semiconductor integrated circuit device comprising MISFETs in SOI and bulk substrate regions
US20130087855A1 (en) * 2011-10-11 2013-04-11 Renesas Electronics Corporation Semiconductor integrated circuit device and manufacturing method for semiconductor integrated circuit device
US9935125B2 (en) 2012-04-09 2018-04-03 Renesas Electronics Corporation Semiconductor device and manufacturing method of the same
US10510775B2 (en) 2012-04-09 2019-12-17 Renesas Electronics Corporation Semiconductor device and manufacturing method of the same
US10756115B2 (en) 2012-04-09 2020-08-25 Renesas Electronics Corporation Semiconductor device and manufacturing method of the same
US11695012B2 (en) 2012-04-09 2023-07-04 Renesas Electronics Corporation Semiconductor device and manufacturing method of the same
US9165908B2 (en) * 2012-07-13 2015-10-20 Commissariat à l'énergie atomique et aux energies alternatives On-SOI integrated circuit comprising a triac for protection against electrostatic discharges
US20140017821A1 (en) * 2012-07-13 2014-01-16 Stmicroelectronics Sa On-SOI integrated circuit comprising a triac for protection against electrostatic discharges
US11276753B2 (en) * 2017-08-01 2022-03-15 Sony Semiconductor Solutions Corporation Transistor and electronic apparatus
US10685970B2 (en) * 2018-06-06 2020-06-16 Globalfoundries Singapore Pte. Ltd. Low cost multiple-time programmable cell on silicon on insulator technology and method for producing the same

Also Published As

Publication number Publication date
US8409936B2 (en) 2013-04-02
WO2007004535A1 (en) 2007-01-11
JP2012169640A (en) 2012-09-06
JP2012169639A (en) 2012-09-06
JPWO2007004535A1 (en) 2009-01-29
US20120196411A1 (en) 2012-08-02
US20130240991A1 (en) 2013-09-19

Similar Documents

Publication Publication Date Title
US8409936B2 (en) Method for manufacturing a semiconductor device by forming portions thereof at the same time
US20220029018A1 (en) Method for manufacturing semiconductor device with recess, epitaxial growth and diffusion
US11211406B2 (en) Semiconductor device and method for controlling semiconductor device
US8183115B2 (en) Method of manufacturing a semiconductor device having elevated layers of differing thickness
JP2940880B2 (en) Semiconductor device and manufacturing method thereof
US8343835B2 (en) Semiconductor device and production method therefor
JP4332925B2 (en) Semiconductor device and manufacturing method thereof
US6825528B2 (en) Semiconductor device, method of manufacture thereof, and information processing device
JP5364108B2 (en) Manufacturing method of semiconductor device
US7166876B2 (en) MOSFET with electrostatic discharge protection structure and method of fabrication
JP5081394B2 (en) Manufacturing method of semiconductor device
JP2003174101A (en) Semiconductor device and method of manufacturing semiconductor device
US8084826B2 (en) Semiconductor device and manufacturing method thereof
JP2007287913A (en) Field effect transistor, and its manufacturing method
JP2003188386A (en) Semiconductor device and its fabricating method
JP2001274382A (en) Semiconductor device and manufacturing method thereof
JP2002009015A (en) Semiconductor device and its manufacturing method
JP2004311764A (en) Semiconductor device and its manufacturing method
JP2006114923A (en) Semiconductor device, method of manufacturing the same, and information-processing unit
JP2012142493A (en) Semiconductor device and manufacturing method of the same
JP2004022813A (en) Semiconductor device and its manufacturing method
JP2005026545A (en) Semiconductor device and method for manufacturing the same
JP2008218726A (en) Manufacturing method of semiconductor device, and semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: RENESAS TECHNOLOGY CORP.,JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:TSUCHIYA, RYUTA;KIMURA, SHINICHIRO;SIGNING DATES FROM 20071210 TO 20071217;REEL/FRAME:020289/0118

AS Assignment

Owner name: NEC ELECTRRONICS CORPORATION, JAPAN

Free format text: MERGER;ASSIGNOR:RENESAS TECHNOLOGY CORP.;REEL/FRAME:024933/0869

Effective date: 20100401

Owner name: RENESAS ELECTRONICS CORPORATION, JAPAN

Free format text: CHANGE OF NAME;ASSIGNOR:NEC ELECTRONICS CORPORATION;REEL/FRAME:024953/0404

Effective date: 20100401

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION