US20100072515A1 - Fabrication and structures of crystalline material - Google Patents

Fabrication and structures of crystalline material Download PDF

Info

Publication number
US20100072515A1
US20100072515A1 US12/562,206 US56220609A US2010072515A1 US 20100072515 A1 US20100072515 A1 US 20100072515A1 US 56220609 A US56220609 A US 56220609A US 2010072515 A1 US2010072515 A1 US 2010072515A1
Authority
US
United States
Prior art keywords
crystalline material
semiconductor
semiconductor crystalline
substrate
less
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/562,206
Inventor
Ji-Soo Park
James G. Fiorenza
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Amber Wave Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Amber Wave Systems Inc filed Critical Amber Wave Systems Inc
Priority to US12/562,206 priority Critical patent/US20100072515A1/en
Assigned to AMBERWAVE SYSTEMS reassignment AMBERWAVE SYSTEMS ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: PARK, JI-SOO, FIORENZA, JAMES
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: AMBERWAVE SYSTEMS CORPORATION
Priority to SG201001733-3A priority patent/SG169921A1/en
Priority to TW99107770A priority patent/TWI423439B/en
Priority to KR1020100024356A priority patent/KR20110031072A/en
Priority to EP10002884A priority patent/EP2299475A1/en
Priority to JP2010062555A priority patent/JP2011063502A/en
Priority to CN2010101433651A priority patent/CN102024768A/en
Publication of US20100072515A1 publication Critical patent/US20100072515A1/en
Priority to US15/406,371 priority patent/US9984872B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02551Group 12/16 materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02694Controlling the interface between substrate and epitaxial layer, e.g. by ion implantation followed by annealing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/30Semiconductor bodies ; Multistep manufacturing processes therefor characterised by physical imperfections; having polished or roughened surface
    • H01L29/32Semiconductor bodies ; Multistep manufacturing processes therefor characterised by physical imperfections; having polished or roughened surface the imperfections being within the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials

Definitions

  • the present invention relates to fabrication or structures including a semiconductor crystalline material.
  • improved epitaxial growth or structures may occur over a planarized surface including a semiconductor crystalline material.
  • CMOS complementary metal-oxide-semiconductor
  • FET field-effect transistors
  • One heterointegration method involves replacing silicon (Si) channel with high-mobility materials for CMOS devices, for example, high-performance devices beyond the limit of device scaling.
  • Si silicon
  • planarization is typically required for device fabrication because deviations from a flat surface can lead to device fault and/or different device characteristics within an IC or different device characteristics among concurrently manufactured devices.
  • Chemical mechanical polishing (CMP) of the selected lattice-mismatched semiconductor materials is an option to smooth the surface of the material.
  • CMP surface must be smooth and surface impurities must be avoided. Additional cleaning of a polished surface during preparation should not substantially impair its smoothness.
  • a surface of lattice-mismatched materials in a confined or selectively grown area e.g., an active region of crystalline materials
  • Embodiments according to the present invention provide methods and apparatus to form semiconductor crystalline materials suitable for device fabrication and/or devices made thereby.
  • one embodiment of the invention can provide planarized heteroepitaxial regions (e.g., wafers) suitable for device fabrication and/or devices including the same.
  • one embodiment of the invention can provide clean planarized heteroepitaxial regions suitable for device fabrication and/or devices including the same.
  • one embodiment of the invention can provide reduced or low impurity planarized heteroepitaxial regions suitable for device fabrication and/or devices including the same.
  • one embodiment of the invention can provide planarized heteroepitaxial regions heated under selected conditions suitable for device fabrication and/or devices including the same.
  • one embodiment of the invention can provide planarized heteroepitaxial regions having selected characteristics suitable for device fabrication and/or devices including the same.
  • An alternative aspect of one embodiment of the invention is to provide a semiconductor crystalline material with a reduced surface roughness at a planarized (e.g., different) semiconductor crystalline material.
  • An alternative aspect of one embodiment of the invention is to provide an epitaxially grown crystalline material with a reduced surface roughness over an interface having reduced impurities to another grown crystalline material.
  • one embodiment of the invention provides a process for the epitaxial growth of a strained, low defect crystalline material at a planarized semiconductor crystalline material.
  • one embodiment of the invention provides a process for preparing a semiconductor crystalline material with reduced surface roughness for subsequent processing and/or semiconductor devices including the surface.
  • ART Aspect Ratio Trapping
  • the ART devices may have crystalline material epitaxially grown in openings or confined areas with an aspect ratio (depth/width)>1, or otherwise suitable for trapping most defects.
  • FIG. 1 a is a diagram that illustrates a cross-sectional schematic of strained-Ge on SiGe in trenches using ART.
  • FIG. 1 b is a diagram that illustrates a cross-sectional schematic of an alternate configuration (e.g., confined area for crystalline material) of an ART structure.
  • FIG. 2 comprises FIGS. 2( a )- 2 ( b ).
  • FIGS. 2( a )-( b ) illustrate SEM images of (a) as-grown Si 0.2 Ge 0.8 and in trenches and (b) after CMP.
  • FIG. 3 comprises FIGS. 3( a )- 3 ( c ).
  • FIGS. 3( a )- 3 ( c ) illustrate (a) SEM and (b) cross-sectional TEM image of Ge on Si 0.2 Ge 0.8 in trenches without pre-bake step prior to Ge growth and (c) the magnified TEM image at Ge/Si 0.2 Ge 0.8 interface.
  • FIG. 4 comprises FIGS. 4( a )- 4 ( c ).
  • FIGS. 4( a )- 4 ( c ) illustrate (a) SEM and (b) cross-sectional TEM image of Ge on Si 0.2 Ge 0.8 in trenches with the 750° C. pre-bake step prior to Ge growth and (c) the magnified TEM image at Ge/Si 0.2 Ge 0.8 interface.
  • FIG. 5 comprising FIGS. 5( a )- 5 ( c ).
  • FIGS. 5( a )- 5 ( c ) illustrate (a) SEM and (b) cross-sectional TEM image of Ge on Si 0.2 Ge 0.8 in trenches with the 810° C. pre-bake step prior to Ge growth and (c) the magnified TEM image at Ge/Si 0.2 Ge 0.8 .
  • FIG. 6 comprising FIGS. 6( a )- 6 ( b ).
  • FIGS. 6( a )- 6 ( b ) illustrate SIMS depth profiles of oxygen of blanket Ge/Si 0.2 Ge 0.8 (a) without pre-bake and (b) with 810° C. pre-bake step prior to Ge growth.
  • FIG. 7 comprising FIGS. 7( a )- 7 ( c ).
  • FIGS. 7( a )- 7 ( c ) illustrate (a) SEM and (b) cross-sectional TEM image of thin Ge on Si 0.2 Ge 0.8 in trenches with the 870° C. pre-bake step prior to Ge growth and (c) the magnified TEM image at Ge/Si 0.2 Ge 0.8 interface.
  • FIG. 8 comprising FIGS. 8( a )- 8 ( b ).
  • FIGS. 8( a )- 8 ( b ) illustrate AFM images of thin Ge on Si 0.2 Ge 0.8 in trenches with (a) 810° C. and (b) 870° C. pre-bake step prior to Ge growth.
  • FIG. 9 comprises FIGS. 9( a ) and 9 ( b ) where FIG. 9( a ) illustrates RSM of ⁇ 224 ⁇ peaks of thin Ge on Si 0.2 Ge 0.8 in trenches with the 810° C. pre-bake and FIG. 9( b ) illustrates the plot showing peak positions of Si, Si 0.2 Ge 0.8 , and Ge of the Ge on Si 0.2 Ge 0.8 in trenches with different pre-bake conditions.
  • lattice-mismatched materials has many practical applications.
  • heteroepitaxial growth of group IV materials or compounds, and III-V, III-N and II-VI compounds on a crystalline substrate, such as silicon has many applications such as photovoltaics, resonant tunneling diodes (RTD's), transistors (e.g., FET (which can be planar or 3D (e.g., finFET), HEMT, etc.), light-emitting diodes and laser diodes.
  • RTD's resonant tunneling diodes
  • transistors e.g., FET (which can be planar or 3D (e.g., finFET), HEMT, etc.
  • light-emitting diodes and laser diodes.
  • heteroepitaxy of germanium on silicon is considered a promising path for high performance p-channel metal-oxide-semiconductor (MOS) field-effect transistors (FET) and for integrating optoelectronic devices with silicon complementary MOS (CMOS) technology.
  • MOS metal-oxide-semiconductor
  • CMOS silicon complementary MOS
  • heteroepitaxy growth of other materials also is beneficial for these and other applications.
  • Germanium (Ge), a group IV material, is one of the possible candidates for high-mobility channels for CMOS devices because of its higher carrier mobility compared with silicon (Si).
  • compressive strain in Ge was reported to show further enhancement in carrier mobility likely caused by band splitting and reduction of hole effective mass.
  • Significant improvements in device performance have been demonstrated using compressively-strained Ge channel.
  • methods to form such structures and/or such structures remain difficult to achieve.
  • the dislocation density of the epitaxially grown material can be unacceptably high for many applications.
  • the dislocation density of germanium directly grown on silicon can be as high as 10 8 -10 9 cm ⁇ 2 due to the 4.2% lattice mismatch between the two materials—unacceptable for most device applications.
  • Various approaches to reducing the defect density have been pursued, including compositional grading, and post-epi high-temperature annealing. However, these approaches may not be optimal for integration with silicon-based CMOS technology due to requirements for thick epi-layers and/or high thermal budgets, or due to incompatibility with selective growth at a density suitable for CMOS integration.
  • ART Aspect Ratio Trapping
  • ART or “aspect ratio trapping” refers generally to the technique(s) of causing defects to terminate at non-crystalline, e.g., dielectric, sidewalls, where the sidewalls are sufficiently high relative to the size of the growth area so as to trap most, if not all, of the defects.
  • ART utilizes high aspect ratio openings, such as trenches or holes, to trap dislocations, preventing them from reaching the epitaxial film surface, and greatly reduces the surface dislocation density within the ART opening.
  • FIG. 1 a is a diagram that shows a cross section of a lattice-mismatched material 140 of high quality above a defect trapping region 155 using ART.
  • a crystalline material 140 is epitaxially grown on substrate 100 (here, for example, on the (001) surface of a silicon substrate).
  • substrate 100 here, for example, on the (001) surface of a silicon substrate.
  • defects 150 e.g., threading dislocations
  • the sidewalls e.g., insulator sidewalls
  • the crystalline material 140 continues to grow without the continued growth of the defects 150 , thereby producing crystal with reduced defects above the defect trapping region 155 .
  • Defects 150 in the crystalline material 140 can decrease in density with increasing distance from the bottom portion of the opening 120 . Accordingly, the bottom portion of the second crystalline semiconductor material 140 comprises crystalline (e.g., lattice) defects, and the upper portion of the crystalline material is substantially exhausted of crystalline defects.
  • Various crystalline defects 150 such as threading dislocations, stacking faults, twin boundaries, or anti-phase boundaries may thus be substantially eliminated from the upper portion of the crystalline material.
  • This technique has been shown to be effective for growing low defectivity materials such as Ge, InP and GaAs selectively on Si in trenches e.g., 200-450 nm wide and of arbitrary length; an area large enough for devices such as a FET, for example.
  • trenches can be wider or narrower.
  • the width of the opening 120 may be 400 nm or less, 350 nm or less, 200 nm or less, 100 nm or less or 50 nm or less; these sizes have been shown to be effective for ART (of course these sizes do not need to be used with ART).
  • the width of the opening may be 5 ⁇ m or less.
  • the width of the opening may be 1 ⁇ m or less.
  • the opening may be formed as a trench (with the length of the trench running front to back as shown in FIG. 1 a ) in which case the width would be considered to be perpendicular to its length and height.
  • the length of the trench may be arbitrary.
  • the length of the trench may be substantially larger than the width of the trench, for example greater than 10 times larger, or greater than 100 times larger. In one example, the length of the trench can be 20 ⁇ m.
  • the opening 120 is used to trap defects when epitaxially growing the crystalline material 140 using ART (aspect ratio trapping) techniques.
  • Aspect ratio “AR” is defined for trenches as the ratio of the trench height/trench width.
  • the aspect ratio may be greater than 1, although it possible for the aspect ratio to be lower in ART devices, for example 0.5.
  • the crystalline material 140 can include two different semiconductor materials or more than one semiconductor material (e.g., GaAs/InP/InGaAs) such as first, second and third materials where the first material can be Ge or GaAs, can be less than 100 nm or can have bonding characteristics to a substrate and the third material is polished.
  • the substrate 100 in the above examples may include a group IV element or compound, such as germanium and/or silicon, e.g., (001) silicon.
  • the crystalline material 140 may include at least one of a group IV element or compound, a III-V or III-N compound, or a II-VI compound. Examples of group IV elements include Ge, Si and examples of group IV compounds include SiGe.
  • III-V compounds include aluminum phosphide (AlP), gallium phosphide (GaP), indium phosphide (InP), aluminum arsenide (AlAs), gallium arsenide (GaAs), indium arsenide (InAs), aluminum antimonide (AlSb), gallium antimonide (GaSb), indium antimonide (InSb), and their ternary and quaternary compounds.
  • III-N compounds include aluminum nitride (AlN), gallium nitride (GaN), indium nitride (InN), and their ternary and quaternary compounds.
  • II-VI compounds include zinc selenide (ZnSe), zinc telluride (ZnTe), cadmium selenide (CdSe), cadmium telluride (CdTe), zinc sulfide (ZnS), and their ternary and quaternary compounds.
  • the layer of insulator need not be formed as a substantially planar layer.
  • the insulator may be formed of a thin layer that conforms to an undulating surface of the substrate on which it is created.
  • FIG. 1 b illustrates an example including a substrate that has openings/recesses/trenches 120 etched into the substrate 100 .
  • An insulating layer 180 has been formed across the substrate 100 conforming to the surface topography of the etched substrate 100 .
  • the insulating layer 180 is configured at the bottom of the openings/trenches to expose portions 160 of the substrate 100 for subsequent lattice-mismatched crystalline material.
  • sidewalls 110 of the insulating layer 180 can be formed by deposition on or oxidation of the substrate 100 and are not formed by a separate photolithography process.
  • Exemplary configurations of the openings 120 and portions 160 are illustrated however, embodiments of the invention are not intended to be so limited, for example, as other linear, tiered or nonlinear cross-sections may be used for the openings 120 and the portions 160 .
  • An embodiment of the invention is directed to a device including an epitaxially grown first crystalline semiconductor material over a planarized second crystalline semiconductor surface.
  • the grown first crystalline semiconductor material has a top surface with reduced roughness.
  • the grown first crystalline semiconductor material is compressively or elastically strained.
  • the grown first crystalline semiconductor material has low-defectivity that may be similar to the underlying second crystalline semiconductor material.
  • the second crystalline semiconductor material is different from the first crystalline material.
  • the planarized second crystalline semiconductor surface can be cleaned without impairing a reduced roughness of its surface.
  • impurities at an interface between the second crystalline semiconductor material and the first crystalline semiconductor material can be reduced.
  • FIG. 1 a shows one example, illustrating a cross-sectional view of a portion of an exemplary device.
  • the crystalline material 140 e.g., SiGe alloy; Si x Ge 1-x ; Si 0.2 Ge 0.8
  • the substrate 100 may be a crystalline material such as silicon, Ge or sapphire.
  • Insulator 130 is preferably a non-crystalline material such as a dielectric material including silicon nitride, SiO 2 or the like.
  • the crystalline material 140 at least at some stage has a surface above the top surface of insulator 130 .
  • a planarized surface preferably includes at least a surface of portions of the crystalline material 140 and the insulator 130 .
  • An additional semiconductor crystalline material 190 is preferably provided over/at the crystalline material 140 .
  • FIGS. 1 a - 9 explains examples of how surfaces of a low-defect strained crystalline material at or grown over a polished structure (e.g., an ART structure) may be obtained with reduced surface roughness.
  • the following description further describes examples of how surfaces of the lattice-mismatched or crystalline semiconductor material within a confined space (e.g., crystalline material 140 within insulator 130 ) may be prepared or provided with prescribed surface characteristics.
  • the following materials and process parameters were used for the Ge grown as discussed in connection with FIGS. 1 a - 9 .
  • the starting substrates used in this work were crystalline silicon, 200 mm diameter and (001) oriented.
  • a 500-nm-thick thermal oxide was grown on the substrate.
  • the oxide layer was patterned into trenches along [110] direction of the silicon substrate having 200 nm widths.
  • the trenches were formed using conventional photolithography techniques and a reactive ion etching (RIE) step.
  • RIE reactive ion etching
  • Removal of fluorocarbon residues caused by RIE was accomplished using a 25-nm-thick sacrificial oxidation and subsequent dilute HF cleaning (e.g., HF oxide etch). The final trench height was 490 nm after this cleaning procedure.
  • SiGe layers over 500 nm were grown by chemical vapor deposition (CVD) on the exposed Si substrate (e.g., in the trenches) in an industrial ASM Epsilon E2000 system.
  • This CVD system is a horizontal, cold-wall, single wafer, load-locked reactor with a lamp-heated graphite susceptor in a quartz tube.
  • Si 0.2 Ge 0.8 layers were grown in the trenches at 600° C. and 80 Torr for 1200 sec using ASM Epsilon E2000 commercial grade epitaxy reactor. 25% germane (GeH 4 ) diluted in H 2 and 100% dichlorosilane (SiH 2 Cl 2 ) was used as a precursor of germanium and silicon, respectively and hydrogen as a diluent gas. Chemical-mechanical-polishing (CMP) of Si 0.2 Ge 0.8 was performed to make the SiGe layers coplanar with oxide sidewall using a Strasbaugh 6EC. A Nalco 2360 slurry (70 nm colloidal silica) was used.
  • CMP chemical-mechanical-polishing
  • Nalco 2360 slurry is a commercially available colloidal silica polishing slurry having submicron silica particles in an aqueous solution. Either NaOCl, NH 4 OH, or H2O2 solution was added to the diluted slurry to enhance the SiGe removal rate relative to the oxide sidewall. Thus, each slurry mix consisted of part Nalco 2360, part chemical additive, and the remainder was deionized water (DI) water, adding up to 100% of the slurry mix.
  • DI deionized water
  • An exemplary CMP process of the Strasbaugh 6EC included ramp-up, a polish, ramp-down and rinse.
  • megasonic power of 125 W and DI water at a rate of 1.7-3 L/min may be used.
  • the substrates were cleaned in a diluted HF solution.
  • a Ge layer e.g., 42-nm-thick was grown on coplanar Si 0.2 Ge 0.8 at 350° C. and at the same pressure using the same reactor as the Si 0.2 Ge 0.8 growth.
  • FIGS. 2( a ) and 2 ( b ) show Si 0.2 Ge 0.8 in oxide trenches before and after the Si 0.2 Ge 0.8 CMP, respectively.
  • the surface of the grown Si 0.2 Ge 0.8 is above a top surface of the oxide trenches.
  • the surface of the Si 0.2 Ge 0.8 grown over the oxide trenches was very rough because the coalescence of Si 0.2 Ge 0.8 was not completely uniform as shown in FIG. 2( a ).
  • coalescence of the Si 0.2 Ge 0.8 is indicated by arrow 205 , however an un-coalesced portion of the Si 0.2 Ge 0.8 is indicated by arrow 215 .
  • a smooth Si 0.2 Ge 0.8 coplanar with the oxide trenches was obtained after CMP as shown in FIG. 2( b ), which is suitable for strained-Ge growth and device applications.
  • FIG. 3( a ) is a diagram that illustrates an SEM image of thin Ge on coplanar Si 0.2 Ge 0.8 in oxide trenches without the pre-bake step prior to the Ge growth. As shown in FIG. 3( a ), the Ge growth was selective without the formation of Ge islands on the oxide. To evaluate the Ge crystalline quality and the interface of Ge/Si 0.2 Ge 0.8 , TEM experiments were performed.
  • FIG. 3( b ) is a diagram that illustrates cross-sectional TEM image of the Ge on Si 0.2 Ge 0.8 in trenches from FIG. 3( a ). As shown in FIG.
  • FIG. 3( c ) is a diagram that illustrates a magnified portion of the cross-sectional TEM image of FIG. 3( b ).
  • the magnified image shown in FIG. 3( c ) exhibits a clear interface between Ge and Si 0.2 Ge 0.8 as indicated by an arrow 315 .
  • poor Ge crystalline quality resulted including a rough surface as exemplarily illustrated in FIGS. 3( a )- 3 ( c ) grew on the Si 0.2 Ge 0.8 .
  • FIG. 4( a ) is a diagram that illustrates an SEM image of Ge on coplanar Si 0.2 Ge 0.8 in oxide trenches with the 750° C. pre-bake
  • FIG. 4( b ) is a cross-sectional TEM image of the Ge on Si 0.2 Ge 0.8 of FIG. 4( a )
  • FIG. 4( c ) illustrates a magnified portion of FIG. 4( b ).
  • the SEM image shows that the sample surface is clean with a good growth selectivity of Ge.
  • the cross-sectional TEM image shows the Ge layer is much smoother than the Ge layer without the pre-bake step shown in FIG. 3( c ).
  • the Ge layer shown in FIG. 4( c ) is more defective and the defects are mainly originated from Ge/Si 0.2 Ge 0.8 interface.
  • the magnified image of FIG. 4( c ) shows a clear interface and impurities between Ge and Si 0.2 Ge 0.8 as indicated by arrow 405 .
  • the 750° C is 750° C.
  • pre-bake shows some improvement in the quality of thin Ge on Si 0.2 Ge 0.8 compared to the Ge on Si 0.2 Ge 0.8 shown in FIG. 3( a )- 3 ( c ).
  • removal of impurities such as the moisture and oxygen at the interface as shown in FIG. 4( c ) may be insufficient so that defects were generated from the interfacial impurities.
  • FIG. 5( a ) is a diagram that illustrates an SEM image of Ge on coplanar Si 0.2 Ge 0.8 in oxide trenches with the 810° C. pre-bake
  • FIG. 5( b ) is a cross-sectional TEM image of the Ge on Si 0.2 Ge 0.8 of FIG. 5( a )
  • FIG. 5( c ) illustrates a magnified portion of FIG. 5( b ).
  • the Ge sample surface shows good growth selectivity from the SEM image.
  • the Ge layer does not show noticeable defects and is similar to the underlying defect-free Si 0.2 Ge 0.8 in terms of defectivity.
  • the interfacial impurities are slightly shown in FIG. 5( c ) as indicated by an arrow 515 .
  • the interfacial impurities of FIG. 5( c ) are much less than ones without pre-bake or the pre-bake at 750° C. and did not cause the generation of defects compared to the ones without pre-bake or the pre-bake at 750° C.
  • a pre-bake at higher temperature e.g., 810° C.
  • FIGS. 6( a ) and 6 ( b ) are diagrams that illustrate SIMS depth profiles of 380-nm-thick Ge layer grown on blanket Si 0.2 Ge 0.8 after 810° C. pre-bake and without the pre-bake, respectively.
  • peak oxygen concentration 615 at the Ge/Si 0.2 Ge 0.8 interface is about 4 ⁇ 10 19 atoms/cm 3 for the 810° C.
  • FIGS. 7( a )- 7 ( c ) To determine removal of interfacial impurities and crystalline quality of Ge, pre-bake was performed at 870° C. prior to Ge growth and the results are shown in FIGS. 7( a )- 7 ( c ). Pre-bake at 870° C. may determine an upper limit of the pre-bake temperature.
  • FIG. 7( a ) is a diagram that illustrates an SEM image of Ge on coplanar Si 0.2 Ge 0.8 in oxide trenches with the 870° C. pre-bake.
  • FIG. 7( b ) is a cross-sectional TEM image of the Ge on Si 0.2 Ge 0.8 of FIG. 7( a ) and FIG. 7( c ) illustrates a magnified portion of FIG. 7( b ).
  • FIG. 7( a ) is a diagram that illustrates an SEM image of Ge on coplanar Si 0.2 Ge 0.8 in oxide trenches with the 870° C.
  • FIGS. 7( a ) illustrates that Ge/Si 0.2 Ge 0.8 structure in trenches shows an undulation along the trench after the 870° C. pre-bake and the Ge growth.
  • FIGS. 7( b ) and 7 ( c ) show that Ge/Si 0.2 Ge 0.8 interface 715 is curved or round and substantially no interfacial impurities were found at the Ge/Si 0.2 Ge 0.8 interface.
  • the results shown in FIGS. 7( a )- 7 ( c ) indicate that the 870° C. pre-bake removes interfacial impurities very well compared to the pre-bake at lower temperature.
  • the undulated surface of Ge/Si 0.2 Ge 0.8 is not suitable for device application.
  • a surface of the Ge/Si 0.2 Ge 0.8 may not suitable for device application if the bake temperature is too high.
  • FIGS. 8( a ) and 8 ( b ) are diagrams that illustrate atomic force microscope (AFM) images of Ge/Si 0.2 Ge 0.8 in trenches with 810° C. and 870° C. pre-bake, respectively.
  • the 810° C. pre-baked sample resulted in a 0.54 nm root-mean-square (RMS) roughness, which can be calculated from the AFM image, but RMS roughness of the 870° C. pre-baked sample is 9.64 nm, which is almost 20 times higher due to the undulation along the trenches.
  • RMS root-mean-square
  • the Ge layer of crystalline material at least at some stage in during its growth has a surface.
  • the surface the Ge layer (190) preferably has a surface roughness Rms of less than 7 nm, less than 5 nm, less than 3 nm, or less than 1 nm or less than 0.3 nm.
  • FIG. 9( a ) shows ⁇ 224 ⁇ RSM of 42-nm-thick Ge on Si 0.2 Ge 0.8 in trenches baked at 810° C. prior to Ge growth.
  • ⁇ 224 ⁇ peaks of thin Ge and Si 0.2 Ge 0.8 in trenches and Si substrate are shown in FIG. 9( a ).
  • X-ray diffraction measurement was performed along and perpendicular to the trenches to evaluate strain of Ge and Si 0.2 Ge 0.8 in both directions.
  • the ⁇ 224 ⁇ peak positions of the samples baked at different conditions were plotted in RSM for comparison as shown in FIG. 9( b ).
  • FIG. 9( b ) theoretical ⁇ 224 ⁇ peak positions of Si and Ge were marked. If SiGe is completely relaxed, its peak will be located on the dashed line between Si and Ge peaks in FIG. 9( b ), but it will be on the dot line along surface normal of the layer if they are fully strained. Thus, the peaks of partially relaxed epi-layers will locate between the two lines. From FIG. 8( b ), it was found that both Si 0.2 Ge 0.8 and Ge are more strained along the trench direction, but more relaxed perpendicular to the trench direction.
  • Si 0.2 Ge 0.8 is almost fully relaxed perpendicular to the trenches even without the bake but the peak position of Si 0.2 Ge 0.8 along the trench direction gets closer to the dash line at higher bake temperature indicating it is more relaxed by higher temperature bake. From the lattice constant calculated from the position of Ge (224) peaks, in one embodiment, the 42-nm-thick Ge has 1% and 0.45% elastic strain along and perpendicular to the trench direction, respectively.
  • the Ge layer of crystalline material is formed over or at the underlying lattice-mismatched semiconductor crystalline SiGe alloy.
  • Si has smaller lattice constant about 4% smaller than Ge.
  • the lattice-mismatch in this example will vary approximately linearly based the percentages of Si and Ge in the SiGe.
  • a thickness of the Ge layer can vary, but preferably remains sufficiently thin such that the strain is maintained.
  • the Ge layer (190) is preferably at least 20 nm thick, at least 40 nm thick, at least 100 nm thick or at least 200 nm thick.
  • the crystalline material 190 has elastic strain at least along a first direction, along a second direction perpendicular to the first direction or along both the first and second directions.
  • the strain is at least 0.5%, at least 1%, at least 2% or at least 4% compressive strain along the first direction or at least 0.5%, at least 1%, at least 2%, at least 4% compressive strain along the second direction.
  • While these examples are directed to the CVD growth of germanium on SiGe, other materials may be used.
  • a growth temperature of 500-800° C. is normally used to obtain sufficient growth rates on a blanket substrate.
  • these materials may be grown by CVD at a temperature less than 500° C. or 400-500° C. when grown over a planarized first crystalline material.
  • the temperature for the pre-bake or preparation process e.g., cleaning at higher temperature in H 2 gas
  • the growth step is preferably independent of the growth temperature for semiconductor crystalline material to be used.
  • compounds of Group III (Al, Ga, In) and Group V (Sb) such as GaSb, AlSb and InSb can be epitaxially grown by CVD on a blanket substrate with a growth temperature in the range of 400-700° C.
  • these materials may be grown by CVD at a temperature less than 400° C. or 300-400° C. when grown over a planarized first crystalline material.
  • the temperature for the pre-bake or preparation process (e.g., cleaning at higher temperature in H 2 gas) before the growth step is preferably independent of the growth temperature for semiconductor crystalline material to be used.
  • Group III-N compounds can be epitaxially grown by CVD on a blanket substrate with a growth temperature in the range of 500-1100° C.
  • these materials may be grown by CVD at a temperature less than 500° C. or 400-500° C. when grown over a planarized first crystalline material.
  • the temperature for the pre-bake or preparation process e.g., cleaning at higher temperature in H 2 gas
  • the growth step is preferably independent of the growth temperature for semiconductor crystalline material to be used.
  • Group II-VI compounds can be epitaxially grown by CVD on a blanket substrate with a growth temperature in the range of 250-600° C.
  • these materials may be grown by CVD at a temperature less than 250° C. or 200-250° C. when grown over a planarized first crystalline material.
  • the temperature for the pre-bake or preparation process e.g., cleaning at higher temperature in H 2 gas
  • the growth step is preferably independent of the growth temperature for semiconductor crystalline material to be used.
  • Group IV elements and compounds can be epitaxially grown by CVD on a blanket substrate with a growth temperature in the range of 400-1000° C.
  • these materials may be grown by CVD at a temperature less than 400° C. or 300-400° C. when grown over a planarized ART crystalline material.
  • the temperature for the pre-bake or preparation process (e.g., cleaning at higher temperature in H 2 gas) before the growth step is preferably independent of the growth temperature for semiconductor crystalline material to be used.
  • low-defectivity, compressively-strained Ge on polished Si 0.2 Ge 0.8 fabricated in oxide-trenches using ART techniques was achieved.
  • an increasingly higher pre-bake temperature may benefit interface characteristics but exceed a thermal tolerance of a device or of the confined crystalline material resulting in unacceptably high surface roughness (rms).
  • One potential cause of the high surface roughness may be lattice-mismatch strain in the confined crystalline material that cannot be released through other mechanisms, which can result in a limited thermal budget for a cleaning or pre-bake process.
  • a pre-bake or cleaning process prior to the growth of the crystalline material 190 lasted for 1 minute.
  • the pre-bake process can be at least 10 seconds long, at least 30 seconds long, at least 2 minutes long, at least 5 minutes long or at least 10 minutes long.
  • a pre-bake temperature range can be a smaller range within or slightly above a blanket growth temperature range.
  • gases including hydrogen can be used.
  • hydrogen and inert gas combinations may be used.
  • hydrogen combined with argon or nitrogen gas can be used.
  • a pre-bake process can reduce levels of impurities at a planarized surface.
  • a pre-bake process used a corresponding temperature range around 760° C. to 860° C.
  • a pre-bake process can use a temperature range between 780° C. to 840° C., a range between 800° C. to 820° C., or a temperature around 810° C., however, such temperature ranges are not intended to be so limited as a temperature range will vary with materials and/or conditions actually used. For example, should silicon content be reduced (e.g., Si 0.1 Ge 0.9 ), a corresponding temperature range may increase.
  • Exemplary embodiments of low defect, strained semiconductor crystalline material having a reduced surface roughness, semiconductor devices and methods for fabricating and using the same described above disclosed undoped semiconductor materials can be applied to n-doped semiconductor materials or p-doped semiconductor materials at known concentration for devices such as those described above with similar results.
  • crystalline material 140 may have less than about 1 ⁇ 10 6 line defects/cm 2 (e.g., above the defect trapping region 155 ). Alternatively, crystalline material 140 may have a line defect density of about 1 ⁇ 10 7 defects/cm 2 to 1 ⁇ 10 8 defects/cm 2 or less. Alternatively, crystalline material 140 may be substantially free of line defects and/or plane defects. According to one embodiment, crystalline material 190 can have a defectivity characteristic matching the underlying crystalline material 140 . Dopants are typically added to a semiconductor material to increase its charge carriers (electrons or holes); as is understood in the art, and for the purpose of this application, defects are not considered to include dopants.
  • low-defectivity, compressively-strained Ge on Si 0.2 Ge 0.8 was fabricated in oxide-trenches using ART techniques.
  • Pre-bake step in H 2 prior to thin Ge growth on coplanar Si 0.2 Ge 0.8 with oxide trenches achieved substantially defect-free and smooth Ge.
  • Oxygen concentration was reduced from 2 ⁇ 10 21 cm 3 to 4 ⁇ 10 19 cm 3 by the 810° C. pre-bake.
  • embodiments according to the invention have excellent compatibility with silicon CMOS because of low defects levels, small layer thickness and a low thermal budget.
  • a silicon CMOS device may be processed to include embodiments of the invention; therefore, embodiment of devices such as LEDs or photovoltaic devices according to the invention integrated with CMOS process may be fabricated.
  • a silicon CMOS process can fabricate semiconductor devices (e.g., transistors) or elements (e.g., an electrode, contact hole, contacts) before or after embodiments according to this application for integration.
  • semiconductor devices e.g., transistors
  • elements e.g., an electrode, contact hole, contacts
  • structures and/or methods according to disclosed embodiments can be used for integration of non-Si channel or active regions for next generation CMOS and for a wide variety of other applications.
  • this invention has a wide variety of applications. While not limited to ART technology, this invention has many applications within ART technology. For example, use of this invention may be used to create strained Ge over a SiGe alloy grown in an opening within an insulator. One or both of the Ge and SiGe layers may be grown in accordance with the invention and/or may have a surface of reduced roughness.
  • a wide variety of devices may incorporate the invention. While not limiting to these devices, the invention may be particularly applicable to mixed signal applications, field effect transistors, quantum tunneling devices, light emitting diodes, laser diodes, resonant tunneling diodes and photovoltaic devices, especially those using ART technology.
  • any reference in this specification to “one embodiment,” “an embodiment,” “example embodiment,” etc. means that a particular feature, structure, or characteristic described in connection with the embodiment can be included or combined in at least one embodiment of the invention.
  • the appearances of such phrases in various places in the specification are not necessarily all referring to the same embodiment.
  • certain method procedures may have been delineated as separate procedures; however, these separately delineated procedures should not be construed as necessarily order dependent in their performance.
  • exemplary diagrams illustrate various methods in accordance with embodiments of the present disclosure. Such exemplary method embodiments are described herein using and can be applied to corresponding apparatus embodiments, however, the method embodiments are not intended to be limited thereby.
  • Coupled and “connect” (and derivations thereof) are used to connote both direct and indirect connections/couplings.
  • “having” and “including”, derivatives thereof and similar transitional terms or phrases are used synonymously with “comprising” (i.e., all are considered “open ended” terms)—only the phrases “consisting of” and “consisting essentially of” should be considered as “close ended”. Claims are not intended to be interpreted under 112 sixth paragraph unless the phrase “means for” and an associated function appear in a claim and the claim fails to recite sufficient structure to perform such function.

Abstract

A surface of the first semiconductor crystalline material has a reduced roughness. A semiconductor device includes a low defect, strained second semiconductor crystalline material over the surface of the first crystalline material. A surface of the strained second semiconductor crystalline material has a reduced roughness. One example includes obtaining a surface with reduced roughness by creating process parameters that reduce impurities at an interfacial boundary between the first and second semiconductor crystalline materials. In one embodiment, the first semiconductor crystalline material can be confined by an opening in an insulator having an aspect ratio sufficient to trap defects using Aspect Ratio Trapping techniques.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • The present application claims priority from U.S. provisional patent application Ser. No. 61/098,734, filed Sep. 19, 2008, by Ji-Soo Park and James G. Fiorenza entitled “IMPROVED FABRICATION AND STRUCTURES OF CRYSTALLINE MATERIAL” and incorporated by reference herein and for which benefit of the priority date is hereby claimed.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates to fabrication or structures including a semiconductor crystalline material. For example, improved epitaxial growth or structures may occur over a planarized surface including a semiconductor crystalline material.
  • 2. Description of the Related Art
  • This section provides background information and introduces information related to various aspects of the disclosure that are described and/or claimed below. These background statements are not admissions of prior art.
  • Integration of lattice-mismatched semiconductor materials is one path to high performance devices such as complementary metal-oxide-semiconductor (CMOS) field-effect transistors (FET) due to their high carrier mobility. For example, the heterointegration of lattice-mismatched semiconductor materials with silicon will be useful for a wide variety of device applications.
  • One heterointegration method involves replacing silicon (Si) channel with high-mobility materials for CMOS devices, for example, high-performance devices beyond the limit of device scaling. However, planarization is typically required for device fabrication because deviations from a flat surface can lead to device fault and/or different device characteristics within an IC or different device characteristics among concurrently manufactured devices. Chemical mechanical polishing (CMP) of the selected lattice-mismatched semiconductor materials is an option to smooth the surface of the material. However, the CMP surface must be smooth and surface impurities must be avoided. Additional cleaning of a polished surface during preparation should not substantially impair its smoothness. Thus, there exists a need to prepare a surface of lattice-mismatched materials in a confined or selectively grown area (e.g., an active region of crystalline materials), which may include planarized materials for subsequent processing.
  • Further, there is a need to reduce the surface roughness of semiconductor crystalline materials. For example, there is a need to reduce the surface roughness of semiconductor crystalline materials associated with various Aspect Ratio Trapping (ART) techniques in corresponding semiconductor devices.
  • SUMMARY OF THE INVENTION
  • Embodiments according to the present invention provide methods and apparatus to form semiconductor crystalline materials suitable for device fabrication and/or devices made thereby.
  • In one aspect, one embodiment of the invention can provide planarized heteroepitaxial regions (e.g., wafers) suitable for device fabrication and/or devices including the same.
  • In another aspect, one embodiment of the invention can provide clean planarized heteroepitaxial regions suitable for device fabrication and/or devices including the same.
  • In another aspect, one embodiment of the invention can provide reduced or low impurity planarized heteroepitaxial regions suitable for device fabrication and/or devices including the same.
  • In another aspect, one embodiment of the invention can provide planarized heteroepitaxial regions heated under selected conditions suitable for device fabrication and/or devices including the same.
  • In another aspect, one embodiment of the invention can provide planarized heteroepitaxial regions having selected characteristics suitable for device fabrication and/or devices including the same.
  • Therefore, it is an aspect of one embodiment of the invention to provide an epitaxially grown semiconductor crystalline material with a reduced surface roughness.
  • An alternative aspect of one embodiment of the invention is to provide a semiconductor crystalline material with a reduced surface roughness at a planarized (e.g., different) semiconductor crystalline material.
  • An alternative aspect of one embodiment of the invention is to provide an epitaxially grown crystalline material with a reduced surface roughness over an interface having reduced impurities to another grown crystalline material.
  • In yet another aspect, one embodiment of the invention provides a process for the epitaxial growth of a strained, low defect crystalline material at a planarized semiconductor crystalline material.
  • In yet another aspect, one embodiment of the invention provides a process for preparing a semiconductor crystalline material with reduced surface roughness for subsequent processing and/or semiconductor devices including the surface.
  • These aspects may be especially applicable to devices incorporating Aspect Ratio Trapping (ART) techniques, including but not limited to a mixed signal application device, a field effect transistor, a quantum tunneling device, a light emitting diode, a laser diode, a resonant tunneling diode and a photovoltaic device. The ART devices may have crystalline material epitaxially grown in openings or confined areas with an aspect ratio (depth/width)>1, or otherwise suitable for trapping most defects.
  • Additional aspects and utilities of the invention will be set forth in part in the description which follows and, in part, will be obvious from the description, or may be learned by practice of the invention.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • These and/or other aspects and utilities of the present general inventive concept will become apparent and more readily appreciated from the following description of the embodiments, taken in conjunction with the accompanying drawings, of which:
  • FIG. 1 a is a diagram that illustrates a cross-sectional schematic of strained-Ge on SiGe in trenches using ART.
  • FIG. 1 b is a diagram that illustrates a cross-sectional schematic of an alternate configuration (e.g., confined area for crystalline material) of an ART structure.
  • FIG. 2 comprises FIGS. 2( a)-2(b). FIGS. 2( a)-(b) illustrate SEM images of (a) as-grown Si0.2Ge0.8 and in trenches and (b) after CMP.
  • FIG. 3 comprises FIGS. 3( a)-3(c). FIGS. 3( a)-3(c) illustrate (a) SEM and (b) cross-sectional TEM image of Ge on Si0.2Ge0.8 in trenches without pre-bake step prior to Ge growth and (c) the magnified TEM image at Ge/Si0.2Ge0.8 interface.
  • FIG. 4 comprises FIGS. 4( a)-4(c). FIGS. 4( a)-4(c) illustrate (a) SEM and (b) cross-sectional TEM image of Ge on Si0.2Ge0.8 in trenches with the 750° C. pre-bake step prior to Ge growth and (c) the magnified TEM image at Ge/Si0.2Ge0.8 interface.
  • FIG. 5, comprising FIGS. 5( a)-5(c). FIGS. 5( a)-5(c) illustrate (a) SEM and (b) cross-sectional TEM image of Ge on Si0.2Ge0.8 in trenches with the 810° C. pre-bake step prior to Ge growth and (c) the magnified TEM image at Ge/Si0.2Ge0.8.
  • FIG. 6, comprising FIGS. 6( a)-6(b). FIGS. 6( a)-6(b) illustrate SIMS depth profiles of oxygen of blanket Ge/Si0.2Ge0.8 (a) without pre-bake and (b) with 810° C. pre-bake step prior to Ge growth.
  • FIG. 7, comprising FIGS. 7( a)-7(c). FIGS. 7( a)-7(c) illustrate (a) SEM and (b) cross-sectional TEM image of thin Ge on Si0.2Ge0.8 in trenches with the 870° C. pre-bake step prior to Ge growth and (c) the magnified TEM image at Ge/Si0.2Ge0.8 interface.
  • FIG. 8, comprising FIGS. 8( a)-8(b). FIGS. 8( a)-8(b) illustrate AFM images of thin Ge on Si0.2Ge0.8 in trenches with (a) 810° C. and (b) 870° C. pre-bake step prior to Ge growth.
  • FIG. 9 comprises FIGS. 9( a) and 9(b) where FIG. 9( a) illustrates RSM of {224} peaks of thin Ge on Si0.2Ge0.8 in trenches with the 810° C. pre-bake and FIG. 9( b) illustrates the plot showing peak positions of Si, Si0.2Ge0.8, and Ge of the Ge on Si0.2Ge0.8 in trenches with different pre-bake conditions.
  • DETAILED DESCRIPTION OF EMBODIMENTS
  • Reference will now be made in detail to the embodiments of the present general inventive concept, examples of which are illustrated in the accompanying drawings, wherein like reference numerals refer to like elements throughout. The embodiments are described below in order to explain the present general inventive concept by referring to the figures.
  • The formation of lattice-mismatched materials has many practical applications. For example, heteroepitaxial growth of group IV materials or compounds, and III-V, III-N and II-VI compounds on a crystalline substrate, such as silicon, has many applications such as photovoltaics, resonant tunneling diodes (RTD's), transistors (e.g., FET (which can be planar or 3D (e.g., finFET), HEMT, etc.), light-emitting diodes and laser diodes. As one example, heteroepitaxy of germanium on silicon is considered a promising path for high performance p-channel metal-oxide-semiconductor (MOS) field-effect transistors (FET) and for integrating optoelectronic devices with silicon complementary MOS (CMOS) technology. Heteroepitaxy growth of other materials (e.g., of group III-V, III-N and II-VI compounds and other group IV materials or compounds) also is beneficial for these and other applications.
  • Germanium (Ge), a group IV material, is one of the possible candidates for high-mobility channels for CMOS devices because of its higher carrier mobility compared with silicon (Si). In addition, compressive strain in Ge was reported to show further enhancement in carrier mobility likely caused by band splitting and reduction of hole effective mass. Significant improvements in device performance have been demonstrated using compressively-strained Ge channel. However, to date methods to form such structures and/or such structures remain difficult to achieve.
  • For example, the dislocation density of the epitaxially grown material can be unacceptably high for many applications. For example, the dislocation density of germanium directly grown on silicon can be as high as 108-109 cm−2 due to the 4.2% lattice mismatch between the two materials—unacceptable for most device applications. Various approaches to reducing the defect density have been pursued, including compositional grading, and post-epi high-temperature annealing. However, these approaches may not be optimal for integration with silicon-based CMOS technology due to requirements for thick epi-layers and/or high thermal budgets, or due to incompatibility with selective growth at a density suitable for CMOS integration.
  • Aspect Ratio Trapping (ART) is a defect reduction technique that mitigates these problems. As used herein, “ART” or “aspect ratio trapping” refers generally to the technique(s) of causing defects to terminate at non-crystalline, e.g., dielectric, sidewalls, where the sidewalls are sufficiently high relative to the size of the growth area so as to trap most, if not all, of the defects. ART utilizes high aspect ratio openings, such as trenches or holes, to trap dislocations, preventing them from reaching the epitaxial film surface, and greatly reduces the surface dislocation density within the ART opening.
  • FIG. 1 a is a diagram that shows a cross section of a lattice-mismatched material 140 of high quality above a defect trapping region 155 using ART. As illustrated here, a crystalline material 140 is epitaxially grown on substrate 100 (here, for example, on the (001) surface of a silicon substrate). By confining the crystalline growth within an opening 120 (e.g., trench, recess or the like) of insulator 130 with a sufficiently high aspect ratio (e.g., 1 or greater, 0.5 or greater), defects 150 (e.g., threading dislocations) formed while epitaxially growing the crystalline material 140 travel to and end at the sidewalls (e.g., insulator sidewalls) 110. Thus, the crystalline material 140 continues to grow without the continued growth of the defects 150, thereby producing crystal with reduced defects above the defect trapping region 155. Defects 150 in the crystalline material 140 can decrease in density with increasing distance from the bottom portion of the opening 120. Accordingly, the bottom portion of the second crystalline semiconductor material 140 comprises crystalline (e.g., lattice) defects, and the upper portion of the crystalline material is substantially exhausted of crystalline defects. Various crystalline defects 150 such as threading dislocations, stacking faults, twin boundaries, or anti-phase boundaries may thus be substantially eliminated from the upper portion of the crystalline material. This technique has been shown to be effective for growing low defectivity materials such as Ge, InP and GaAs selectively on Si in trenches e.g., 200-450 nm wide and of arbitrary length; an area large enough for devices such as a FET, for example. Such trenches can be wider or narrower.
  • In one example, the width of the opening 120 may be 400 nm or less, 350 nm or less, 200 nm or less, 100 nm or less or 50 nm or less; these sizes have been shown to be effective for ART (of course these sizes do not need to be used with ART). Alternatively, the width of the opening may be 5 μm or less. In another alternative, the width of the opening may be 1 μm or less. The opening may be formed as a trench (with the length of the trench running front to back as shown in FIG. 1 a) in which case the width would be considered to be perpendicular to its length and height. The length of the trench may be arbitrary. Alternatively, the length of the trench may be substantially larger than the width of the trench, for example greater than 10 times larger, or greater than 100 times larger. In one example, the length of the trench can be 20 μm.
  • It is preferred, but not necessary, that the opening 120 is used to trap defects when epitaxially growing the crystalline material 140 using ART (aspect ratio trapping) techniques. (Aspect ratio “AR” is defined for trenches as the ratio of the trench height/trench width.) In such a case, the aspect ratio may be greater than 1, although it possible for the aspect ratio to be lower in ART devices, for example 0.5. In one embodiment, the crystalline material 140 can include two different semiconductor materials or more than one semiconductor material (e.g., GaAs/InP/InGaAs) such as first, second and third materials where the first material can be Ge or GaAs, can be less than 100 nm or can have bonding characteristics to a substrate and the third material is polished. Further details of example ART devices and ART techniques in which this invention may be incorporated may be found in U.S. patent application Ser. Nos. 11/436,198 filed May 17, 2006, 11/493,365 filed Jul. 26, 2006 and 11/852,078 filed Sep. 7, 2007, and U.S. patent application Ser. Nos. 12/476,460 filed Jun. 2, 2009 entitled “Improved Epitaxial Growth of Crystalline Material” (Attorney Docket no. ASC-3001XX) and 12/503,597 filed Jul. 15, 2009 entitled “Polishing of Small Composite Semiconductor Materials” (Attorney Docket no. ASC-3003XX), all of which are hereby incorporated by reference.
  • The substrate 100 in the above examples may include a group IV element or compound, such as germanium and/or silicon, e.g., (001) silicon. The crystalline material 140 may include at least one of a group IV element or compound, a III-V or III-N compound, or a II-VI compound. Examples of group IV elements include Ge, Si and examples of group IV compounds include SiGe. Examples of III-V compounds include aluminum phosphide (AlP), gallium phosphide (GaP), indium phosphide (InP), aluminum arsenide (AlAs), gallium arsenide (GaAs), indium arsenide (InAs), aluminum antimonide (AlSb), gallium antimonide (GaSb), indium antimonide (InSb), and their ternary and quaternary compounds. Examples of III-N compounds include aluminum nitride (AlN), gallium nitride (GaN), indium nitride (InN), and their ternary and quaternary compounds. Examples of II-VI compounds include zinc selenide (ZnSe), zinc telluride (ZnTe), cadmium selenide (CdSe), cadmium telluride (CdTe), zinc sulfide (ZnS), and their ternary and quaternary compounds.
  • The layer of insulator need not be formed as a substantially planar layer. For example, the insulator may be formed of a thin layer that conforms to an undulating surface of the substrate on which it is created. FIG. 1 b illustrates an example including a substrate that has openings/recesses/trenches 120 etched into the substrate 100. An insulating layer 180 has been formed across the substrate 100 conforming to the surface topography of the etched substrate 100. The insulating layer 180 is configured at the bottom of the openings/trenches to expose portions 160 of the substrate 100 for subsequent lattice-mismatched crystalline material. In this case, sidewalls 110 of the insulating layer 180 can be formed by deposition on or oxidation of the substrate 100 and are not formed by a separate photolithography process. Exemplary configurations of the openings 120 and portions 160 are illustrated however, embodiments of the invention are not intended to be so limited, for example, as other linear, tiered or nonlinear cross-sections may be used for the openings 120 and the portions 160.
  • An embodiment of the invention is directed to a device including an epitaxially grown first crystalline semiconductor material over a planarized second crystalline semiconductor surface. Preferably the grown first crystalline semiconductor material has a top surface with reduced roughness. Preferably the grown first crystalline semiconductor material is compressively or elastically strained. Preferably the grown first crystalline semiconductor material has low-defectivity that may be similar to the underlying second crystalline semiconductor material. Preferably, the second crystalline semiconductor material is different from the first crystalline material. Preferably, the planarized second crystalline semiconductor surface can be cleaned without impairing a reduced roughness of its surface. Preferably, impurities at an interface between the second crystalline semiconductor material and the first crystalline semiconductor material can be reduced.
  • An embodiment of the invention is directed to a device including a semiconductor crystalline material over a planarized lattice-mismatched material in an opening in an insulator. FIG. 1 a shows one example, illustrating a cross-sectional view of a portion of an exemplary device. As shown in FIG. 1 a, one example includes the crystalline material 140 (e.g., SiGe alloy; SixGe1-x; Si0.2Ge0.8) grown on a substrate 100 in the opening 120 defined in the insulator 130 for a non-Si channel device (e.g., MOSFET). The substrate 100 may be a crystalline material such as silicon, Ge or sapphire. Insulator 130 is preferably a non-crystalline material such as a dielectric material including silicon nitride, SiO2 or the like. The crystalline material 140 at least at some stage has a surface above the top surface of insulator 130. A planarized surface preferably includes at least a surface of portions of the crystalline material 140 and the insulator 130. An additional semiconductor crystalline material 190 is preferably provided over/at the crystalline material 140.
  • The following description in connection with FIGS. 1 a-9 explains examples of how surfaces of a low-defect strained crystalline material at or grown over a polished structure (e.g., an ART structure) may be obtained with reduced surface roughness. The following description further describes examples of how surfaces of the lattice-mismatched or crystalline semiconductor material within a confined space (e.g., crystalline material 140 within insulator 130) may be prepared or provided with prescribed surface characteristics. Although this description is in connection with specific materials and process parameters, it will be apparent that the description is exemplary only, and should not be considered to limit the invention to such materials and process parameters.
  • The following materials and process parameters were used for the Ge grown as discussed in connection with FIGS. 1 a-9. The starting substrates used in this work were crystalline silicon, 200 mm diameter and (001) oriented. A 500-nm-thick thermal oxide was grown on the substrate. The oxide layer was patterned into trenches along [110] direction of the silicon substrate having 200 nm widths. The trenches were formed using conventional photolithography techniques and a reactive ion etching (RIE) step. The patterned substrates were then cleaned in Pirana, SC2, and dilute HF solutions sequentially. Removal of fluorocarbon residues caused by RIE was accomplished using a 25-nm-thick sacrificial oxidation and subsequent dilute HF cleaning (e.g., HF oxide etch). The final trench height was 490 nm after this cleaning procedure. SiGe layers over 500 nm were grown by chemical vapor deposition (CVD) on the exposed Si substrate (e.g., in the trenches) in an industrial ASM Epsilon E2000 system. This CVD system is a horizontal, cold-wall, single wafer, load-locked reactor with a lamp-heated graphite susceptor in a quartz tube.
  • Si0.2Ge0.8 layers were grown in the trenches at 600° C. and 80 Torr for 1200 sec using ASM Epsilon E2000 commercial grade epitaxy reactor. 25% germane (GeH4) diluted in H2 and 100% dichlorosilane (SiH2Cl2) was used as a precursor of germanium and silicon, respectively and hydrogen as a diluent gas. Chemical-mechanical-polishing (CMP) of Si0.2Ge0.8 was performed to make the SiGe layers coplanar with oxide sidewall using a Strasbaugh 6EC. A Nalco 2360 slurry (70 nm colloidal silica) was used. Nalco 2360 slurry is a commercially available colloidal silica polishing slurry having submicron silica particles in an aqueous solution. Either NaOCl, NH4OH, or H2O2 solution was added to the diluted slurry to enhance the SiGe removal rate relative to the oxide sidewall. Thus, each slurry mix consisted of part Nalco 2360, part chemical additive, and the remainder was deionized water (DI) water, adding up to 100% of the slurry mix. An exemplary CMP process of the Strasbaugh 6EC included ramp-up, a polish, ramp-down and rinse.
  • An exemplary post-CMP clean, using the Verteq Goldfinger single-wafer megasonic post-CMP tool, included a contamination spin-off, process time, rinse time, and spin dry time. In one example, megasonic power of 125 W and DI water at a rate of 1.7-3 L/min may be used. After the megasonic post-CMP clean process, the substrates were cleaned in a diluted HF solution. Then, a Ge layer (e.g., 42-nm-thick) was grown on coplanar Si0.2Ge0.8 at 350° C. and at the same pressure using the same reactor as the Si0.2Ge0.8 growth. Just prior to the Ge growth step in the growth chamber, the wafers were baked at 750, 810, or 870° C. for 1 min in H2 at the same growth pressure. For comparison, Ge was grown on some wafers without the pre-bake step. Strain of Ge (190) on Si0.2Ge0.8 (140) was determined from high-resolution reciprocal space map (RSM) of asymmetric {224} peaks using a Panalytical X'Pert diffractometer. Scanning electron microscopy (SEM) and transmission electron microscopy (TEM) were used for the characterization. Cross-sectional scanning electron microscope (SEM) analysis samples were observed using a Zeiss Supra 40 field-emission SEM. Cross-sectional TEM samples were prepared by mechanical polishing and Ar ion milling and the TEM images were taken on a JEOL JEM 2100 microscope operating at 200 kV.
  • FIGS. 2( a) and 2(b) show Si0.2Ge0.8 in oxide trenches before and after the Si0.2Ge0.8 CMP, respectively. The surface of the grown Si0.2Ge0.8 is above a top surface of the oxide trenches. The surface of the Si0.2Ge0.8 grown over the oxide trenches was very rough because the coalescence of Si0.2Ge0.8 was not completely uniform as shown in FIG. 2( a). For example, coalescence of the Si0.2Ge0.8 is indicated by arrow 205, however an un-coalesced portion of the Si0.2Ge0.8 is indicated by arrow 215. A smooth Si0.2Ge0.8 coplanar with the oxide trenches was obtained after CMP as shown in FIG. 2( b), which is suitable for strained-Ge growth and device applications.
  • FIG. 3( a) is a diagram that illustrates an SEM image of thin Ge on coplanar Si0.2Ge0.8 in oxide trenches without the pre-bake step prior to the Ge growth. As shown in FIG. 3( a), the Ge growth was selective without the formation of Ge islands on the oxide. To evaluate the Ge crystalline quality and the interface of Ge/Si0.2Ge0.8, TEM experiments were performed. FIG. 3( b) is a diagram that illustrates cross-sectional TEM image of the Ge on Si0.2Ge0.8 in trenches from FIG. 3( a). As shown in FIG. 3( b), threading dislocations (TDs) from the Si0.2Ge0.8/Si interface were trapped by ART techniques as indicated by arrows 305 and the top part of the Si0.2Ge0.8 in the trenches has substantially reduced defects or is free of TDs. The interesting thing is that the thin Ge on top of the Si0.2Ge0.8 is not smooth. FIG. 3( c) is a diagram that illustrates a magnified portion of the cross-sectional TEM image of FIG. 3( b). The magnified image shown in FIG. 3( c) exhibits a clear interface between Ge and Si0.2Ge0.8 as indicated by an arrow 315. Even after the polishing and cleaning procedures, poor Ge crystalline quality resulted including a rough surface as exemplarily illustrated in FIGS. 3( a)-3(c) grew on the Si0.2Ge0.8.
  • To determine a pre-bake temperature and a corresponding effect on the quality of thin Ge on Si0.2Ge0.8, a pre-bake was performed at 750° C. for one minute before the Ge growth and the results are shown in FIGS. 4( a)-4(c). FIG. 4( a) is a diagram that illustrates an SEM image of Ge on coplanar Si0.2Ge0.8 in oxide trenches with the 750° C. pre-bake, FIG. 4( b) is a cross-sectional TEM image of the Ge on Si0.2Ge0.8 of FIG. 4( a) and FIG. 4( c) illustrates a magnified portion of FIG. 4( b). In FIG. 4( a), the SEM image shows that the sample surface is clean with a good growth selectivity of Ge. In FIG. 4( b), the cross-sectional TEM image shows the Ge layer is much smoother than the Ge layer without the pre-bake step shown in FIG. 3( c). However, compared to the defect-free underlying Si0.2Ge0.8, the Ge layer shown in FIG. 4( c) is more defective and the defects are mainly originated from Ge/Si0.2Ge0.8 interface. The magnified image of FIG. 4( c) shows a clear interface and impurities between Ge and Si0.2Ge0.8 as indicated by arrow 405. Thus, the 750° C. pre-bake shows some improvement in the quality of thin Ge on Si0.2Ge0.8 compared to the Ge on Si0.2Ge0.8 shown in FIG. 3( a)-3(c). However, removal of impurities such as the moisture and oxygen at the interface as shown in FIG. 4( c) may be insufficient so that defects were generated from the interfacial impurities.
  • To determine removal of interfacial impurities and crystalline quality of Ge, pre-bake was performed at 810° C. prior to Ge growth and the results are shown in FIGS. 5( a)-5(c). FIG. 5( a) is a diagram that illustrates an SEM image of Ge on coplanar Si0.2Ge0.8 in oxide trenches with the 810° C. pre-bake, FIG. 5( b) is a cross-sectional TEM image of the Ge on Si0.2Ge0.8 of FIG. 5( a) and FIG. 5( c) illustrates a magnified portion of FIG. 5( b). As shown in FIG. 5( a), the Ge sample surface shows good growth selectivity from the SEM image. As shown in FIG. 5( b), the Ge layer does not show noticeable defects and is similar to the underlying defect-free Si0.2Ge0.8 in terms of defectivity. The interfacial impurities are slightly shown in FIG. 5( c) as indicated by an arrow 515. However, the interfacial impurities of FIG. 5( c) are much less than ones without pre-bake or the pre-bake at 750° C. and did not cause the generation of defects compared to the ones without pre-bake or the pre-bake at 750° C. Thus, a pre-bake at higher temperature (e.g., 810° C.) can lead to better removal of interfacial impurities at Ge/Si0.2Ge0.8 interface, which should make the crystalline quality of Ge even better.
  • To evaluate the removal (e.g., decrease) of interfacial impurities by the pre-bake, the concentration of oxygen 605 at the Ge/Si0.2Ge0.8 was measured using secondary ion mass spectroscopy (SIMS). FIGS. 6( a) and 6(b) are diagrams that illustrate SIMS depth profiles of 380-nm-thick Ge layer grown on blanket Si0.2Ge0.8 after 810° C. pre-bake and without the pre-bake, respectively. As shown in FIGS. 6( a)-6(b), peak oxygen concentration 615 at the Ge/Si0.2Ge0.8 interface is about 4×1019 atoms/cm3 for the 810° C. pre-baked sample prior to Ge growth, but peak oxygen concentration 625 at the Ge/Si0.2Ge0.8 interface is 2×1021 atoms/cm3 without the pre-bake. This result indicates the oxygen concentration at the interface was reduced by almost two orders of magnitude after the 810° C. pre-bake. Also, illustrated in FIGS. 6( a) and 6(b) is the composition amount of Ge 635 plotted by depth through the 380 nm Ge layer on the blanket Si0.2Ge0.8 layer.
  • To determine removal of interfacial impurities and crystalline quality of Ge, pre-bake was performed at 870° C. prior to Ge growth and the results are shown in FIGS. 7( a)-7(c). Pre-bake at 870° C. may determine an upper limit of the pre-bake temperature. FIG. 7( a) is a diagram that illustrates an SEM image of Ge on coplanar Si0.2Ge0.8 in oxide trenches with the 870° C. pre-bake. FIG. 7( b) is a cross-sectional TEM image of the Ge on Si0.2Ge0.8 of FIG. 7( a) and FIG. 7( c) illustrates a magnified portion of FIG. 7( b). FIG. 7( a) illustrates that Ge/Si0.2Ge0.8 structure in trenches shows an undulation along the trench after the 870° C. pre-bake and the Ge growth. FIGS. 7( b) and 7(c) show that Ge/Si0.2Ge0.8 interface 715 is curved or round and substantially no interfacial impurities were found at the Ge/Si0.2Ge0.8 interface. The results shown in FIGS. 7( a)-7(c) indicate that the 870° C. pre-bake removes interfacial impurities very well compared to the pre-bake at lower temperature. As shown in FIG. 7( a) however, the undulated surface of Ge/Si0.2Ge0.8 is not suitable for device application. Thus, a surface of the Ge/Si0.2Ge0.8 may not suitable for device application if the bake temperature is too high.
  • The sample roughness was characterized by tapping-mode atomic force microscopy (AFM) with a Veeco Dimension 3100. FIGS. 8( a) and 8(b) are diagrams that illustrate atomic force microscope (AFM) images of Ge/Si0.2Ge0.8 in trenches with 810° C. and 870° C. pre-bake, respectively. The 810° C. pre-baked sample resulted in a 0.54 nm root-mean-square (RMS) roughness, which can be calculated from the AFM image, but RMS roughness of the 870° C. pre-baked sample is 9.64 nm, which is almost 20 times higher due to the undulation along the trenches.
  • As described above, the Ge layer of crystalline material at least at some stage in during its growth has a surface. In one embodiment, the surface the Ge layer (190) preferably has a surface roughness Rms of less than 7 nm, less than 5 nm, less than 3 nm, or less than 1 nm or less than 0.3 nm.
  • From such exemplary process conditions, strained-Ge/Si0.2Ge0.8 structure in trenches was successfully fabricated. Strains in exemplary embodiments of Ge and SiGe can be evaluated using high-resolution RSM of {224} peaks. FIG. 9( a) shows {224} RSM of 42-nm-thick Ge on Si0.2Ge0.8 in trenches baked at 810° C. prior to Ge growth. {224} peaks of thin Ge and Si0.2Ge0.8 in trenches and Si substrate are shown in FIG. 9( a). X-ray diffraction measurement was performed along and perpendicular to the trenches to evaluate strain of Ge and Si0.2Ge0.8 in both directions. The {224} peak positions of the samples baked at different conditions were plotted in RSM for comparison as shown in FIG. 9( b). As shown in FIG. 9( b), theoretical {224} peak positions of Si and Ge were marked. If SiGe is completely relaxed, its peak will be located on the dashed line between Si and Ge peaks in FIG. 9( b), but it will be on the dot line along surface normal of the layer if they are fully strained. Thus, the peaks of partially relaxed epi-layers will locate between the two lines. From FIG. 8( b), it was found that both Si0.2Ge0.8 and Ge are more strained along the trench direction, but more relaxed perpendicular to the trench direction. In addition, Si0.2Ge0.8 is almost fully relaxed perpendicular to the trenches even without the bake but the peak position of Si0.2Ge0.8 along the trench direction gets closer to the dash line at higher bake temperature indicating it is more relaxed by higher temperature bake. From the lattice constant calculated from the position of Ge (224) peaks, in one embodiment, the 42-nm-thick Ge has 1% and 0.45% elastic strain along and perpendicular to the trench direction, respectively.
  • As described above, the Ge layer of crystalline material is formed over or at the underlying lattice-mismatched semiconductor crystalline SiGe alloy. Si has smaller lattice constant about 4% smaller than Ge. The lattice-mismatch in this example will vary approximately linearly based the percentages of Si and Ge in the SiGe. A thickness of the Ge layer can vary, but preferably remains sufficiently thin such that the strain is maintained. In one embodiment, the Ge layer (190) is preferably at least 20 nm thick, at least 40 nm thick, at least 100 nm thick or at least 200 nm thick.
  • In one embodiment, the crystalline material 190 has elastic strain at least along a first direction, along a second direction perpendicular to the first direction or along both the first and second directions. Preferably the strain is at least 0.5%, at least 1%, at least 2% or at least 4% compressive strain along the first direction or at least 0.5%, at least 1%, at least 2%, at least 4% compressive strain along the second direction.
  • While these examples are directed to the CVD growth of germanium on SiGe, other materials may be used. For example, when epitaxially growing by CVD compounds of Group III (Al, Ga, In) and Group V (P, As) such as GaAs, AlGaAs, InGaAs, InAlAs, InGaAlAs, InP, GaP, InGaP, InAlGaP etc., a growth temperature of 500-800° C. is normally used to obtain sufficient growth rates on a blanket substrate. According to a further example, these materials may be grown by CVD at a temperature less than 500° C. or 400-500° C. when grown over a planarized first crystalline material. However, the temperature for the pre-bake or preparation process (e.g., cleaning at higher temperature in H2 gas) before the growth step is preferably independent of the growth temperature for semiconductor crystalline material to be used.
  • As another example, compounds of Group III (Al, Ga, In) and Group V (Sb) such as GaSb, AlSb and InSb can be epitaxially grown by CVD on a blanket substrate with a growth temperature in the range of 400-700° C. According to a further example, these materials may be grown by CVD at a temperature less than 400° C. or 300-400° C. when grown over a planarized first crystalline material. However, the temperature for the pre-bake or preparation process (e.g., cleaning at higher temperature in H2 gas) before the growth step is preferably independent of the growth temperature for semiconductor crystalline material to be used.
  • As another example, Group III-N compounds can be epitaxially grown by CVD on a blanket substrate with a growth temperature in the range of 500-1100° C. According to a further example, these materials may be grown by CVD at a temperature less than 500° C. or 400-500° C. when grown over a planarized first crystalline material. However, the temperature for the pre-bake or preparation process (e.g., cleaning at higher temperature in H2 gas) before the growth step is preferably independent of the growth temperature for semiconductor crystalline material to be used.
  • As another example, Group II-VI compounds can be epitaxially grown by CVD on a blanket substrate with a growth temperature in the range of 250-600° C. According to a further example, these materials may be grown by CVD at a temperature less than 250° C. or 200-250° C. when grown over a planarized first crystalline material. However, the temperature for the pre-bake or preparation process (e.g., cleaning at higher temperature in H2 gas) before the growth step is preferably independent of the growth temperature for semiconductor crystalline material to be used.
  • As another example, Group IV elements and compounds can be epitaxially grown by CVD on a blanket substrate with a growth temperature in the range of 400-1000° C. According to a further example, these materials may be grown by CVD at a temperature less than 400° C. or 300-400° C. when grown over a planarized ART crystalline material. However, the temperature for the pre-bake or preparation process (e.g., cleaning at higher temperature in H2 gas) before the growth step is preferably independent of the growth temperature for semiconductor crystalline material to be used.
  • Thus, for the Group IV, III-V, III-N and II-VI materials in accordance with the above, it is preferred, but not necessary that an elastically strained, low defect layer having reduced surface roughness be provided according to embodiments as variously described above.
  • According to embodiments of the application, low-defectivity, compressively-strained Ge on polished Si0.2Ge0.8 fabricated in oxide-trenches using ART techniques was achieved. In one exemplary embodiment however, an increasingly higher pre-bake temperature may benefit interface characteristics but exceed a thermal tolerance of a device or of the confined crystalline material resulting in unacceptably high surface roughness (rms). One potential cause of the high surface roughness may be lattice-mismatch strain in the confined crystalline material that cannot be released through other mechanisms, which can result in a limited thermal budget for a cleaning or pre-bake process.
  • As described above, some embodiments according to the application used CMP and a preparation or pre-bake process prior to crystalline growth to improve a surface characteristic or to obtain high quality substantially defect-free and smooth semiconductor crystalline material, however embodiments are not intended to be so limited as various gases and/or intervals may be used. In one embodiment, a pre-bake or cleaning process prior to the growth of the crystalline material 190 lasted for 1 minute. In a further example, the pre-bake process can be at least 10 seconds long, at least 30 seconds long, at least 2 minutes long, at least 5 minutes long or at least 10 minutes long. In a further example, a pre-bake temperature range can be a smaller range within or slightly above a blanket growth temperature range. In one example, gases including hydrogen can be used. For example, hydrogen and inert gas combinations may be used. In one example, hydrogen combined with argon or nitrogen gas can be used. According to embodiments, a pre-bake process can reduce levels of impurities at a planarized surface.
  • According to embodiments of the application, low-defectivity, compressively-strained Ge on polished Si0.2Ge0.8 fabricated in oxide-trenches using ART techniques was achieved. In one example, a pre-bake process used a corresponding temperature range around 760° C. to 860° C. In a further example, a pre-bake process can use a temperature range between 780° C. to 840° C., a range between 800° C. to 820° C., or a temperature around 810° C., however, such temperature ranges are not intended to be so limited as a temperature range will vary with materials and/or conditions actually used. For example, should silicon content be reduced (e.g., Si0.1Ge0.9), a corresponding temperature range may increase.
  • Exemplary embodiments of low defect, strained semiconductor crystalline material having a reduced surface roughness, semiconductor devices and methods for fabricating and using the same described above disclosed undoped semiconductor materials. However, the present general inventive concept can be applied to n-doped semiconductor materials or p-doped semiconductor materials at known concentration for devices such as those described above with similar results.
  • In one embodiment, crystalline material 140 may have less than about 1×106 line defects/cm2 (e.g., above the defect trapping region 155). Alternatively, crystalline material 140 may have a line defect density of about 1×107 defects/cm2 to 1×108 defects/cm2 or less. Alternatively, crystalline material 140 may be substantially free of line defects and/or plane defects. According to one embodiment, crystalline material 190 can have a defectivity characteristic matching the underlying crystalline material 140. Dopants are typically added to a semiconductor material to increase its charge carriers (electrons or holes); as is understood in the art, and for the purpose of this application, defects are not considered to include dopants.
  • As described above, according to embodiments of the application, low-defectivity, compressively-strained Ge on Si0.2Ge0.8 was fabricated in oxide-trenches using ART techniques. Pre-bake step in H2 prior to thin Ge growth on coplanar Si0.2Ge0.8 with oxide trenches achieved substantially defect-free and smooth Ge. When a cleaning or bake temperature was too low below 750° C., removal of interfacial impurities at Ge/Si0.2Ge0.8 was insufficient resulting in defective Ge. Oxygen concentration was reduced from 2×1021 cm3 to 4×1019 cm3 by the 810° C. pre-bake. When a cleaning or bake temperature is too high over 870° C., SiGe surface was undulated along the trenches and its roughness was increased from 0.54 to 9.64 nm from 5 μm×5 μm scans using atomic force microscopy. Using the optimum tested pre-bake at 810° C., 42-nm-thick compressively-strained Ge was grown having 1% and 0.45% elastic strain along and perpendicular to the trench direction, respectively. Thus, embodiments according to the invention have excellent compatibility with silicon CMOS because of low defects levels, small layer thickness and a low thermal budget.
  • A silicon CMOS device may be processed to include embodiments of the invention; therefore, embodiment of devices such as LEDs or photovoltaic devices according to the invention integrated with CMOS process may be fabricated. For example, a silicon CMOS process can fabricate semiconductor devices (e.g., transistors) or elements (e.g., an electrode, contact hole, contacts) before or after embodiments according to this application for integration. Further, structures and/or methods according to disclosed embodiments can be used for integration of non-Si channel or active regions for next generation CMOS and for a wide variety of other applications.
  • As noted above, this invention has a wide variety of applications. While not limited to ART technology, this invention has many applications within ART technology. For example, use of this invention may be used to create strained Ge over a SiGe alloy grown in an opening within an insulator. One or both of the Ge and SiGe layers may be grown in accordance with the invention and/or may have a surface of reduced roughness. A wide variety of devices may incorporate the invention. While not limiting to these devices, the invention may be particularly applicable to mixed signal applications, field effect transistors, quantum tunneling devices, light emitting diodes, laser diodes, resonant tunneling diodes and photovoltaic devices, especially those using ART technology. Application Ser. No. 11/857,047 filed Sep. 18, 2007 entitled “Aspect Ratio Trapping for Mixed Signal Applications”; application Ser. No. 11/861,931 filed Sep. 26, 2007 entitled “Tri-Gate Field-Effect Transistors formed by Aspect Ratio Trapping”; application Ser. No. 11/862,850 filed Sep. 27, 2007 entitled “Quantum Tunneling Devices and Circuits with Lattice-mismatched Semiconductor Structures”; application Ser. No. 11/875,381 filed Oct. 19, 2007 entitled “Light-Emitter—Based Devices with Lattice-mismatched Semiconductor Structures”; and application Ser. No. 12/100,131 filed Apr. 9, 2007 entitled “Photovoltaics on Silicon” are all hereby incorporated by reference as providing examples to which aspects of this invention may be particularly suited.
  • Any reference in this specification to “one embodiment,” “an embodiment,” “example embodiment,” etc., means that a particular feature, structure, or characteristic described in connection with the embodiment can be included or combined in at least one embodiment of the invention. The appearances of such phrases in various places in the specification are not necessarily all referring to the same embodiment. Further, when a particular feature, structure, or characteristic is described in connection with any embodiment, it is submitted that it is within the purview of one skilled in the art to affect such feature, structure, or characteristic in connection with other ones of the embodiments. Furthermore, for ease of understanding, certain method procedures may have been delineated as separate procedures; however, these separately delineated procedures should not be construed as necessarily order dependent in their performance. That is, some procedures may be able to be performed in an alternative ordering, simultaneously, etc. In addition, exemplary diagrams illustrate various methods in accordance with embodiments of the present disclosure. Such exemplary method embodiments are described herein using and can be applied to corresponding apparatus embodiments, however, the method embodiments are not intended to be limited thereby.
  • Although few embodiments of the present invention have been illustrated and described, it would be appreciated by those skilled in the art that changes may be made in these embodiments without departing from the principles and spirit of the invention. The foregoing embodiments are therefore to be considered in all respects illustrative rather than limiting on the invention described herein. Scope of the invention is thus indicated by the appended claims rather than by the foregoing description, and all changes which come within the meaning and range of equivalency of the claims are intended to be embraced therein. As used in this disclosure, the term “preferably” is non-exclusive and means “preferably, but not limited to.” Terms in the claims should be given their broadest interpretation consistent with the general inventive concept as set forth in this description. For example, the terms “coupled” and “connect” (and derivations thereof) are used to connote both direct and indirect connections/couplings. As another example, “having” and “including”, derivatives thereof and similar transitional terms or phrases are used synonymously with “comprising” (i.e., all are considered “open ended” terms)—only the phrases “consisting of” and “consisting essentially of” should be considered as “close ended”. Claims are not intended to be interpreted under 112 sixth paragraph unless the phrase “means for” and an associated function appear in a claim and the claim fails to recite sufficient structure to perform such function.

Claims (20)

1. A semiconductor device, comprising:
a composite structure comprising a first semiconductor crystalline material interspersed with a high aspect ratio to a second material, the composite structure to have a planar surface; and
a strained second semiconductor crystalline material over the first semiconductor crystalline material at the planar surface, wherein the surface of the first semiconductor crystalline material has a surface roughness RMS of 5 nm or less, and wherein an interface between the first and second semiconductor crystalline materials has reduced impurity concentrations.
2. The device of claim 1, wherein the surface of the second semiconductor crystalline material has a surface roughness RMS of about 4 nm or less, about 3 nm or less, about 1 nm or less, about 0.5 nm or less, or no greater than 0.3 nm.
3. The device of claim 1, wherein the interface has reduced oxygen impurity concentrations.
4. The device of claim 1, wherein the strain is along a longitudinal direction of the opening, perpendicular to the longitudinal direction or both along and perpendicular to the longitudinal.
5. The device of claim 1, wherein the composite structure comprises: a semiconductor crystalline substrate;
an insulator having an opening to the substrate;
the first semiconductor crystalline material within the opening in the insulator, the first semiconductor crystalline material being lattice-mismatched with the substrate.
6. The device of claim 5, wherein the substrate is configured with depressions in the substrate, and wherein the insulator overlies sides of the depression to form said opening.
7. The device of claim 1 further comprising a CMOS device integrated with the substrate.
8. The device of claim 1, wherein the crystalline material is a group III-V compound.
9. The device of claim 1, wherein the interface between the first and second semiconductor crystalline materials is heat treated for a selected period of time at temperatures between 760° C. to 860° C. in H2 gas.
10. The device of claim 1, wherein the growing the second semiconductor crystalline material is strained Ge and the first semiconductor crystalline material a SiGe alloy.
11. A method of manufacturing a semiconductor structure, comprising:
providing a semiconductor crystalline substrate;
forming an insulator defining openings to a surface of the substrate; and
growing a first semiconductor crystalline material lattice-mismatched with the substrate within the openings of the insulator;
polishing a top surface of the first semiconductor crystalline material and the insulator;
growing a second semiconductor crystalline material over the polished first semiconductor crystalline material; and
heating the polished top surface at a selected temperature range to reduce impurities at the interface of the first and second semiconductor crystalline material.
12. The method of claim 11, wherein the heating comprises heating at temperatures between 760° C. to 860° C.
13. The method of claim 11, wherein the surface of the second semiconductor crystalline material has a surface roughness RMS of about 5 nm or less, about 3 nm or less, about 1 nm or less, about 0.5 nm or less, or no greater than 0.3 nm.
14. The method of claim 11, wherein the interface has reduced oxygen impurity concentrations.
15. The method of claim 11, wherein the second semiconductor crystalline material is elastically strained at least along a first direction relative to the opening, along a second direction perpendicular to the first direction or along both the first and second directions.
16. The method of claim 11, wherein the openings have an aspect ratio sufficient to trap defects in the crystalline material, and further comprising forming a semiconductor device at least in part in an opening.
17. The method of claim 11, wherein the heating comprises heating at a temperature range independent of a temperature used in the growing a second semiconductor crystalline material.
18. A method of manufacturing a semiconductor structure comprising:
epitaxially growing by CVD a first semiconductor crystalline material on a polished surface of a lattice-mismatched semiconductor crystalline material exposed within an opening of an insulator; and
cleaning the polished surface by heating to reduce an oxygen impurity concentration below a prescribed level.
19. The method of claim 18, wherein the first crystalline material is a group IV element or compound including at least one group IV element.
20. The method of one of claims 18, wherein the growing step includes growing strained Ge on a SiGe alloy.
US12/562,206 2008-09-19 2009-09-18 Fabrication and structures of crystalline material Abandoned US20100072515A1 (en)

Priority Applications (8)

Application Number Priority Date Filing Date Title
US12/562,206 US20100072515A1 (en) 2008-09-19 2009-09-18 Fabrication and structures of crystalline material
SG201001733-3A SG169921A1 (en) 2009-09-18 2010-03-12 Improved fabrication and structures of crystalline material
TW99107770A TWI423439B (en) 2009-09-18 2010-03-17 Semiconductor device and method of manufacturing a semiconductor structure
JP2010062555A JP2011063502A (en) 2009-09-18 2010-03-18 Semiconductor device and method for production of semiconductor structure
EP10002884A EP2299475A1 (en) 2009-09-18 2010-03-18 Improved fabrication and structures of crystalline material
KR1020100024356A KR20110031072A (en) 2009-09-18 2010-03-18 Improved fabrication and structures of crystalline material
CN2010101433651A CN102024768A (en) 2009-09-18 2010-03-19 Manufacturing method of semiconductor device and semiconductor structure
US15/406,371 US9984872B2 (en) 2008-09-19 2017-01-13 Fabrication and structures of crystalline material

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US9873408P 2008-09-19 2008-09-19
US12/562,206 US20100072515A1 (en) 2008-09-19 2009-09-18 Fabrication and structures of crystalline material

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US15/406,371 Division US9984872B2 (en) 2008-09-19 2017-01-13 Fabrication and structures of crystalline material

Publications (1)

Publication Number Publication Date
US20100072515A1 true US20100072515A1 (en) 2010-03-25

Family

ID=42036739

Family Applications (2)

Application Number Title Priority Date Filing Date
US12/562,206 Abandoned US20100072515A1 (en) 2008-09-19 2009-09-18 Fabrication and structures of crystalline material
US15/406,371 Active US9984872B2 (en) 2008-09-19 2017-01-13 Fabrication and structures of crystalline material

Family Applications After (1)

Application Number Title Priority Date Filing Date
US15/406,371 Active US9984872B2 (en) 2008-09-19 2017-01-13 Fabrication and structures of crystalline material

Country Status (1)

Country Link
US (2) US20100072515A1 (en)

Cited By (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080257409A1 (en) * 2007-04-09 2008-10-23 Amberwave Systems Corporation Photovoltaics on silicon
US20100012976A1 (en) * 2008-07-15 2010-01-21 Amberwave Systems Corporation Polishing of small composite semiconductor materials
US20110212600A1 (en) * 2009-12-11 2011-09-01 Tsinghua University Method for forming channel layer with high ge content on substrate
WO2012041087A1 (en) * 2010-09-27 2012-04-05 Tsinghua University Semiconductor device and method for forming the same
US8216951B2 (en) 2006-09-27 2012-07-10 Taiwan Semiconductor Manufacturing Company, Ltd. Quantum tunneling devices and circuits with lattice-mismatched semiconductor structures
US8237151B2 (en) 2009-01-09 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US8253211B2 (en) 2008-09-24 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor sensor structures with reduced dislocation defect densities
US8274097B2 (en) 2008-07-01 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US8304805B2 (en) 2009-01-09 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor diodes fabricated by aspect ratio trapping with coalesced films
US8324660B2 (en) 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US8329541B2 (en) 2007-06-15 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
US8344242B2 (en) 2007-09-07 2013-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-junction solar cells
US8384196B2 (en) 2008-09-19 2013-02-26 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of devices by epitaxial layer overgrowth
WO2013067212A1 (en) * 2011-11-05 2013-05-10 Tokyo Electron Limited Epitaxial film growth in retrograde wells for semiconductor devices
US8502263B2 (en) 2006-10-19 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Light-emitter-based devices with lattice-mismatched semiconductor structures
US8624103B2 (en) 2007-04-09 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-based multi-junction solar cell modules and methods for making the same
US8629446B2 (en) 2009-04-02 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Devices formed from a non-polar plane of a crystalline material and method of making the same
US20140099774A1 (en) * 2012-10-05 2014-04-10 Imec Method for Producing Strained Ge Fin Structures
KR20140091754A (en) * 2011-12-21 2014-07-22 인텔 코오퍼레이션 Methods for forming fins for metal oxide semiconductor device structures
US8822248B2 (en) 2008-06-03 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial growth of crystalline material
US8847279B2 (en) 2006-09-07 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction using aspect ratio trapping
US20140322913A1 (en) * 2011-11-25 2014-10-30 Fujimi Incorporated Polishing composition
US8878243B2 (en) 2006-03-24 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures and related methods for device fabrication
US8901533B2 (en) 2012-06-22 2014-12-02 Samsung Electronics Co., Ltd. Semiconductor devices and methods of manufacturing the same
CN104299893A (en) * 2013-07-17 2015-01-21 格罗方德半导体公司 Methods of forming replacement fins for a FINFET semiconductor device by performing a replacement growth process
US20150048423A1 (en) * 2013-08-16 2015-02-19 International Business Machines Corporation Semiconductor device having a iii-v crystalline compound material selectively grown on the bottom of a space formed in a single element substrate.
US9059044B2 (en) 2012-11-15 2015-06-16 International Business Machines Corporation On-chip diode with fully depleted semiconductor devices
US20150372084A1 (en) * 2014-06-20 2015-12-24 Globalfoundries Inc. Raised fin structures and methods of fabrication
US9245980B2 (en) 2014-04-01 2016-01-26 Globalfoundries Inc. Methods of forming substantially defect-free, fully-strained silicon-germanium fins for a FinFET semiconductor device
US9349594B1 (en) 2014-11-05 2016-05-24 International Business Machines Corporation Non-planar semiconductor device with aspect ratio trapping
US9368415B1 (en) * 2015-02-25 2016-06-14 International Business Machines Corporation Non-destructive, wafer scale method to evaluate defect density in heterogeneous epitaxial layers
WO2016105377A1 (en) * 2014-12-23 2016-06-30 Intel Corporation Apparatus and methods of forming fin structures with sidewall liner
WO2016105412A1 (en) * 2014-12-24 2016-06-30 Intel Corporation Apparatus and methods of forming fin structures with asymmetric profile
US20160190319A1 (en) * 2013-09-27 2016-06-30 Intel Corporation Non-Planar Semiconductor Devices having Multi-Layered Compliant Substrates
US9384964B1 (en) 2014-08-01 2016-07-05 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor device
US9455146B2 (en) * 2009-12-17 2016-09-27 California Institute Of Technology Virtual substrates for epitaxial growth and methods of making the same
US9502245B1 (en) * 2015-12-09 2016-11-22 International Business Machines Corporation Elimination of defects in long aspect ratio trapping trench structures
US20160343844A1 (en) * 2011-12-19 2016-11-24 Intel Corporation Non-planar iii-n transistor
US9536775B2 (en) 2015-05-29 2017-01-03 International Business Machines Corporation Aspect ratio for semiconductor on insulator
US9548319B2 (en) 2015-03-10 2017-01-17 International Business Machines Corporation Structure for integration of an III-V compound semiconductor on SOI
US9570443B1 (en) 2015-11-23 2017-02-14 International Business Machines Corporation Field effect transistor including strained germanium fins
US9576796B2 (en) * 2015-05-15 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9627245B2 (en) 2014-03-05 2017-04-18 Globalfoundries Inc. Methods of forming alternative channel materials on a non-planar semiconductor device and the resulting device
US9640411B2 (en) 2014-11-05 2017-05-02 Imec Vzw Method for manufacturing a transistor device comprising a germanium channel material on a silicon based substrate, and associated transistor device
CN106711226A (en) * 2016-11-29 2017-05-24 东莞市广信知识产权服务有限公司 Silicon-based germanium nano fin-shaped structure
US20170154996A1 (en) * 2015-11-30 2017-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Fin structure of semiconductor device, manufacturing method thereof, and manufacturing method of active region of semiconductor device
US9728626B1 (en) 2016-08-30 2017-08-08 Globalfoundries Inc. Almost defect-free active channel region
US20170365780A1 (en) * 2016-06-15 2017-12-21 Crossbar, Inc. Liner layer for dielectric block layer
US9859381B2 (en) 2005-05-17 2018-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US10026659B2 (en) 2015-01-29 2018-07-17 Globalfoundries Inc. Methods of forming fin isolation regions under tensile-strained fins on FinFET semiconductor devices
US10062845B1 (en) 2016-05-13 2018-08-28 Crossbar, Inc. Flatness of memory cell surfaces
US20180277635A1 (en) * 2015-11-24 2018-09-27 Sumitomo Electric Industries, Ltd. Silicon carbide epitaxial substrate and method of manufacturing silicon carbide semiconductor device
US20190113468A1 (en) * 2017-10-13 2019-04-18 Infineon Technologies Austria Ag Method for Determining the Concentration of an Element of a Heteroepitaxial Layer
US10546928B2 (en) * 2017-12-07 2020-01-28 International Business Machines Corporation Forming stacked twin III-V nano-sheets using aspect-ratio trapping techniques
US10749110B1 (en) 2016-07-15 2020-08-18 Crossbar, Inc. Memory stack liner comprising dielectric block layer material
CN112420915A (en) * 2020-11-23 2021-02-26 济南晶正电子科技有限公司 Preparation method of composite substrate, composite film and electronic component
US11342179B2 (en) * 2019-05-21 2022-05-24 National Chiao Tung University Semiconductor structure having a Si substrate heterointegrated with GaN and method for fabricating the same

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10163627B2 (en) * 2017-05-18 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for fabricating the same
CN112020762A (en) * 2018-04-22 2020-12-01 艾普诺瓦泰克公司 Enhanced thin film device

Citations (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4322253A (en) * 1980-04-30 1982-03-30 Rca Corporation Method of making selective crystalline silicon regions containing entrapped hydrogen by laser treatment
US4370510A (en) * 1980-09-26 1983-01-25 California Institute Of Technology Gallium arsenide single crystal solar cell structure and method of making
US4651179A (en) * 1983-01-21 1987-03-17 Rca Corporation Low resistance gallium arsenide field effect transistor
US4727047A (en) * 1980-04-10 1988-02-23 Massachusetts Institute Of Technology Method of producing sheets of crystalline material
US5079616A (en) * 1988-02-11 1992-01-07 Gte Laboratories Incorporated Semiconductor structure
US5091333A (en) * 1983-09-12 1992-02-25 Massachusetts Institute Of Technology Reducing dislocations in semiconductors utilizing repeated thermal cycling during multistage epitaxial growth
US5091767A (en) * 1991-03-18 1992-02-25 At&T Bell Laboratories Article comprising a lattice-mismatched semiconductor heterostructure
US5093699A (en) * 1990-03-12 1992-03-03 Texas A & M University System Gate adjusted resonant tunnel diode device and method of manufacture
US5098850A (en) * 1989-06-16 1992-03-24 Canon Kabushiki Kaisha Process for producing substrate for selective crystal growth, selective crystal growth process and process for producing solar battery by use of them
US5281283A (en) * 1987-03-26 1994-01-25 Canon Kabushiki Kaisha Group III-V compound crystal article using selective epitaxial growth
US5285086A (en) * 1990-08-02 1994-02-08 At&T Bell Laboratories Semiconductor devices with low dislocation defects
US5295150A (en) * 1992-12-11 1994-03-15 Eastman Kodak Company Distributed feedback-channeled substrate planar semiconductor laser
US5710436A (en) * 1994-09-27 1998-01-20 Kabushiki Kaisha Toshiba Quantum effect device
US5717709A (en) * 1993-06-04 1998-02-10 Sharp Kabushiki Kaisha Semiconductor light-emitting device capable of having good stability in fundamental mode of oscillation, decreasing current leakage, and lowering oscillation threshold limit, and method of making the same
US5869845A (en) * 1997-06-26 1999-02-09 Texas Instruments Incorporated Resonant tunneling memory
US5883549A (en) * 1997-06-20 1999-03-16 Hughes Electronics Corporation Bipolar junction transistor (BJT)--resonant tunneling diode (RTD) oscillator circuit and method
US5886385A (en) * 1996-08-22 1999-03-23 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method thereof
US6011271A (en) * 1994-04-28 2000-01-04 Fujitsu Limited Semiconductor device and method of fabricating the same
US6015979A (en) * 1997-08-29 2000-01-18 Kabushiki Kaisha Toshiba Nitride-based semiconductor element and method for manufacturing the same
US6191432B1 (en) * 1996-09-02 2001-02-20 Kabushiki Kaisha Toshiba Semiconductor device and memory device
US6339232B1 (en) * 1999-09-20 2002-01-15 Kabushika Kaisha Toshiba Semiconductor device
US6342404B1 (en) * 1999-03-31 2002-01-29 Toyoda Gosei Co., Ltd. Group III nitride compound semiconductor device and method for producing
US20020011612A1 (en) * 2000-07-31 2002-01-31 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US20020017642A1 (en) * 2000-08-01 2002-02-14 Mitsubishi Materials Corporation Semiconductor substrate, field effect transistor, method of forming SiGe layer and method of forming strained Si layer using same, and method of manufacturing field effect transistor
US6348096B1 (en) * 1997-03-13 2002-02-19 Nec Corporation Method for manufacturing group III-V compound semiconductors
US6352942B1 (en) * 1999-06-25 2002-03-05 Massachusetts Institute Of Technology Oxidation of silicon on germanium
US20020030246A1 (en) * 2000-06-28 2002-03-14 Motorola, Inc. Structure and method for fabricating semiconductor structures and devices not lattice matched to the substrate
US6362071B1 (en) * 2000-04-05 2002-03-26 Motorola, Inc. Method for forming a semiconductor device with an opening in a dielectric layer
US20020036290A1 (en) * 2000-09-28 2002-03-28 Kabushiki Kaisha Toshiba Semiconductor device having MIS field effect transistors or three-dimensional structure
US6503610B2 (en) * 2000-03-24 2003-01-07 Sumitomo Chemical Company, Limited Group III-V compound semiconductor and method of producing the same
US6512252B1 (en) * 1999-11-15 2003-01-28 Matsushita Electric Industrial Co., Ltd. Semiconductor device
US20030030117A1 (en) * 2001-05-22 2003-02-13 Hitachi, Ltd. Semiconductor device
US6521514B1 (en) * 1999-11-17 2003-02-18 North Carolina State University Pendeoepitaxial methods of fabricating gallium nitride semiconductor layers on sapphire substrates
US20030045017A1 (en) * 2001-09-06 2003-03-06 Kazumasa Hiramatsu Method for fabricating III-V Group compound semiconductor
US20030057486A1 (en) * 2001-09-27 2003-03-27 International Business Machines Corporation Fin field effect transistor with self-aligned gate
US20040005740A1 (en) * 2002-06-07 2004-01-08 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US20040012037A1 (en) * 2002-07-18 2004-01-22 Motorola, Inc. Hetero-integration of semiconductor materials on silicon
US20040016921A1 (en) * 1996-06-21 2004-01-29 Wisconsin Alumni Research Foundation High peak current density resonant tunneling diode
US6686245B1 (en) * 2002-12-20 2004-02-03 Motorola, Inc. Vertical MOSFET with asymmetric gate structure
US20040031979A1 (en) * 2002-06-07 2004-02-19 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US20040041932A1 (en) * 2002-08-27 2004-03-04 Calvin Chao Photoconductor-on-active-pixel (POAP) sensor utilizing a multi-layered radiation absorbing structure
US20040043584A1 (en) * 2002-08-27 2004-03-04 Thomas Shawn G. Semiconductor device and method of making same
US6703253B2 (en) * 2001-11-15 2004-03-09 Sharp Kabushiki Kaisha Method for producing semiconductor light emitting device and semiconductor light emitting device produced by such method
US6709982B1 (en) * 2002-11-26 2004-03-23 Advanced Micro Devices, Inc. Double spacer FinFET formation
US6710368B2 (en) * 2001-10-01 2004-03-23 Ken Scott Fisher Quantum tunneling transistor
US6838322B2 (en) * 2003-05-01 2005-01-04 Freescale Semiconductor, Inc. Method for forming a double-gated semiconductor device
US20050001216A1 (en) * 2000-10-18 2005-01-06 Adkisson James W. Method of fabricating semiconductor side wall fin
US20050003572A1 (en) * 2003-04-30 2005-01-06 Osram Opto Semiconductors Gmbh Method for fabricating a plurality of semiconductor chips
US6841410B2 (en) * 2001-09-03 2005-01-11 Nec Corporation Method for forming group-III nitride semiconductor layer and group-III nitride semiconductor device
US6841808B2 (en) * 2000-06-23 2005-01-11 Toyoda Gosei Co., Ltd. Group III nitride compound semiconductor device and method for producing the same
US20050009304A1 (en) * 1998-06-10 2005-01-13 Tsvetanka Zheleva Methods of fabricating gallium nitride semiconductor layers by lateral growth into trenches
US20050017351A1 (en) * 2003-06-30 2005-01-27 Ravi Kramadhati V. Silicon on diamond wafers and devices
US6849487B2 (en) * 2003-05-27 2005-02-01 Motorola, Inc. Method for forming an electronic structure using etch
US6849077B2 (en) * 2000-02-11 2005-02-01 Evysio Medical Devices Ulc Stent delivery system and method of use
US6849884B2 (en) * 2002-03-19 2005-02-01 International Business Machines Corporation Strained Fin FETs structure and method
US6855583B1 (en) * 2003-08-05 2005-02-15 Advanced Micro Devices, Inc. Method for forming tri-gate FinFET with mesa isolation
US6855990B2 (en) * 2002-11-26 2005-02-15 Taiwan Semiconductor Manufacturing Co., Ltd Strained-channel multiple-gate transistor
US6855982B1 (en) * 2004-02-02 2005-02-15 Advanced Micro Devices, Inc. Self aligned double gate transistor having a strained channel region and process therefor
US20050035410A1 (en) * 2003-08-15 2005-02-17 Yee-Chia Yeo Semiconductor diode with reduced leakage
US20050040444A1 (en) * 2003-08-22 2005-02-24 International Business Machines Corporation Strained-channel fin field effect transistor (FET) with a uniform channel thickness and separate gates
US20050045983A1 (en) * 2003-07-28 2005-03-03 Takafumi Noda Semiconductor device and method for manufacturing the same
US20050054164A1 (en) * 2003-09-09 2005-03-10 Advanced Micro Devices, Inc. Strained silicon MOSFETs having reduced diffusion of n-type dopants
US20050054180A1 (en) * 2003-09-09 2005-03-10 Sang Han Threading-dislocation-free nanoheteroepitaxy of Ge on Si using self-directed touch-down of Ge through a thin SiO2 layer
US6867433B2 (en) * 2003-04-30 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
US20050056892A1 (en) * 2003-09-15 2005-03-17 Seliskar John J. Fully-depleted castellated gate MOSFET device and method of manufacture thereof
US20050056827A1 (en) * 2003-09-15 2005-03-17 Agency For Science, Technology And Research CMOS compatible low band offset double barrier resonant tunneling diode
US6873009B2 (en) * 1999-05-13 2005-03-29 Hitachi, Ltd. Vertical semiconductor device with tunnel insulator in current path controlled by gate electrode
US6982204B2 (en) * 2002-07-16 2006-01-03 Cree, Inc. Nitride-based transistors and methods of fabrication thereof using non-etched contact recesses
US6984571B1 (en) * 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
US20060009012A1 (en) * 2002-08-23 2006-01-12 Amberwave Systems Corporation Methods of fabricating semiconductor heterostructures
US20060019462A1 (en) * 2004-07-23 2006-01-26 International Business Machines Corporation Patterned strained semiconductor substrate and device
US6991998B2 (en) * 2004-07-02 2006-01-31 International Business Machines Corporation Ultra-thin, high quality strained silicon-on-insulator formed by elastic strain transfer
US6996147B2 (en) * 2001-03-30 2006-02-07 The Regents Of The University Of California Methods of fabricating nanostructures and nanowires and devices fabricated therefrom
US6994751B2 (en) * 2001-02-27 2006-02-07 Sanyo Electric Co., Ltd. Nitride-based semiconductor element and method of forming nitride-based semiconductor
US6995456B2 (en) * 2004-03-12 2006-02-07 International Business Machines Corporation High-performance CMOS SOI devices on hybrid crystal-oriented substrates
US6998684B2 (en) * 2004-03-31 2006-02-14 International Business Machines Corporation High mobility plane CMOS SOI
US7002175B1 (en) * 2004-10-08 2006-02-21 Agency For Science, Technology And Research Method of making resonant tunneling diodes and CMOS backend-process-compatible three dimensional (3-D) integration
US7001804B2 (en) * 2004-01-30 2006-02-21 Atmel Germany Gmbh Method of producing active semiconductor layers of different thicknesses in an SOI wafer
US20060049409A1 (en) * 2002-12-18 2006-03-09 Rafferty Conor S Method for forming integrated circuit utilizing dual semiconductors
US7012298B1 (en) * 2002-06-21 2006-03-14 Advanced Micro Devices, Inc. Non-volatile memory device
US7012314B2 (en) * 2002-12-18 2006-03-14 Agere Systems Inc. Semiconductor devices with reduced active region defects and unique contacting schemes
US7160753B2 (en) * 2004-03-16 2007-01-09 Voxtel, Inc. Silicon-on-insulator active pixel sensors
US7164183B2 (en) * 2003-06-09 2007-01-16 Canon Kabushiki Kaisha Semiconductor substrate, semiconductor device, and method of manufacturing the same
US20070025670A1 (en) * 2005-08-01 2007-02-01 Dong Pan Method of fabricating Ge or SiGe/Si waveguide or photonic crystal structures by selective growth
US20070029643A1 (en) * 2003-03-21 2007-02-08 Johnson Mark A L Methods for nanoscale structures from optical lithography and subsequent lateral growth
US7176522B2 (en) * 2003-11-25 2007-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having high drive current and method of manufacturing thereof
US7180134B2 (en) * 2004-01-30 2007-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and structures for planar and multiple-gate transistors formed on SOI
US7179727B2 (en) * 2002-09-03 2007-02-20 Advancesis Limited Formation of lattice-tuning semiconductor substrates
US20080001169A1 (en) * 2006-03-24 2008-01-03 Amberwave Systems Corporation Lattice-mismatched semiconductor structures and related methods for device fabrication
US20090039361A1 (en) * 2005-05-17 2009-02-12 Amberwave Systems Corporation Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US20090042344A1 (en) * 2007-06-15 2009-02-12 Amberwave Systems Corporation InP-Based Transistor Fabrication
US20100012976A1 (en) * 2008-07-15 2010-01-21 Amberwave Systems Corporation Polishing of small composite semiconductor materials
US7655960B2 (en) * 2001-09-19 2010-02-02 Sumito Electric Industries, Ltd. A1xInyGa1-x-yN mixture crystal substrate, method of growing same and method of producing same
US20100025683A1 (en) * 2008-07-01 2010-02-04 Amberwave Systems Corporation Reduction of edge effects from aspect ration trapping
US20110011438A1 (en) * 2007-04-09 2011-01-20 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-Based Multi-Junction Solar Cell Modules and Methods for Making the Same
US7875958B2 (en) * 2006-09-27 2011-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Quantum tunneling devices and circuits with lattice-mismatched semiconductor structures

Family Cites Families (286)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4307510A (en) 1980-03-12 1981-12-29 The United States Of America As Represented By The Administrator Of The National Aeronautics & Space Administration Computer circuit card puller
US4545109A (en) 1983-01-21 1985-10-08 Rca Corporation Method of making a gallium arsenide field effect transistor
US4860081A (en) 1984-06-28 1989-08-22 Gte Laboratories Incorporated Semiconductor integrated circuit structure with insulative partitions
US4551394A (en) 1984-11-26 1985-11-05 Honeywell Inc. Integrated three-dimensional localized epitaxial growth of Si with localized overgrowth of GaAs
DE3676019D1 (en) 1985-09-03 1991-01-17 Daido Steel Co Ltd EPITACTIC GALLIUM ARSENIDE SEMICONDUCTOR DISC AND METHOD FOR THEIR PRODUCTION.
US4774205A (en) 1986-06-13 1988-09-27 Massachusetts Institute Of Technology Monolithic integration of silicon and gallium arsenide devices
JPS6381855A (en) 1986-09-25 1988-04-12 Mitsubishi Electric Corp Manufacture of hetero junction bipolar transistor
US5269876A (en) 1987-01-26 1993-12-14 Canon Kabushiki Kaisha Process for producing crystal article
US5236546A (en) 1987-01-26 1993-08-17 Canon Kabushiki Kaisha Process for producing crystal article
US5166767A (en) 1987-04-14 1992-11-24 National Semiconductor Corporation Sidewall contact bipolar transistor with controlled lateral spread of selectively grown epitaxial layer
US4876210A (en) 1987-04-30 1989-10-24 The University Of Delaware Solution growth of lattice mismatched and solubility mismatched heterostructures
US4826784A (en) 1987-11-13 1989-05-02 Kopin Corporation Selective OMCVD growth of compound semiconductor materials on silicon substrates
US5272105A (en) 1988-02-11 1993-12-21 Gte Laboratories Incorporated Method of manufacturing an heteroepitaxial semiconductor structure
GB2215514A (en) 1988-03-04 1989-09-20 Plessey Co Plc Terminating dislocations in semiconductor epitaxial layers
US5032893A (en) 1988-04-01 1991-07-16 Cornell Research Foundation, Inc. Method for reducing or eliminating interface defects in mismatched semiconductor eiplayers
US5156995A (en) 1988-04-01 1992-10-20 Cornell Research Foundation, Inc. Method for reducing or eliminating interface defects in mismatched semiconductor epilayers
EP0352472A3 (en) 1988-07-25 1991-02-06 Texas Instruments Incorporated Heteroepitaxy of lattice-mismatched semiconductor materials
US5238869A (en) 1988-07-25 1993-08-24 Texas Instruments Incorporated Method of forming an epitaxial layer on a heterointerface
JPH0262090A (en) 1988-08-29 1990-03-01 Matsushita Electric Ind Co Ltd Manufacture of optical semiconductor device
US5061644A (en) 1988-12-22 1991-10-29 Honeywell Inc. Method for fabricating self-aligned semiconductor devices
EP0380815B1 (en) 1989-01-31 1994-05-25 Agfa-Gevaert N.V. Integration of GaAs on Si substrate
US5034337A (en) 1989-02-10 1991-07-23 Texas Instruments Incorporated Method of making an integrated circuit that combines multi-epitaxial power transistors with logic/analog devices
US4948456A (en) 1989-06-09 1990-08-14 Delco Electronics Corporation Confined lateral selective epitaxial growth
US5256594A (en) 1989-06-16 1993-10-26 Intel Corporation Masking technique for depositing gallium arsenide on silicon
US5164359A (en) 1990-04-20 1992-11-17 Eaton Corporation Monolithic integrated circuit having compound semiconductor layer epitaxially grown on ceramic substrate
US5105247A (en) 1990-08-03 1992-04-14 Cavanaugh Marion E Quantum field effect device with source extension region formed under a gate and between the source and drain regions
JP3202223B2 (en) 1990-11-27 2001-08-27 日本電気株式会社 Method for manufacturing transistor
US5403751A (en) 1990-11-29 1995-04-04 Canon Kabushiki Kaisha Process for producing a thin silicon solar cell
US5223043A (en) 1991-02-11 1993-06-29 The United States Of America As Represented By The United States Department Of Energy Current-matched high-efficiency, multijunction monolithic solar cells
JPH04299569A (en) 1991-03-27 1992-10-22 Nec Corp Manufacture of sois and transistor and its manufacture
US5269852A (en) 1991-05-27 1993-12-14 Canon Kabushiki Kaisha Crystalline solar cell and method for producing the same
JP3058954B2 (en) 1991-09-24 2000-07-04 ローム株式会社 Method of manufacturing semiconductor device having growth layer on insulating layer
JP2773487B2 (en) 1991-10-15 1998-07-09 日本電気株式会社 Tunnel transistor
JPH05121317A (en) 1991-10-24 1993-05-18 Rohm Co Ltd Method for forming soi structure
JP3286920B2 (en) 1992-07-10 2002-05-27 富士通株式会社 Method for manufacturing semiconductor device
EP0600276B1 (en) 1992-12-04 1998-08-05 Siemens Aktiengesellschaft Process for production of a laterally limited monocrystal area by selective epitaxy and its application for production of a bipolar transistor as well as well as a MOS-transistor
JP3319472B2 (en) 1992-12-07 2002-09-03 富士通株式会社 Semiconductor device and manufacturing method thereof
US5407491A (en) 1993-04-08 1995-04-18 University Of Houston Tandem solar cell with improved tunnel junction
JP3748905B2 (en) 1993-08-27 2006-02-22 三洋電機株式会社 Quantum effect device
US5792679A (en) 1993-08-30 1998-08-11 Sharp Microelectronics Technology, Inc. Method for forming silicon-germanium/Si/silicon dioxide heterostructure using germanium implant
US5461243A (en) 1993-10-29 1995-10-24 International Business Machines Corporation Substrate for tensilely strained semiconductor
US5405453A (en) 1993-11-08 1995-04-11 Applied Solar Energy Corporation High efficiency multi-junction solar cell
US5489539A (en) 1994-01-10 1996-02-06 Hughes Aircraft Company Method of making quantum well structure with self-aligned gate
JPH07230952A (en) 1994-02-16 1995-08-29 Ricoh Co Ltd Recrystallizing method
JPH0851109A (en) 1994-04-11 1996-02-20 Texas Instr Inc <Ti> Epitaxial silicon growth inside window of wafer patterned byoxide
US5825240A (en) 1994-11-30 1998-10-20 Massachusetts Institute Of Technology Resonant-tunneling transmission line technology
JP3835225B2 (en) 1995-02-23 2006-10-18 日亜化学工業株式会社 Nitride semiconductor light emitting device
JPH08306700A (en) 1995-04-27 1996-11-22 Nec Corp Semiconductor device and its manufacture
US5528209A (en) 1995-04-27 1996-06-18 Hughes Aircraft Company Monolithic microwave integrated circuit and method
TW304310B (en) 1995-05-31 1997-05-01 Siemens Ag
US5621227A (en) 1995-07-18 1997-04-15 Discovery Semiconductors, Inc. Method and apparatus for monolithic optoelectronic integrated circuit using selective epitaxy
KR100473901B1 (en) 1995-12-15 2005-08-29 코닌클리케 필립스 일렉트로닉스 엔.브이. Semiconductor Field Effect Device Including SiGe Layer
TW314621B (en) 1995-12-20 1997-09-01 Toshiba Co Ltd
US5987590A (en) 1996-04-02 1999-11-16 Texas Instruments Incorporated PC circuits, systems and methods
EP0807980B1 (en) 1996-05-17 2006-06-21 Canon Kabushiki Kaisha Photovoltaic device and process for the production thereof
JP3719618B2 (en) 1996-06-17 2005-11-24 松下電器産業株式会社 Semiconductor device and manufacturing method thereof
JP3449516B2 (en) 1996-08-30 2003-09-22 株式会社リコー Semiconductor multilayer mirror, semiconductor multilayer antireflection film, surface emitting semiconductor laser, and light receiving element
US5825049A (en) 1996-10-09 1998-10-20 Sandia Corporation Resonant tunneling device with two-dimensional quantum well emitter and base layers
JPH10126010A (en) 1996-10-23 1998-05-15 Ricoh Co Ltd Manufacturing method of semiconductor laser device
SG65697A1 (en) 1996-11-15 1999-06-22 Canon Kk Process for producing semiconductor article
US5853497A (en) 1996-12-12 1998-12-29 Hughes Electronics Corporation High efficiency multi-junction solar cells
JP3853905B2 (en) 1997-03-18 2006-12-06 株式会社東芝 Quantum effect device and device using BL tunnel element
EP0874405A3 (en) 1997-03-25 2004-09-15 Mitsubishi Cable Industries, Ltd. GaN group crystal base member having low dislocation density, use thereof and manufacturing methods thereof
JP3047852B2 (en) 1997-04-04 2000-06-05 松下電器産業株式会社 Semiconductor device
JP3184115B2 (en) 1997-04-11 2001-07-09 松下電器産業株式会社 Ohmic electrode formation method
CN1131548C (en) 1997-04-04 2003-12-17 松下电器产业株式会社 Ohmic electrode forming method and semiconductor device
WO1998047170A1 (en) 1997-04-11 1998-10-22 Nichia Chemical Industries, Ltd. Method of growing nitride semiconductors, nitride semiconductor substrate and nitride semiconductor device
US5998781A (en) 1997-04-30 1999-12-07 Sandia Corporation Apparatus for millimeter-wave signal generation
US5903170A (en) 1997-06-03 1999-05-11 The Regents Of The University Of Michigan Digital logic design using negative differential resistance diodes and field-effect transistors
US6107653A (en) 1997-06-24 2000-08-22 Massachusetts Institute Of Technology Controlling threading dislocation densities in Ge on Si using graded GeSi layers and planarization
JP3930161B2 (en) 1997-08-29 2007-06-13 株式会社東芝 Nitride-based semiconductor device, light-emitting device, and manufacturing method thereof
WO1999014804A1 (en) 1997-09-16 1999-03-25 Massachusetts Institute Of Technology CO-PLANAR Si AND Ge COMPOSITE SUBSTRATE AND METHOD OF PRODUCING SAME
FR2769924B1 (en) 1997-10-20 2000-03-10 Centre Nat Rech Scient PROCESS FOR MAKING AN EPITAXIAL LAYER OF GALLIUM NITRIDE, EPITAXIAL LAYER OF GALLIUM NITRIDE AND OPTOELECTRONIC COMPONENT PROVIDED WITH SUCH A LAYER
CN1175473C (en) 1997-10-30 2004-11-10 住友电气工业株式会社 GaN signale crystalline substrate and method of producing the same
JP3180743B2 (en) 1997-11-17 2001-06-25 日本電気株式会社 Nitride compound semiconductor light emitting device and method of manufacturing the same
JP3468082B2 (en) 1998-02-26 2003-11-17 日亜化学工業株式会社 Nitride semiconductor device
US6150242A (en) 1998-03-25 2000-11-21 Texas Instruments Incorporated Method of growing crystalline silicon overlayers on thin amorphous silicon oxide layers and forming by method a resonant tunneling diode
JPH11274467A (en) 1998-03-26 1999-10-08 Murata Mfg Co Ltd Photo-electronic integrated-circuit device
US6500257B1 (en) 1998-04-17 2002-12-31 Agilent Technologies, Inc. Epitaxial material grown laterally within a trench and method for producing same
JP3338778B2 (en) 1998-04-24 2002-10-28 日本電気株式会社 Nitride compound semiconductor laser device
JP4005701B2 (en) 1998-06-24 2007-11-14 シャープ株式会社 Method of forming nitrogen compound semiconductor film and nitrogen compound semiconductor element
WO2000004615A1 (en) 1998-07-14 2000-01-27 Fujitsu Limited Semiconductor laser, semiconductor device, and method for manufacturing the same
EP1115163A4 (en) 1998-09-10 2001-12-05 Rohm Co Ltd Semiconductor light-emitting device and method for manufacturing the same
US6252261B1 (en) 1998-09-30 2001-06-26 Nec Corporation GaN crystal film, a group III element nitride semiconductor wafer and a manufacturing process therefor
JP3868136B2 (en) 1999-01-20 2007-01-17 日亜化学工業株式会社 Gallium nitride compound semiconductor light emitting device
JP3372226B2 (en) 1999-02-10 2003-01-27 日亜化学工業株式会社 Nitride semiconductor laser device
JP3760663B2 (en) 1999-03-31 2006-03-29 豊田合成株式会社 Method for producing group III nitride compound semiconductor device
DE10017137A1 (en) 1999-04-14 2000-10-26 Siemens Ag Novel silicon structure, used for solar cells or LCD TFTs, comprises a crystalline textured silicon thin film over a biaxially textured lattice-matched diffusion barrier buffer layer on a thermal expansion-matched inert substrate
US6803598B1 (en) 1999-05-07 2004-10-12 University Of Delaware Si-based resonant interband tunneling diodes and method of making interband tunneling diodes
JP3587081B2 (en) 1999-05-10 2004-11-10 豊田合成株式会社 Method of manufacturing group III nitride semiconductor and group III nitride semiconductor light emitting device
US6252287B1 (en) 1999-05-19 2001-06-26 Sandia Corporation InGaAsN/GaAs heterojunction for multi-junction solar cells
JP3555500B2 (en) 1999-05-21 2004-08-18 豊田合成株式会社 Group III nitride semiconductor and method of manufacturing the same
GB9912178D0 (en) 1999-05-25 1999-07-28 Univ Court Of The University O Improved optical modulator
US6214653B1 (en) 1999-06-04 2001-04-10 International Business Machines Corporation Method for fabricating complementary metal oxide semiconductor (CMOS) devices on a mixed bulk and silicon-on-insulator (SOI) substrate
JP2001007447A (en) 1999-06-18 2001-01-12 Nichia Chem Ind Ltd Nitride semiconductor laser element
US6228691B1 (en) 1999-06-30 2001-05-08 Intel Corp. Silicon-on-insulator devices and method for producing the same
GB9919479D0 (en) 1999-08-17 1999-10-20 Imperial College Island arrays
JP2001102678A (en) 1999-09-29 2001-04-13 Toshiba Corp Gallium nitride compound semiconductor element
US6812053B1 (en) 1999-10-14 2004-11-02 Cree, Inc. Single step pendeo- and lateral epitaxial overgrowth of Group III-nitride epitaxial layers with Group III-nitride buffer layer and resulting structures
JP2001189483A (en) 1999-10-18 2001-07-10 Sharp Corp Solar battery cell with bypass function, multi-junction laminating type solar battery cell with bypass function, and their manufacturing method
JP2001176805A (en) 1999-12-16 2001-06-29 Sony Corp Method for manufacturing crystal of nitride-based iii-v- group compound. nitride-based iii-v-group crystal substrate, nitride-based iii-v-group compound crystal film, and method for manufacturing device
US6403451B1 (en) 2000-02-09 2002-06-11 Noerh Carolina State University Methods of fabricating gallium nitride semiconductor layers on substrates including non-gallium nitride posts
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
JP3512701B2 (en) 2000-03-10 2004-03-31 株式会社東芝 Semiconductor device and manufacturing method thereof
US20050184302A1 (en) 2000-04-04 2005-08-25 Toshimasa Kobayashi Nitride semiconductor device and method of manufacturing the same
JP2001338988A (en) 2000-05-25 2001-12-07 Hitachi Ltd Semiconductor device and its manufacturing method
US20020008234A1 (en) 2000-06-28 2002-01-24 Motorola, Inc. Mixed-signal semiconductor structure, device including the structure, and methods of forming the device and the structure
WO2002009187A2 (en) 2000-07-24 2002-01-31 Motorola, Inc. Heterojunction tunneling diodes and process for fabricating same
JP2002118255A (en) 2000-07-31 2002-04-19 Toshiba Corp Semiconductor device and manufacturing method thereof
US6579463B1 (en) 2000-08-18 2003-06-17 The Regents Of The University Of Colorado Tunable nanomasks for pattern transfer and nanocluster array formation
US20060175601A1 (en) 2000-08-22 2006-08-10 President And Fellows Of Harvard College Nanoscale wires and related devices
US7301199B2 (en) 2000-08-22 2007-11-27 President And Fellows Of Harvard College Nanoscale wires and related devices
US6407425B1 (en) 2000-09-21 2002-06-18 Texas Instruments Incorporated Programmable neuron MOSFET on SOI
US6456214B1 (en) 2000-09-27 2002-09-24 Raytheon Company High-speed comparator utilizing resonant tunneling diodes and associated method
US6720090B2 (en) 2001-01-02 2004-04-13 Eastman Kodak Company Organic light emitting diode devices with improved luminance efficiency
JP4084541B2 (en) 2001-02-14 2008-04-30 豊田合成株式会社 Manufacturing method of semiconductor crystal and semiconductor light emitting device
US7052979B2 (en) 2001-02-14 2006-05-30 Toyoda Gosei Co., Ltd. Production method for semiconductor crystal and semiconductor luminous element
JP4084544B2 (en) 2001-03-30 2008-04-30 豊田合成株式会社 Semiconductor substrate and semiconductor device manufacturing method
US6380590B1 (en) 2001-02-22 2002-04-30 Advanced Micro Devices, Inc. SOI chip having multiple threshold voltage MOSFETs by using multiple channel materials and method of fabricating same
US6475869B1 (en) 2001-02-26 2002-11-05 Advanced Micro Devices, Inc. Method of forming a double gate transistor having an epitaxial silicon/germanium channel region
JP2002270516A (en) 2001-03-07 2002-09-20 Nec Corp Growing method of iii group nitride semiconductor, film thereof and semiconductor element using the same
US7205604B2 (en) 2001-03-13 2007-04-17 International Business Machines Corporation Ultra scalable high speed heterojunction vertical n-channel MISFETs and methods thereof
JP3705142B2 (en) 2001-03-27 2005-10-12 ソニー株式会社 Nitride semiconductor device and manufacturing method thereof
JP3956637B2 (en) 2001-04-12 2007-08-08 ソニー株式会社 Nitride semiconductor crystal growth method and semiconductor element formation method
GB0110112D0 (en) 2001-04-25 2001-06-20 Univ Glasgow Improved optoelectronic device
GB0111207D0 (en) 2001-05-08 2001-06-27 Btg Int Ltd A method to produce germanium layers
US6784074B2 (en) 2001-05-09 2004-08-31 Nsc-Nanosemiconductor Gmbh Defect-free semiconductor templates for epitaxial growth and method of making same
JP3819730B2 (en) 2001-05-11 2006-09-13 三洋電機株式会社 Nitride-based semiconductor device and method for forming nitride semiconductor
US20020168802A1 (en) 2001-05-14 2002-11-14 Hsu Sheng Teng SiGe/SOI CMOS and method of making the same
CN1259734C (en) 2001-06-13 2006-06-14 松下电器产业株式会社 Nitride semiconductor, production method therefor and nitride semiconductor element
JP3515974B2 (en) 2001-06-13 2004-04-05 松下電器産業株式会社 Nitride semiconductor, manufacturing method thereof and nitride semiconductor device
US6566284B2 (en) 2001-08-07 2003-05-20 Hrl Laboratories, Llc Method of manufacture for 80 nanometer diameter resonant tunneling diode with improved peak-to-valley ratio and resonant tunneling diode therefrom
TW544930B (en) 2001-09-11 2003-08-01 Toyoda Gosei Kk Method for producing semiconductor crystal
JP2003163370A (en) 2001-09-11 2003-06-06 Toyoda Gosei Co Ltd Method of manufacturing semiconductor crystal
US20030064535A1 (en) 2001-09-28 2003-04-03 Kub Francis J. Method of manufacturing a semiconductor device having a thin GaN material directly bonded to an optimized substrate
US20030070707A1 (en) 2001-10-12 2003-04-17 King Richard Roland Wide-bandgap, lattice-mismatched window layer for a solar energy conversion device
JP2003142728A (en) 2001-11-02 2003-05-16 Sharp Corp Manufacturing method of semiconductor light emitting element
US6835246B2 (en) 2001-11-16 2004-12-28 Saleem H. Zaidi Nanostructures for hetero-expitaxial growth on silicon substrates
US6576532B1 (en) 2001-11-30 2003-06-10 Motorola Inc. Semiconductor device and method therefor
EP1363318A1 (en) 2001-12-20 2003-11-19 Matsushita Electric Industrial Co., Ltd. Method for making nitride semiconductor substrate and method for making nitride semiconductor device
AU2003207287B2 (en) 2002-01-28 2007-12-13 Nichia Corporation Nitride semiconductor device having support substrate and its manufacturing method
KR100458288B1 (en) 2002-01-30 2004-11-26 한국과학기술원 Double-Gate FinFET
US6492216B1 (en) 2002-02-07 2002-12-10 Taiwan Semiconductor Manufacturing Company Method of forming a transistor with a strained channel
JP3782021B2 (en) 2002-02-22 2006-06-07 株式会社東芝 Semiconductor device, semiconductor device manufacturing method, and semiconductor substrate manufacturing method
WO2003073517A1 (en) 2002-02-27 2003-09-04 Midwest Research Institute Monolithic photovoltaic energy conversion device
JP4092927B2 (en) 2002-02-28 2008-05-28 豊田合成株式会社 Group III nitride compound semiconductor, group III nitride compound semiconductor element, and method for manufacturing group III nitride compound semiconductor substrate
KR101288489B1 (en) 2002-04-15 2013-07-26 더 리전츠 오브 더 유니버시티 오브 캘리포니아 Non-polar (Al,B,In,Ga)N Quantum Well and Heterostructure Materials and Devices
WO2004061969A1 (en) 2002-12-16 2004-07-22 The Regents Of The University Of California Growth of planar, non-polar a-plane gallium nitride by hydride vapor phase epitaxy
US7208393B2 (en) 2002-04-15 2007-04-24 The Regents Of The University Of California Growth of planar reduced dislocation density m-plane gallium nitride by hydride vapor phase epitaxy
US8067687B2 (en) 2002-05-21 2011-11-29 Alliance For Sustainable Energy, Llc High-efficiency, monolithic, multi-bandgap, tandem photovoltaic energy converters
US20060162768A1 (en) 2002-05-21 2006-07-27 Wanlass Mark W Low bandgap, monolithic, multi-bandgap, optoelectronic devices
US7217882B2 (en) 2002-05-24 2007-05-15 Cornell Research Foundation, Inc. Broad spectrum solar cell
CN2550906Y (en) 2002-05-27 2003-05-14 李映华 Stereo light double side junction light battery
FR2840452B1 (en) 2002-05-28 2005-10-14 Lumilog PROCESS FOR THE EPITAXIC PRODUCTION OF A GALLIUM NITRIDE FILM SEPARATED FROM ITS SUBSTRATE
TWI271877B (en) 2002-06-04 2007-01-21 Nitride Semiconductors Co Ltd Gallium nitride compound semiconductor device and manufacturing method
JP2004014856A (en) 2002-06-07 2004-01-15 Sharp Corp Method for manufacturing semiconductor substrate and semiconductor device
KR20050032527A (en) 2002-06-19 2005-04-07 메사추세츠 인스티튜트 오브 테크놀로지 Ge photodetectors
US6887773B2 (en) 2002-06-19 2005-05-03 Luxtera, Inc. Methods of incorporating germanium within CMOS process
US6617643B1 (en) 2002-06-28 2003-09-09 Mcnc Low power tunneling metal-oxide-semiconductor (MOS) device
US7335908B2 (en) 2002-07-08 2008-02-26 Qunano Ab Nanostructures and methods for manufacturing the same
US7015497B1 (en) 2002-08-27 2006-03-21 The Ohio State University Self-aligned and self-limited quantum dot nanoswitches and methods for making same
US7122733B2 (en) 2002-09-06 2006-10-17 The Boeing Company Multi-junction photovoltaic cell having buffer layers for the growth of single crystal boron compounds
US6830953B1 (en) 2002-09-17 2004-12-14 Taiwan Semiconductor Manufacturing Company, Ltd. Suppression of MOSFET gate leakage current
US6815241B2 (en) 2002-09-25 2004-11-09 Cao Group, Inc. GaN structures having low dislocation density and methods of manufacture
US6800910B2 (en) 2002-09-30 2004-10-05 Advanced Micro Devices, Inc. FinFET device incorporating strained silicon in the channel region
US6787864B2 (en) 2002-09-30 2004-09-07 Advanced Micro Devices, Inc. Mosfets incorporating nickel germanosilicided gate and methods for their formation
JP4546021B2 (en) 2002-10-02 2010-09-15 ルネサスエレクトロニクス株式会社 Insulated gate field effect transistor and semiconductor device
US6902991B2 (en) 2002-10-24 2005-06-07 Advanced Micro Devices, Inc. Semiconductor device having a thick strained silicon layer and method of its formation
US6920159B2 (en) 2002-11-29 2005-07-19 Optitune Plc Tunable optical source
AU2003297649A1 (en) 2002-12-05 2004-06-30 Blue Photonics, Inc. High efficiency, monolithic multijunction solar cells containing lattice-mismatched materials and methods of forming same
US6645797B1 (en) 2002-12-06 2003-11-11 Advanced Micro Devices, Inc. Method for forming fins in a FinFET device using sacrificial carbon layer
JP2004200375A (en) 2002-12-18 2004-07-15 Matsushita Electric Ind Co Ltd Semiconductor laser device and method of manufacturing the same
US7453129B2 (en) 2002-12-18 2008-11-18 Noble Peak Vision Corp. Image sensor comprising isolated germanium photodetectors integrated with a silicon substrate and silicon circuitry
US6794718B2 (en) 2002-12-19 2004-09-21 International Business Machines Corporation High mobility crystalline planes in double-gate CMOS technology
US7098487B2 (en) 2002-12-27 2006-08-29 General Electric Company Gallium nitride crystal and method of making same
KR100513316B1 (en) 2003-01-21 2005-09-09 삼성전기주식회사 Manufacturing method of semiconductor device having high efficiency
US6762483B1 (en) 2003-01-23 2004-07-13 Advanced Micro Devices, Inc. Narrow fin FinFET
JP2004235190A (en) 2003-01-28 2004-08-19 Sony Corp Optical semiconductor device
US7304336B2 (en) 2003-02-13 2007-12-04 Massachusetts Institute Of Technology FinFET structure and method to make the same
DE10320160A1 (en) 2003-02-14 2004-08-26 Osram Opto Semiconductors Gmbh Production of semiconductor bodies for e.g. optoelectronic components comprises forming a mask layer on the substrate or on an initial layer having windows to the substrate, back-etching, and further processing
US6815738B2 (en) 2003-02-28 2004-11-09 International Business Machines Corporation Multiple gate MOSFET structure with strained Si Fin body
JP4695824B2 (en) 2003-03-07 2011-06-08 富士電機ホールディングス株式会社 Manufacturing method of semiconductor wafer
CN100437970C (en) 2003-03-07 2008-11-26 琥珀波系统公司 Shallow trench isolation process
US6936851B2 (en) 2003-03-21 2005-08-30 Tien Yang Wang Semiconductor light-emitting device and method for manufacturing the same
US7061065B2 (en) 2003-03-31 2006-06-13 National Chung-Hsing University Light emitting diode and method for producing the same
US6900502B2 (en) 2003-04-03 2005-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel on insulator device
TWI231994B (en) 2003-04-04 2005-05-01 Univ Nat Taiwan Strained Si FinFET
US20050212051A1 (en) 2003-04-16 2005-09-29 Sarnoff Corporation Low voltage silicon controlled rectifier (SCR) for electrostatic discharge (ESD) protection of silicon-on-insulator technologies
US6909186B2 (en) 2003-05-01 2005-06-21 International Business Machines Corporation High performance FET devices and methods therefor
US7088143B2 (en) 2003-05-22 2006-08-08 The Regents Of The University Of Michigan Dynamic circuits having improved noise tolerance and method for designing same
US7262117B1 (en) 2003-06-10 2007-08-28 Luxtera, Inc. Germanium integrated CMOS wafer and method for manufacturing the same
JP4105044B2 (en) 2003-06-13 2008-06-18 株式会社東芝 Field effect transistor
US6974733B2 (en) 2003-06-16 2005-12-13 Intel Corporation Double-gate transistor with enhanced carrier mobility
US6943407B2 (en) 2003-06-17 2005-09-13 International Business Machines Corporation Low leakage heterojunction vertical transistors and high performance devices thereof
JP2005011915A (en) 2003-06-18 2005-01-13 Hitachi Ltd Semiconductor device, semiconductor circuit module and its manufacturing method
US7045401B2 (en) 2003-06-23 2006-05-16 Sharp Laboratories Of America, Inc. Strained silicon finFET device
KR100631832B1 (en) 2003-06-24 2006-10-09 삼성전기주식회사 White light emitting device and its manufacturing method
US7122392B2 (en) 2003-06-30 2006-10-17 Intel Corporation Methods of forming a high germanium concentration silicon germanium alloy by epitaxial lateral overgrowth and structures formed thereby
US6921982B2 (en) 2003-07-21 2005-07-26 International Business Machines Corporation FET channel having a strained lattice structure along multiple surfaces
EP1519420A2 (en) 2003-09-25 2005-03-30 Interuniversitaire Microelectronica Centrum vzw ( IMEC) Multiple gate semiconductor device and method for forming same
US6835618B1 (en) 2003-08-05 2004-12-28 Advanced Micro Devices, Inc. Epitaxially grown fin for FinFET
CN100536167C (en) 2003-08-05 2009-09-02 富士通微电子株式会社 Semiconductor device and preparation method thereof
US7101742B2 (en) 2003-08-12 2006-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel complementary field-effect transistors and methods of manufacture
US6815278B1 (en) 2003-08-25 2004-11-09 International Business Machines Corporation Ultra-thin silicon-on-insulator and strained-silicon-direct-on-insulator with hybrid crystal orientations
US6955969B2 (en) 2003-09-03 2005-10-18 Advanced Micro Devices, Inc. Method of growing as a channel region to reduce source/drain junction capacitance
US7078299B2 (en) 2003-09-03 2006-07-18 Advanced Micro Devices, Inc. Formation of finFET using a sidewall epitaxial layer
JP4439358B2 (en) 2003-09-05 2010-03-24 株式会社東芝 Field effect transistor and manufacturing method thereof
US7138292B2 (en) 2003-09-10 2006-11-21 Lsi Logic Corporation Apparatus and method of manufacture for integrated circuit and CMOS device including epitaxially grown dielectric on silicon carbide
WO2005029583A2 (en) 2003-09-19 2005-03-31 Spinnaker Semiconductor, Inc. Schottky barrier integrated circuit
US6831350B1 (en) 2003-10-02 2004-12-14 Freescale Semiconductor, Inc. Semiconductor structure with different lattice constant materials and method for forming the same
US6919258B2 (en) 2003-10-02 2005-07-19 Freescale Semiconductor, Inc. Semiconductor device incorporating a defect controlled strained channel structure and method of making the same
US7291524B2 (en) 2003-10-03 2007-11-06 Spinnaker Semiconductor, Inc. Schottky-barrier mosfet manufacturing method using isotropic etch process
US6900491B2 (en) 2003-10-06 2005-05-31 Hewlett-Packard Development Company, L.P. Magnetic memory
EP1683193A1 (en) 2003-10-22 2006-07-26 Spinnaker Semiconductor, Inc. Dynamic schottky barrier mosfet device and method of manufacture
US7009215B2 (en) 2003-10-24 2006-03-07 General Electric Company Group III-nitride based resonant cavity light emitting devices fabricated on single crystal gallium nitride substrates
US6977194B2 (en) 2003-10-30 2005-12-20 International Business Machines Corporation Structure and method to improve channel mobility by gate electrode stress modification
US7057216B2 (en) 2003-10-31 2006-06-06 International Business Machines Corporation High mobility heterojunction complementary field effect transistors and methods thereof
US6902965B2 (en) 2003-10-31 2005-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Strained silicon structure
GB0326321D0 (en) 2003-11-12 2003-12-17 Univ Warwick Formation of lattice-tuning semiconductor substrates
US20050104156A1 (en) 2003-11-13 2005-05-19 Texas Instruments Incorporated Forming a semiconductor structure in manufacturing a semiconductor device using one or more epitaxial growth processes
US7247534B2 (en) 2003-11-19 2007-07-24 International Business Machines Corporation Silicon device on Si:C-OI and SGOI and method of manufacture
JP2005191530A (en) 2003-12-03 2005-07-14 Sumitomo Electric Ind Ltd Light emitting device
JP4473710B2 (en) 2003-12-05 2010-06-02 株式会社東芝 Semiconductor device
US7198995B2 (en) 2003-12-12 2007-04-03 International Business Machines Corporation Strained finFETs and method of manufacture
US7247912B2 (en) 2004-01-05 2007-07-24 International Business Machines Corporation Structures and methods for making strained MOSFETs
US7705345B2 (en) 2004-01-07 2010-04-27 International Business Machines Corporation High performance strained silicon FinFETs device and method for forming same
US7138302B2 (en) 2004-01-12 2006-11-21 Advanced Micro Devices, Inc. Method of fabricating an integrated circuit channel region
US7268058B2 (en) 2004-01-16 2007-09-11 Intel Corporation Tri-gate transistors and methods to fabricate same
US7385247B2 (en) 2004-01-17 2008-06-10 Samsung Electronics Co., Ltd. At least penta-sided-channel type of FinFET transistor
US7198970B2 (en) 2004-01-23 2007-04-03 The United States Of America As Represented By The Secretary Of The Navy Technique for perfecting the active regions of wide bandgap semiconductor nitride devices
US7118987B2 (en) 2004-01-29 2006-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of achieving improved STI gap fill with reduced stress
US7205210B2 (en) 2004-02-17 2007-04-17 Freescale Semiconductor, Inc. Semiconductor structure having strained semiconductor and method therefor
US7492022B2 (en) 2004-02-27 2009-02-17 University Of Iowa Research Foundation Non-magnetic semiconductor spin transistor
US6888181B1 (en) 2004-03-18 2005-05-03 United Microelectronics Corp. Triple gate device having strained-silicon channel
US20050211291A1 (en) 2004-03-23 2005-09-29 The Boeing Company Solar cell assembly
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US7087965B2 (en) 2004-04-22 2006-08-08 International Business Machines Corporation Strained silicon CMOS on hybrid crystal orientations
US7445673B2 (en) 2004-05-18 2008-11-04 Lumilog Manufacturing gallium nitride substrates by lateral overgrowth through masks and devices fabricated thereof
US7084441B2 (en) 2004-05-20 2006-08-01 Cree, Inc. Semiconductor devices having a hybrid channel layer, current aperture transistors and methods of fabricating same
JP5461773B2 (en) 2004-06-03 2014-04-02 独立行政法人科学技術振興機構 Growth of flat and low dislocation density m-plane gallium nitride by hydride vapor deposition
US7125785B2 (en) 2004-06-14 2006-10-24 International Business Machines Corporation Mixed orientation and mixed material semiconductor-on-insulator wafer
US7807921B2 (en) 2004-06-15 2010-10-05 The Boeing Company Multijunction solar cell having a lattice mismatched GrIII-GrV-X layer and a composition-graded buffer layer
US7244958B2 (en) 2004-06-24 2007-07-17 International Business Machines Corporation Integration of strained Ge into advanced CMOS technology
US20060211210A1 (en) 2004-08-27 2006-09-21 Rensselaer Polytechnic Institute Material for selective deposition and etching
TWI442456B (en) 2004-08-31 2014-06-21 Sophia School Corp Light emitting element
US20060073681A1 (en) 2004-09-08 2006-04-06 Han Sang M Nanoheteroepitaxy of Ge on Si as a foundation for group III-V and II-VI integration
US7846759B2 (en) 2004-10-21 2010-12-07 Aonex Technologies, Inc. Multi-junction solar cells and methods of making same using layer transfer and bonding techniques
US20060105533A1 (en) 2004-11-16 2006-05-18 Chong Yung F Method for engineering hybrid orientation/material semiconductor substrate
US20060113603A1 (en) 2004-12-01 2006-06-01 Amberwave Systems Corporation Hybrid semiconductor-on-insulator structures and related methods
US20060131606A1 (en) 2004-12-18 2006-06-22 Amberwave Systems Corporation Lattice-mismatched semiconductor structures employing seed layers and related fabrication methods
US7405436B2 (en) 2005-01-05 2008-07-29 International Business Machines Corporation Stressed field effect transistors on hybrid orientation substrate
JP2006196631A (en) 2005-01-13 2006-07-27 Hitachi Ltd Semiconductor device and its manufacturing method
US7138309B2 (en) 2005-01-19 2006-11-21 Sharp Laboratories Of America, Inc. Integration of biaxial tensile strained NMOS and uniaxial compressive strained PMOS on the same wafer
US7344942B2 (en) 2005-01-26 2008-03-18 Micron Technology, Inc. Isolation regions for semiconductor devices and their formation
US7224033B2 (en) 2005-02-15 2007-05-29 International Business Machines Corporation Structure and method for manufacturing strained FINFET
JP2006253181A (en) 2005-03-08 2006-09-21 Seiko Epson Corp Semiconductor device and its manufacturing method
KR100712753B1 (en) 2005-03-09 2007-04-30 주식회사 실트론 Compound semiconductor device and method for manufacturing the same
WO2006125040A2 (en) 2005-05-17 2006-11-23 Amberwave Systems Corporation Lattice-mismatched semiconductor structures with reduced dislocation defect densities related methods for device fabrication
US8324660B2 (en) 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US20070267722A1 (en) 2006-05-17 2007-11-22 Amberwave Systems Corporation Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
JP2006332295A (en) 2005-05-26 2006-12-07 Matsushita Electric Ind Co Ltd Hetero-junction bipolar transistor and manufacturing method thereof
TW200703463A (en) 2005-05-31 2007-01-16 Univ California Defect reduction of non-polar and semi-polar III-nitrides with sidewall lateral epitaxial overgrowth (SLEO)
US7626246B2 (en) 2005-07-26 2009-12-01 Amberwave Systems Corporation Solutions for integrated circuit integration of alternative active area materials
US7638842B2 (en) 2005-09-07 2009-12-29 Amberwave Systems Corporation Lattice-mismatched semiconductor structures on insulators
US20070054467A1 (en) 2005-09-07 2007-03-08 Amberwave Systems Corporation Methods for integrating lattice-mismatched semiconductor structure on insulators
US7358107B2 (en) 2005-10-27 2008-04-15 Sharp Laboratories Of America, Inc. Method of fabricating a germanium photo detector on a high quality germanium epitaxial overgrowth layer
JP5243256B2 (en) 2005-11-01 2013-07-24 マサチューセッツ インスティテュート オブ テクノロジー Monolithically integrated semiconductor materials and devices
WO2007056354A2 (en) 2005-11-04 2007-05-18 The Regents Of The University Of California High light extraction efficiency light emitting diode (led)
US7629661B2 (en) 2006-02-10 2009-12-08 Noble Peak Vision Corp. Semiconductor devices with photoresponsive components and metal silicide light blocking structures
KR100790869B1 (en) 2006-02-16 2008-01-03 삼성전자주식회사 Single crystal substrate and fabrication method thereof
US7691698B2 (en) 2006-02-21 2010-04-06 International Business Machines Corporation Pseudomorphic Si/SiGe/Si body device with embedded SiGe source/drain
WO2008036256A1 (en) 2006-09-18 2008-03-27 Amberwave Systems Corporation Aspect ratio trapping for mixed signal applications
US7799592B2 (en) 2006-09-27 2010-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Tri-gate field-effect transistors formed by aspect ratio trapping
US20080187018A1 (en) 2006-10-19 2008-08-07 Amberwave Systems Corporation Distributed feedback lasers formed via aspect ratio trapping
US20080154197A1 (en) 2006-12-20 2008-06-26 Joel Brian Derrico System and method for regulating the temperature of a fluid injected into a patient
US8237151B2 (en) 2009-01-09 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US8304805B2 (en) 2009-01-09 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor diodes fabricated by aspect ratio trapping with coalesced films
WO2008124154A2 (en) 2007-04-09 2008-10-16 Amberwave Systems Corporation Photovoltaics on silicon
KR20080102065A (en) 2007-05-18 2008-11-24 삼성전자주식회사 Method of forming a epitaxial silicon structure and method of forming a semiconductor device using the same
KR20090010284A (en) 2007-07-23 2009-01-30 엘지이노텍 주식회사 Semiconductor light emitting device and fabrication method thereof
DE112008002387B4 (en) 2007-09-07 2022-04-07 Taiwan Semiconductor Manufacturing Co., Ltd. Structure of a multijunction solar cell, method of forming a photonic device, photovoltaic multijunction cell and photovoltaic multijunction cell device,
WO2009084238A1 (en) 2007-12-28 2009-07-09 Sumitomo Chemical Company, Limited Semiconductor substrate, method for manufacturing semiconductor substrate, and electronic device
US8183667B2 (en) 2008-06-03 2012-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial growth of crystalline material
JP5416212B2 (en) 2008-09-19 2014-02-12 台湾積體電路製造股▲ふん▼有限公司 Device formation by epitaxial layer growth
US8253211B2 (en) 2008-09-24 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor sensor structures with reduced dislocation defect densities
CN102379046B (en) 2009-04-02 2015-06-17 台湾积体电路制造股份有限公司 Devices formed from a non-polar plane of a crystalline material and method of making the same

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4727047A (en) * 1980-04-10 1988-02-23 Massachusetts Institute Of Technology Method of producing sheets of crystalline material
US4322253A (en) * 1980-04-30 1982-03-30 Rca Corporation Method of making selective crystalline silicon regions containing entrapped hydrogen by laser treatment
US4370510A (en) * 1980-09-26 1983-01-25 California Institute Of Technology Gallium arsenide single crystal solar cell structure and method of making
US4651179A (en) * 1983-01-21 1987-03-17 Rca Corporation Low resistance gallium arsenide field effect transistor
US5091333A (en) * 1983-09-12 1992-02-25 Massachusetts Institute Of Technology Reducing dislocations in semiconductors utilizing repeated thermal cycling during multistage epitaxial growth
US5281283A (en) * 1987-03-26 1994-01-25 Canon Kabushiki Kaisha Group III-V compound crystal article using selective epitaxial growth
US5079616A (en) * 1988-02-11 1992-01-07 Gte Laboratories Incorporated Semiconductor structure
US5098850A (en) * 1989-06-16 1992-03-24 Canon Kabushiki Kaisha Process for producing substrate for selective crystal growth, selective crystal growth process and process for producing solar battery by use of them
US5093699A (en) * 1990-03-12 1992-03-03 Texas A & M University System Gate adjusted resonant tunnel diode device and method of manufacture
US5285086A (en) * 1990-08-02 1994-02-08 At&T Bell Laboratories Semiconductor devices with low dislocation defects
US5091767A (en) * 1991-03-18 1992-02-25 At&T Bell Laboratories Article comprising a lattice-mismatched semiconductor heterostructure
US5295150A (en) * 1992-12-11 1994-03-15 Eastman Kodak Company Distributed feedback-channeled substrate planar semiconductor laser
US5717709A (en) * 1993-06-04 1998-02-10 Sharp Kabushiki Kaisha Semiconductor light-emitting device capable of having good stability in fundamental mode of oscillation, decreasing current leakage, and lowering oscillation threshold limit, and method of making the same
US6011271A (en) * 1994-04-28 2000-01-04 Fujitsu Limited Semiconductor device and method of fabricating the same
US5710436A (en) * 1994-09-27 1998-01-20 Kabushiki Kaisha Toshiba Quantum effect device
US20040016921A1 (en) * 1996-06-21 2004-01-29 Wisconsin Alumni Research Foundation High peak current density resonant tunneling diode
US5886385A (en) * 1996-08-22 1999-03-23 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method thereof
US6191432B1 (en) * 1996-09-02 2001-02-20 Kabushiki Kaisha Toshiba Semiconductor device and memory device
US6348096B1 (en) * 1997-03-13 2002-02-19 Nec Corporation Method for manufacturing group III-V compound semiconductors
US5883549A (en) * 1997-06-20 1999-03-16 Hughes Electronics Corporation Bipolar junction transistor (BJT)--resonant tunneling diode (RTD) oscillator circuit and method
US5869845A (en) * 1997-06-26 1999-02-09 Texas Instruments Incorporated Resonant tunneling memory
US6015979A (en) * 1997-08-29 2000-01-18 Kabushiki Kaisha Toshiba Nitride-based semiconductor element and method for manufacturing the same
US20050009304A1 (en) * 1998-06-10 2005-01-13 Tsvetanka Zheleva Methods of fabricating gallium nitride semiconductor layers by lateral growth into trenches
US6982435B2 (en) * 1999-03-31 2006-01-03 Toyoda Gosei Co., Ltd. Group III nitride compound semiconductor device and method for producing the same
US6342404B1 (en) * 1999-03-31 2002-01-29 Toyoda Gosei Co., Ltd. Group III nitride compound semiconductor device and method for producing
US6873009B2 (en) * 1999-05-13 2005-03-29 Hitachi, Ltd. Vertical semiconductor device with tunnel insulator in current path controlled by gate electrode
US6352942B1 (en) * 1999-06-25 2002-03-05 Massachusetts Institute Of Technology Oxidation of silicon on germanium
US6339232B1 (en) * 1999-09-20 2002-01-15 Kabushika Kaisha Toshiba Semiconductor device
US6984571B1 (en) * 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6512252B1 (en) * 1999-11-15 2003-01-28 Matsushita Electric Industrial Co., Ltd. Semiconductor device
US6521514B1 (en) * 1999-11-17 2003-02-18 North Carolina State University Pendeoepitaxial methods of fabricating gallium nitride semiconductor layers on sapphire substrates
US6849077B2 (en) * 2000-02-11 2005-02-01 Evysio Medical Devices Ulc Stent delivery system and method of use
US6503610B2 (en) * 2000-03-24 2003-01-07 Sumitomo Chemical Company, Limited Group III-V compound semiconductor and method of producing the same
US6362071B1 (en) * 2000-04-05 2002-03-26 Motorola, Inc. Method for forming a semiconductor device with an opening in a dielectric layer
US6841808B2 (en) * 2000-06-23 2005-01-11 Toyoda Gosei Co., Ltd. Group III nitride compound semiconductor device and method for producing the same
US20020030246A1 (en) * 2000-06-28 2002-03-14 Motorola, Inc. Structure and method for fabricating semiconductor structures and devices not lattice matched to the substrate
US20020011612A1 (en) * 2000-07-31 2002-01-31 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US20020017642A1 (en) * 2000-08-01 2002-02-14 Mitsubishi Materials Corporation Semiconductor substrate, field effect transistor, method of forming SiGe layer and method of forming strained Si layer using same, and method of manufacturing field effect transistor
US20020036290A1 (en) * 2000-09-28 2002-03-28 Kabushiki Kaisha Toshiba Semiconductor device having MIS field effect transistors or three-dimensional structure
US20050001216A1 (en) * 2000-10-18 2005-01-06 Adkisson James W. Method of fabricating semiconductor side wall fin
US6994751B2 (en) * 2001-02-27 2006-02-07 Sanyo Electric Co., Ltd. Nitride-based semiconductor element and method of forming nitride-based semiconductor
US6996147B2 (en) * 2001-03-30 2006-02-07 The Regents Of The University Of California Methods of fabricating nanostructures and nanowires and devices fabricated therefrom
US20030030117A1 (en) * 2001-05-22 2003-02-13 Hitachi, Ltd. Semiconductor device
US6841410B2 (en) * 2001-09-03 2005-01-11 Nec Corporation Method for forming group-III nitride semiconductor layer and group-III nitride semiconductor device
US20030045017A1 (en) * 2001-09-06 2003-03-06 Kazumasa Hiramatsu Method for fabricating III-V Group compound semiconductor
US7655960B2 (en) * 2001-09-19 2010-02-02 Sumito Electric Industries, Ltd. A1xInyGa1-x-yN mixture crystal substrate, method of growing same and method of producing same
US20030057486A1 (en) * 2001-09-27 2003-03-27 International Business Machines Corporation Fin field effect transistor with self-aligned gate
US6710368B2 (en) * 2001-10-01 2004-03-23 Ken Scott Fisher Quantum tunneling transistor
US6703253B2 (en) * 2001-11-15 2004-03-09 Sharp Kabushiki Kaisha Method for producing semiconductor light emitting device and semiconductor light emitting device produced by such method
US6849884B2 (en) * 2002-03-19 2005-02-01 International Business Machines Corporation Strained Fin FETs structure and method
US20040031979A1 (en) * 2002-06-07 2004-02-19 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US20040005740A1 (en) * 2002-06-07 2004-01-08 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US6995430B2 (en) * 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US7012298B1 (en) * 2002-06-21 2006-03-14 Advanced Micro Devices, Inc. Non-volatile memory device
US6982204B2 (en) * 2002-07-16 2006-01-03 Cree, Inc. Nitride-based transistors and methods of fabrication thereof using non-etched contact recesses
US20040012037A1 (en) * 2002-07-18 2004-01-22 Motorola, Inc. Hetero-integration of semiconductor materials on silicon
US20060009012A1 (en) * 2002-08-23 2006-01-12 Amberwave Systems Corporation Methods of fabricating semiconductor heterostructures
US20040041932A1 (en) * 2002-08-27 2004-03-04 Calvin Chao Photoconductor-on-active-pixel (POAP) sensor utilizing a multi-layered radiation absorbing structure
US20040043584A1 (en) * 2002-08-27 2004-03-04 Thomas Shawn G. Semiconductor device and method of making same
US7179727B2 (en) * 2002-09-03 2007-02-20 Advancesis Limited Formation of lattice-tuning semiconductor substrates
US6855990B2 (en) * 2002-11-26 2005-02-15 Taiwan Semiconductor Manufacturing Co., Ltd Strained-channel multiple-gate transistor
US6709982B1 (en) * 2002-11-26 2004-03-23 Advanced Micro Devices, Inc. Double spacer FinFET formation
US20060057825A1 (en) * 2002-12-18 2006-03-16 Agere Systems Inc. Semiconductor devices with reduced active region defects and unique contacting schemes
US20060049409A1 (en) * 2002-12-18 2006-03-09 Rafferty Conor S Method for forming integrated circuit utilizing dual semiconductors
US7012314B2 (en) * 2002-12-18 2006-03-14 Agere Systems Inc. Semiconductor devices with reduced active region defects and unique contacting schemes
US6686245B1 (en) * 2002-12-20 2004-02-03 Motorola, Inc. Vertical MOSFET with asymmetric gate structure
US20070029643A1 (en) * 2003-03-21 2007-02-08 Johnson Mark A L Methods for nanoscale structures from optical lithography and subsequent lateral growth
US20050003572A1 (en) * 2003-04-30 2005-01-06 Osram Opto Semiconductors Gmbh Method for fabricating a plurality of semiconductor chips
US6867433B2 (en) * 2003-04-30 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
US6838322B2 (en) * 2003-05-01 2005-01-04 Freescale Semiconductor, Inc. Method for forming a double-gated semiconductor device
US6849487B2 (en) * 2003-05-27 2005-02-01 Motorola, Inc. Method for forming an electronic structure using etch
US7164183B2 (en) * 2003-06-09 2007-01-16 Canon Kabushiki Kaisha Semiconductor substrate, semiconductor device, and method of manufacturing the same
US20050017351A1 (en) * 2003-06-30 2005-01-27 Ravi Kramadhati V. Silicon on diamond wafers and devices
US20050045983A1 (en) * 2003-07-28 2005-03-03 Takafumi Noda Semiconductor device and method for manufacturing the same
US6855583B1 (en) * 2003-08-05 2005-02-15 Advanced Micro Devices, Inc. Method for forming tri-gate FinFET with mesa isolation
US20050035410A1 (en) * 2003-08-15 2005-02-17 Yee-Chia Yeo Semiconductor diode with reduced leakage
US20050040444A1 (en) * 2003-08-22 2005-02-24 International Business Machines Corporation Strained-channel fin field effect transistor (FET) with a uniform channel thickness and separate gates
US20050054164A1 (en) * 2003-09-09 2005-03-10 Advanced Micro Devices, Inc. Strained silicon MOSFETs having reduced diffusion of n-type dopants
US20050054180A1 (en) * 2003-09-09 2005-03-10 Sang Han Threading-dislocation-free nanoheteroepitaxy of Ge on Si using self-directed touch-down of Ge through a thin SiO2 layer
US20050056892A1 (en) * 2003-09-15 2005-03-17 Seliskar John J. Fully-depleted castellated gate MOSFET device and method of manufacture thereof
US20050056827A1 (en) * 2003-09-15 2005-03-17 Agency For Science, Technology And Research CMOS compatible low band offset double barrier resonant tunneling diode
US7176522B2 (en) * 2003-11-25 2007-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having high drive current and method of manufacturing thereof
US7180134B2 (en) * 2004-01-30 2007-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and structures for planar and multiple-gate transistors formed on SOI
US7001804B2 (en) * 2004-01-30 2006-02-21 Atmel Germany Gmbh Method of producing active semiconductor layers of different thicknesses in an SOI wafer
US6855982B1 (en) * 2004-02-02 2005-02-15 Advanced Micro Devices, Inc. Self aligned double gate transistor having a strained channel region and process therefor
US6995456B2 (en) * 2004-03-12 2006-02-07 International Business Machines Corporation High-performance CMOS SOI devices on hybrid crystal-oriented substrates
US7160753B2 (en) * 2004-03-16 2007-01-09 Voxtel, Inc. Silicon-on-insulator active pixel sensors
US6998684B2 (en) * 2004-03-31 2006-02-14 International Business Machines Corporation High mobility plane CMOS SOI
US6991998B2 (en) * 2004-07-02 2006-01-31 International Business Machines Corporation Ultra-thin, high quality strained silicon-on-insulator formed by elastic strain transfer
US20060019462A1 (en) * 2004-07-23 2006-01-26 International Business Machines Corporation Patterned strained semiconductor substrate and device
US7002175B1 (en) * 2004-10-08 2006-02-21 Agency For Science, Technology And Research Method of making resonant tunneling diodes and CMOS backend-process-compatible three dimensional (3-D) integration
US20090039361A1 (en) * 2005-05-17 2009-02-12 Amberwave Systems Corporation Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US20070025670A1 (en) * 2005-08-01 2007-02-01 Dong Pan Method of fabricating Ge or SiGe/Si waveguide or photonic crystal structures by selective growth
US20080001169A1 (en) * 2006-03-24 2008-01-03 Amberwave Systems Corporation Lattice-mismatched semiconductor structures and related methods for device fabrication
US7875958B2 (en) * 2006-09-27 2011-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Quantum tunneling devices and circuits with lattice-mismatched semiconductor structures
US20110011438A1 (en) * 2007-04-09 2011-01-20 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-Based Multi-Junction Solar Cell Modules and Methods for Making the Same
US20090042344A1 (en) * 2007-06-15 2009-02-12 Amberwave Systems Corporation InP-Based Transistor Fabrication
US20100025683A1 (en) * 2008-07-01 2010-02-04 Amberwave Systems Corporation Reduction of edge effects from aspect ration trapping
US20100012976A1 (en) * 2008-07-15 2010-01-21 Amberwave Systems Corporation Polishing of small composite semiconductor materials

Cited By (133)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8519436B2 (en) 2005-05-17 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US8796734B2 (en) 2005-05-17 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US11251272B2 (en) 2005-05-17 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US9431243B2 (en) 2005-05-17 2016-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US8324660B2 (en) 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US8987028B2 (en) 2005-05-17 2015-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US10522629B2 (en) 2005-05-17 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US9219112B2 (en) 2005-05-17 2015-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US8629477B2 (en) 2005-05-17 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US9859381B2 (en) 2005-05-17 2018-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US8878243B2 (en) 2006-03-24 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures and related methods for device fabrication
US10074536B2 (en) 2006-03-24 2018-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures and related methods for device fabrication
US8847279B2 (en) 2006-09-07 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction using aspect ratio trapping
US9818819B2 (en) 2006-09-07 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction using aspect ratio trapping
US9318325B2 (en) 2006-09-07 2016-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction using aspect ratio trapping
US9559712B2 (en) 2006-09-27 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Quantum tunneling devices and circuits with lattice-mismatched semiconductor structures
US8629047B2 (en) 2006-09-27 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Quantum tunneling devices and circuits with lattice-mismatched semiconductor structures
US8216951B2 (en) 2006-09-27 2012-07-10 Taiwan Semiconductor Manufacturing Company, Ltd. Quantum tunneling devices and circuits with lattice-mismatched semiconductor structures
US9105522B2 (en) 2006-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Quantum tunneling devices and circuits with lattice-mismatched semiconductor structures
US8860160B2 (en) 2006-09-27 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Quantum tunneling devices and circuits with lattice-mismatched semiconductor structures
US8502263B2 (en) 2006-10-19 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Light-emitter-based devices with lattice-mismatched semiconductor structures
US10468551B2 (en) 2006-10-19 2019-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. Light-emitter-based devices with lattice-mismatched semiconductor structures
US9543472B2 (en) 2007-04-09 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US8624103B2 (en) 2007-04-09 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-based multi-junction solar cell modules and methods for making the same
US9853176B2 (en) 2007-04-09 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-based multi-junction solar cell modules and methods for making the same
US9231073B2 (en) 2007-04-09 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US9853118B2 (en) 2007-04-09 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US9040331B2 (en) 2007-04-09 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US9508890B2 (en) 2007-04-09 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photovoltaics on silicon
US20080257409A1 (en) * 2007-04-09 2008-10-23 Amberwave Systems Corporation Photovoltaics on silicon
US10680126B2 (en) 2007-04-09 2020-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Photovoltaics on silicon
US8329541B2 (en) 2007-06-15 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
US9780190B2 (en) 2007-06-15 2017-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
US10002981B2 (en) 2007-09-07 2018-06-19 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-junction solar cells
US8344242B2 (en) 2007-09-07 2013-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-junction solar cells
US8822248B2 (en) 2008-06-03 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial growth of crystalline material
US9365949B2 (en) 2008-06-03 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial growth of crystalline material
US10961639B2 (en) 2008-06-03 2021-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial growth of crystalline material
US9640395B2 (en) 2008-07-01 2017-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US8629045B2 (en) 2008-07-01 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US8994070B2 (en) 2008-07-01 2015-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US9356103B2 (en) 2008-07-01 2016-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US8274097B2 (en) 2008-07-01 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US8981427B2 (en) * 2008-07-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
US9287128B2 (en) 2008-07-15 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
US9607846B2 (en) 2008-07-15 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
US20100012976A1 (en) * 2008-07-15 2010-01-21 Amberwave Systems Corporation Polishing of small composite semiconductor materials
US9934967B2 (en) 2008-09-19 2018-04-03 Taiwan Semiconductor Manufacturing Co., Ltd. Formation of devices by epitaxial layer overgrowth
US8384196B2 (en) 2008-09-19 2013-02-26 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of devices by epitaxial layer overgrowth
US9455299B2 (en) 2008-09-24 2016-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for semiconductor sensor structures with reduced dislocation defect densities
US8253211B2 (en) 2008-09-24 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor sensor structures with reduced dislocation defect densities
US9105549B2 (en) 2008-09-24 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor sensor structures with reduced dislocation defect densities
US8809106B2 (en) 2008-09-24 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method for semiconductor sensor structures with reduced dislocation defect densities
US8304805B2 (en) 2009-01-09 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor diodes fabricated by aspect ratio trapping with coalesced films
US8237151B2 (en) 2009-01-09 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US8629446B2 (en) 2009-04-02 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Devices formed from a non-polar plane of a crystalline material and method of making the same
US9299562B2 (en) 2009-04-02 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Devices formed from a non-polar plane of a crystalline material and method of making the same
US9576951B2 (en) 2009-04-02 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Devices formed from a non-polar plane of a crystalline material and method of making the same
US20110212600A1 (en) * 2009-12-11 2011-09-01 Tsinghua University Method for forming channel layer with high ge content on substrate
US9455146B2 (en) * 2009-12-17 2016-09-27 California Institute Of Technology Virtual substrates for epitaxial growth and methods of making the same
US8592864B2 (en) * 2010-09-27 2013-11-26 Tsinghua University Semiconductor device and method for forming the same
WO2012041087A1 (en) * 2010-09-27 2012-04-05 Tsinghua University Semiconductor device and method for forming the same
WO2013067212A1 (en) * 2011-11-05 2013-05-10 Tokyo Electron Limited Epitaxial film growth in retrograde wells for semiconductor devices
US9816010B2 (en) 2011-11-25 2017-11-14 Fujimi Incorporated Polishing composition
US20140322913A1 (en) * 2011-11-25 2014-10-30 Fujimi Incorporated Polishing composition
US9238755B2 (en) * 2011-11-25 2016-01-19 Fujima Incorporated Polishing composition
US9947780B2 (en) * 2011-12-19 2018-04-17 Intel Corporation High electron mobility transistor (HEMT) and method of fabrication
US20160343844A1 (en) * 2011-12-19 2016-11-24 Intel Corporation Non-planar iii-n transistor
US9607987B2 (en) 2011-12-21 2017-03-28 Intel Corporation Methods for forming fins for metal oxide semiconductor device structures
KR20140091754A (en) * 2011-12-21 2014-07-22 인텔 코오퍼레이션 Methods for forming fins for metal oxide semiconductor device structures
US10985184B2 (en) 2011-12-21 2021-04-20 Intel Corporation Fins for metal oxide semiconductor device structures
KR101700213B1 (en) * 2011-12-21 2017-01-26 인텔 코포레이션 Methods for forming fins for metal oxide semiconductor device structures
US9312377B2 (en) 2012-06-22 2016-04-12 Samsung Electronics Co. Ltd Semiconductor devices and methods of manufacturing the same
US8901533B2 (en) 2012-06-22 2014-12-02 Samsung Electronics Co., Ltd. Semiconductor devices and methods of manufacturing the same
US9263528B2 (en) * 2012-10-05 2016-02-16 Imec Method for producing strained Ge fin structures
US20140099774A1 (en) * 2012-10-05 2014-04-10 Imec Method for Producing Strained Ge Fin Structures
US9059044B2 (en) 2012-11-15 2015-06-16 International Business Machines Corporation On-chip diode with fully depleted semiconductor devices
US9240355B2 (en) 2012-11-15 2016-01-19 Globalfoundries Inc. On-chip diode with fully depleted semicondutor devices
US9240342B2 (en) * 2013-07-17 2016-01-19 Globalfoundries Inc. Methods of forming replacement fins for a FinFET semiconductor device by performing a replacement growth process
US20150024573A1 (en) * 2013-07-17 2015-01-22 Globalfoundries Inc. Methods of forming replacement fins for a finfet semiconductor device by performing a replacement growth process
CN104299893A (en) * 2013-07-17 2015-01-21 格罗方德半导体公司 Methods of forming replacement fins for a FINFET semiconductor device by performing a replacement growth process
US20150048422A1 (en) * 2013-08-16 2015-02-19 International Business Machines Corporation A method for forming a crystalline compound iii-v material on a single element substrate
US20150048423A1 (en) * 2013-08-16 2015-02-19 International Business Machines Corporation Semiconductor device having a iii-v crystalline compound material selectively grown on the bottom of a space formed in a single element substrate.
US20160190319A1 (en) * 2013-09-27 2016-06-30 Intel Corporation Non-Planar Semiconductor Devices having Multi-Layered Compliant Substrates
US9627245B2 (en) 2014-03-05 2017-04-18 Globalfoundries Inc. Methods of forming alternative channel materials on a non-planar semiconductor device and the resulting device
US9245980B2 (en) 2014-04-01 2016-01-26 Globalfoundries Inc. Methods of forming substantially defect-free, fully-strained silicon-germanium fins for a FinFET semiconductor device
US9391140B2 (en) * 2014-06-20 2016-07-12 Globalfoundries Inc. Raised fin structures and methods of fabrication
US20150372084A1 (en) * 2014-06-20 2015-12-24 Globalfoundries Inc. Raised fin structures and methods of fabrication
US9837268B2 (en) 2014-06-20 2017-12-05 Globalfoundries Inc. Raised fin structures and methods of fabrication
US9384964B1 (en) 2014-08-01 2016-07-05 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor device
US9419074B2 (en) 2014-11-05 2016-08-16 International Business Machines Corporation Non-planar semiconductor device with aspect ratio trapping
US9640411B2 (en) 2014-11-05 2017-05-02 Imec Vzw Method for manufacturing a transistor device comprising a germanium channel material on a silicon based substrate, and associated transistor device
US9349594B1 (en) 2014-11-05 2016-05-24 International Business Machines Corporation Non-planar semiconductor device with aspect ratio trapping
WO2016105377A1 (en) * 2014-12-23 2016-06-30 Intel Corporation Apparatus and methods of forming fin structures with sidewall liner
WO2016105412A1 (en) * 2014-12-24 2016-06-30 Intel Corporation Apparatus and methods of forming fin structures with asymmetric profile
US9929273B2 (en) 2014-12-24 2018-03-27 Intel Corporation Apparatus and methods of forming fin structures with asymmetric profile
US10026659B2 (en) 2015-01-29 2018-07-17 Globalfoundries Inc. Methods of forming fin isolation regions under tensile-strained fins on FinFET semiconductor devices
US20160276228A1 (en) * 2015-02-25 2016-09-22 International Business Machines Corporation Non-destructive, wafer scale method to evaluate defect density in heterogeneous epitaxial layers
US9984941B2 (en) * 2015-02-25 2018-05-29 International Business Machines Corporation Non-destructive, wafer scale method to evaluate defect density in heterogeneous epitaxial layers
US9368415B1 (en) * 2015-02-25 2016-06-14 International Business Machines Corporation Non-destructive, wafer scale method to evaluate defect density in heterogeneous epitaxial layers
US9754967B2 (en) 2015-03-10 2017-09-05 International Business Machines Corporation Structure for integration of an III-V compound semiconductor on SOI
US9548319B2 (en) 2015-03-10 2017-01-17 International Business Machines Corporation Structure for integration of an III-V compound semiconductor on SOI
US9887084B2 (en) 2015-05-15 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9576796B2 (en) * 2015-05-15 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9716030B2 (en) 2015-05-29 2017-07-25 International Business Machines Corporation Aspect ratio for semiconductor on insulator
US9601599B2 (en) 2015-05-29 2017-03-21 International Business Machines Corporation Aspect ratio for semiconductor on insulator
US9786566B2 (en) 2015-05-29 2017-10-10 International Business Machines Corporation Aspect ratio for semiconductor on insulator
US9536775B2 (en) 2015-05-29 2017-01-03 International Business Machines Corporation Aspect ratio for semiconductor on insulator
US9799568B2 (en) 2015-11-23 2017-10-24 International Business Machines Corporation Field effect transistor including strained germanium fins
US9953884B2 (en) 2015-11-23 2018-04-24 International Business Machines Corporation Field effect transistor including strained germanium fins
US9570443B1 (en) 2015-11-23 2017-02-14 International Business Machines Corporation Field effect transistor including strained germanium fins
US10770550B2 (en) 2015-11-24 2020-09-08 Sumitomo Electric Industries, Ltd. Silicon carbide epitaxial substrate having grooves extending along main surface and method of manufacturing silicon carbide semiconductor device
US11004941B2 (en) 2015-11-24 2021-05-11 Sumitomo Electric Industries, Ltd. Silicon carbide epitaxial substrate having grooves extending along main surface and method of manufacturing silicon carbide semiconductor device
US20180277635A1 (en) * 2015-11-24 2018-09-27 Sumitomo Electric Industries, Ltd. Silicon carbide epitaxial substrate and method of manufacturing silicon carbide semiconductor device
US10490634B2 (en) * 2015-11-24 2019-11-26 Sumitomo Electric Industries, Ltd. Silicon carbide epitaxial substrate having a silicon carbide layer and method of manufacturing silicon carbide semiconductor device
US10026843B2 (en) * 2015-11-30 2018-07-17 Taiwan Semiconductor Manufacturing Co., Ltd. Fin structure of semiconductor device, manufacturing method thereof, and manufacturing method of active region of semiconductor device
US11749756B2 (en) 2015-11-30 2023-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing semiconductor device
US20170154996A1 (en) * 2015-11-30 2017-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Fin structure of semiconductor device, manufacturing method thereof, and manufacturing method of active region of semiconductor device
US10811537B2 (en) 2015-11-30 2020-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having fins
US9502245B1 (en) * 2015-12-09 2016-11-22 International Business Machines Corporation Elimination of defects in long aspect ratio trapping trench structures
US10062845B1 (en) 2016-05-13 2018-08-28 Crossbar, Inc. Flatness of memory cell surfaces
CN107527996A (en) * 2016-06-15 2017-12-29 科洛斯巴股份有限公司 Liner layer for dielectric barrier layer
US10522754B2 (en) * 2016-06-15 2019-12-31 Crossbar, Inc. Liner layer for dielectric block layer
US20170365780A1 (en) * 2016-06-15 2017-12-21 Crossbar, Inc. Liner layer for dielectric block layer
TWI668887B (en) * 2016-06-15 2019-08-11 橫杆股份有限公司 Liner layer for dielectric block layer
US10749110B1 (en) 2016-07-15 2020-08-18 Crossbar, Inc. Memory stack liner comprising dielectric block layer material
US9728626B1 (en) 2016-08-30 2017-08-08 Globalfoundries Inc. Almost defect-free active channel region
CN106711226A (en) * 2016-11-29 2017-05-24 东莞市广信知识产权服务有限公司 Silicon-based germanium nano fin-shaped structure
US10718726B2 (en) * 2017-10-13 2020-07-21 Infineon Technologies Austria Ag Method for determining the concentration of an element of a heteroepitaxial layer
US20190113468A1 (en) * 2017-10-13 2019-04-18 Infineon Technologies Austria Ag Method for Determining the Concentration of an Element of a Heteroepitaxial Layer
US10546928B2 (en) * 2017-12-07 2020-01-28 International Business Machines Corporation Forming stacked twin III-V nano-sheets using aspect-ratio trapping techniques
US11342179B2 (en) * 2019-05-21 2022-05-24 National Chiao Tung University Semiconductor structure having a Si substrate heterointegrated with GaN and method for fabricating the same
CN112420915A (en) * 2020-11-23 2021-02-26 济南晶正电子科技有限公司 Preparation method of composite substrate, composite film and electronic component

Also Published As

Publication number Publication date
US20170133222A1 (en) 2017-05-11
US9984872B2 (en) 2018-05-29

Similar Documents

Publication Publication Date Title
US9984872B2 (en) Fabrication and structures of crystalline material
EP2299475A1 (en) Improved fabrication and structures of crystalline material
US10961639B2 (en) Epitaxial growth of crystalline material
US9607846B2 (en) Polishing of small composite semiconductor materials
US9640395B2 (en) Reduction of edge effects from aspect ratio trapping
US9818819B2 (en) Defect reduction using aspect ratio trapping
JP5063594B2 (en) Lattice-mismatched semiconductor structure with low dislocation defect density and related device manufacturing method
US10074536B2 (en) Lattice-mismatched semiconductor structures and related methods for device fabrication
KR20140125376A (en) Semiconductor device and method for manufacturing same
EP2736085A1 (en) Nitride semiconductor device, nitride semiconductor wafer, and method for forming nitride semiconductor layer

Legal Events

Date Code Title Description
AS Assignment

Owner name: AMBERWAVE SYSTEMS,NEW HAMPSHIRE

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:PARK, JI-SOO;FIORENZA, JAMES;SIGNING DATES FROM 20091116 TO 20091117;REEL/FRAME:023564/0812

AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.,T

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:AMBERWAVE SYSTEMS CORPORATION;REEL/FRAME:024010/0241

Effective date: 20091122

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.,

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:AMBERWAVE SYSTEMS CORPORATION;REEL/FRAME:024010/0241

Effective date: 20091122

STCB Information on status: application discontinuation

Free format text: ABANDONED -- AFTER EXAMINER'S ANSWER OR BOARD OF APPEALS DECISION