US20100032640A1 - Memory cell that includes a carbon-based memory element and methods of forming the same - Google Patents

Memory cell that includes a carbon-based memory element and methods of forming the same Download PDF

Info

Publication number
US20100032640A1
US20100032640A1 US12/536,469 US53646909A US2010032640A1 US 20100032640 A1 US20100032640 A1 US 20100032640A1 US 53646909 A US53646909 A US 53646909A US 2010032640 A1 US2010032640 A1 US 2010032640A1
Authority
US
United States
Prior art keywords
layer
carbon
forming
photoresist
carbon layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/536,469
Inventor
Huiwen Xu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SanDisk Technologies LLC
Original Assignee
SanDisk 3D LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by SanDisk 3D LLC filed Critical SanDisk 3D LLC
Priority to US12/536,469 priority Critical patent/US20100032640A1/en
Priority to PCT/US2009/053058 priority patent/WO2010017426A1/en
Assigned to SANDISK 3D, LLC reassignment SANDISK 3D, LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: XU, HUIWEN
Publication of US20100032640A1 publication Critical patent/US20100032640A1/en
Assigned to SANDISK TECHNOLOGIES INC. reassignment SANDISK TECHNOLOGIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SANDISK 3D LLC.
Assigned to SANDISK TECHNOLOGIES INC. reassignment SANDISK TECHNOLOGIES INC. CORRECTIVE ASSIGNMENT TO CORRECT THE INCORRECT LISTED PATENT NUMBER 8853569 TO THE CORRECT PATENT NUMBER 8883569 PREVIOUSLY RECORDED ON REEL 038300 FRAME 0665. ASSIGNOR(S) HEREBY CONFIRMS THE ASSIGNMENT. Assignors: SANDISK 3D LLC
Assigned to SANDISK TECHNOLOGIES LLC reassignment SANDISK TECHNOLOGIES LLC CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: SANDISK TECHNOLOGIES INC
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3146Carbon layers, e.g. diamond-like layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/101Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including resistors or capacitors only
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/20Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having two electrodes, e.g. diodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/80Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/80Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays
    • H10B63/84Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays arranged in a direction perpendicular to the substrate, e.g. 3D cell arrays
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/021Formation of the switching material, e.g. layer deposition
    • H10N70/023Formation of the switching material, e.g. layer deposition by chemical vapor deposition, e.g. MOCVD, ALD
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/021Formation of the switching material, e.g. layer deposition
    • H10N70/026Formation of the switching material, e.g. layer deposition by physical vapor deposition, e.g. sputtering
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/041Modification of the switching material, e.g. post-treatment, doping
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/061Patterning of the switching material
    • H10N70/063Patterning of the switching material by etching of pre-deposited switching material layers, e.g. lithography
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/884Other compounds of groups 13-15, e.g. elemental or compound semiconductors
    • H10N70/8845Carbon or carbides
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2213/00Indexing scheme relating to G11C13/00 for features not covered by this group
    • G11C2213/30Resistive cell, memory material aspects
    • G11C2213/35Material including carbon, e.g. graphite, grapheme
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2213/00Indexing scheme relating to G11C13/00 for features not covered by this group
    • G11C2213/70Resistive array aspects
    • G11C2213/71Three dimensional array

Definitions

  • This invention relates to non-volatile memories, and more particularly to a memory cell that includes a carbon-based memory element, and methods of forming the same.
  • Non-volatile memories formed from reversible resistance switching elements are known.
  • U.S. patent application Ser. No. 11/968,154 filed Dec. 31, 2007, titled “Memory Cell That Employs A Selectively Fabricated Carbon Nano-Tube Reversible Resistance Switching Element And Methods Of Forming The Same” (the “'154 Application”), which is hereby incorporated by reference herein in its entirety for all purposes, describes a rewriteable non-volatile memory cell that includes a diode coupled in series with a carbon-based reversible resistivity switching material.
  • a method of forming a memory cell including forming a layer of carbon material above a substrate, forming a barrier layer above the carbon layer, forming a hardmask layer above the barrier layer, forming a photoresist layer above the hardmask layer, patterning and developing the photoresist layer to form a photoresist region, patterning and etching the hardmask layer to form a hardmask region, and using an ashing process to remove the photoresist region while the barrier layer remains above the carbon layer.
  • a method of forming a memory cell including forming a layer of carbon material above a substrate, forming a photoresist layer above the carbon layer, patterning and developing the photoresist layer to form a photoresist region, patterning and etching the carbon layer using the photoresist region, and performing an ashing process to remove the photoresist region without substantially damaging an exposed sidewall of the etched carbon layer.
  • FIG. 1 is a diagram of an exemplary memory cell in accordance with this invention.
  • FIG. 2A is a simplified perspective view of an exemplary memory cell in accordance with this invention.
  • FIG. 2B is a simplified perspective view of a portion of a first exemplary memory level formed from a plurality of the memory cells of FIG. 2A ;
  • FIG. 2C is a simplified perspective view of a portion of a first exemplary three-dimensional memory array in accordance with this invention.
  • FIG. 2D is a simplified perspective view of a portion of a second exemplary three-dimensional memory array in accordance with this invention.
  • FIG. 3 is a cross-sectional view of an exemplary embodiment of a memory cell in accordance with this invention.
  • FIGS. 4A-4J illustrate cross-sectional views of a portion of a substrate during an exemplary fabrication of a single memory level in accordance with this invention.
  • FIGS. 5A-5H illustrate cross-sectional views of a portion of a substrate during an exemplary fabrication of a single memory level in accordance with this invention.
  • Carbon films such as amorphous carbon (“aC”) containing nanocrystalline graphene (referred to herein as “graphitic carbon”), graphene, graphite, carbon nano-tubes, amorphous diamond-like carbon (“DLC”) (described below), silicon carbide, boron carbide and other similar carbon-based materials may exhibit resistivity-switching behavior that may make such materials suitable for use in microelectronic non-volatile memories.
  • aC amorphous carbon
  • graphene graphite
  • carbon nano-tubes referred to herein as “graphitic carbon”
  • DLC amorphous diamond-like carbon
  • a carbon-based resistivity-switching material may be characterized by its ratio of forms of carbon-carbon bonding. Carbon typically bonds to carbon to form either an sp 2 -bond (a trigonal carbon-carbon double bond (“C ⁇ C”)) or an sp 3 -bond (a tetrahedral carbon-carbon single bond (“C—C”)). In each case, a ratio of sp 2 -bonds to sp 3 -bonds can be determined via Raman spectroscopy by evaluating the D and G bands.
  • C ⁇ C trigonal carbon-carbon double bond
  • C—C tetrahedral carbon-carbon single bond
  • the carbon-based material should have a relatively high concentration of sp 2 graphene crystallinity. DLC tends to be sp 3 -hybridized, and to be amorphous with respect to long range order, and also has found to be switchable.
  • a carbon-based memory element may be formed by arranging a carbon-based material between two electrodes to form a metal-insulator-metal (“MIM”) structure.
  • MIM metal-insulator-metal
  • the carbon-based material sandwiched between the two metal or otherwise conducting layers serves as a reversible resistance-switching element.
  • a memory cell may then be formed by coupling the MIM structure in series with a steering element, such as a diode.
  • Semiconductor fabrication techniques may be used to fabricate carbon-based memory cells.
  • semiconductor processing techniques may be used to fabricate a pillar that includes a vertically oriented diode and a carbon-based resistivity-switching layer coupled in series between a bottom conductor and a top conductor.
  • such pillars could be formed by depositing the various layers that constitute the memory cell on a substrate, including a carbon material layer, depositing photoresist on top of the layers, patterning the photoresist, etching to form the pillars, and then removing the photoresist.
  • Exemplary methods in accordance with this invention protect carbon-based materials from damage during subsequent processing steps.
  • a barrier layer is formed above a carbon-based material layer
  • a hard mask layer is formed above the barrier layer
  • photoresist is deposited above the hard mask layer.
  • the photoresist is patterned and developed to form patterned photoresist regions.
  • the patterned photoresist regions are then used to pattern and etch the hardmask layer to form patterned hardmask regions.
  • the barrier layer is not etched, and thus the carbon-based material layer remains covered by the barrier material.
  • the patterned photoresist regions are then removed, such as by a conventional ashing process.
  • the patterned hardmask regions are then used to pattern and etch the carbon-based material layer. In this regard, the carbon-based material layer remains protected during the ashing process.
  • photoresist is deposited a carbon-based material layer, and the photoresist is patterned and developed to form patterned photoresist.
  • the patterned photoresist regions are then used to pattern and etch the carbon-based material layer.
  • the patterned photoresist regions are then removed by a two-step ash process that removes the photoresist without substantially damaging the carbon-based material layer.
  • FIG. 1 is a schematic illustration of an exemplary memory cell 10 in accordance with this invention.
  • Memory cell 10 includes a carbon-based reversible resistance-switching element 12 coupled to a steering element 14 .
  • Carbon-based reversible resistance-switching element 12 includes a carbon-based reversible resistivity switching material (not separately shown) having a resistivity that may be reversibly switched between two or more states.
  • carbon-based reversible resistivity-switching material of element 12 may be in an initial, low-resistivity state upon fabrication. Upon application of a first voltage and/or current, the material is switchable to a high-resistivity state. Application of a second voltage and/or current may return reversible resistivity switching material to a low-resistivity state.
  • carbon-based reversible resistance-switching element 12 may be in an initial, high-resistance state upon fabrication that is reversibly switchable to a low-resistance state upon application of the appropriate voltage(s) and/or current(s).
  • one resistance state When used in a memory cell, one resistance state may represent a binary “0,” whereas another resistance state may represent a binary “1,” although more than two data/resistance states may be used.
  • Numerous reversible resistivity switching materials and operation of memory cells employing reversible resistance switching elements are described, for example, in U.S. patent application Ser. No. 11/125,939, filed May 9, 2005 and titled “Rewriteable Memory Cell Comprising A Diode And A Resistance Switching Material” (the “'939 Application”), which is hereby incorporated by reference herein in its entirety for all purposes.
  • Steering element 14 may include a thin film transistor, a diode, metal-insulator-metal tunneling current device, or another similar steering element that exhibits non-ohmic conduction by selectively limiting the voltage across and/or the current flow through carbon-based reversible resistance-switching element 12 .
  • memory cell 10 may be used as part of a two or three dimensional memory array and data may be written to and/or read from memory cell 10 without affecting the state of other memory cells in the array.
  • Exemplary embodiments of memory cell 10 , carbon-based reversible resistance-switching element 12 and steering element 14 are described below with reference to FIGS. 2A-2D and FIG. 3 .
  • FIG. 2A is a simplified perspective view of an exemplary embodiment of a memory cell 10 in accordance with this invention.
  • Memory cell 10 includes a pillar 11 coupled between a first conductor 20 and a second conductor 22 .
  • Pillar 11 includes a carbon-based reversible resistance-switching element 12 coupled in series with a steering element 14 .
  • a barrier layer 24 may be formed between carbon-based reversible resistance-switching element 12 and steering element 14
  • a barrier layer 28 may be formed between steering element 14 and first conductor 20
  • a barrier layer 33 may be formed between carbon-based reversible resistance-switching element 12 and a metal layer 35 .
  • Barrier layers 24 , 28 and 33 may include titanium nitride, tantalum nitride, tungsten nitride, or other similar barrier layer. In some embodiments, barrier layer 33 and metal layer 35 may be formed as part of upper conductor 22 .
  • Carbon-based reversible resistance-switching element 12 may include a carbon-based material suitable for use in a memory cell.
  • carbon-based reversible resistance-switching element 12 may include graphitic carbon.
  • graphitic carbon reversible resistivity switching materials may be formed as described in U.S. patent application Ser. No. 12/499,467, filed Jul. 8, 2009 and titled “Carbon-Based Resistivity-Switching Materials And Methods Of Forming The Same” (the “'467 application”) (Docket No. SD-MXA-294), which is hereby incorporated by reference herein in its entirety for all purposes.
  • carbon-based reversible resistance-switching element 12 may include other carbon-based materials such as graphene, graphite, carbon nano-tube materials, DLC, silicon carbide, boron carbide, or other similar carbon-based materials.
  • carbon-based reversible resistance-switching element 12 will be referred to in the remaining discussion interchangeably as “carbon element 12 ,” or “carbon layer 12 .”
  • steering element 14 includes a diode.
  • steering element 14 is sometimes referred to as “diode 14 .”
  • Diode 14 may include any suitable diode such as a vertical polycrystalline p-n or p-i-n diode, whether upward pointing with an n-region above a p-region of the diode or downward pointing with a p-region above an n-region of the diode.
  • diode 14 may include a heavily doped n+ polysilicon region 14 a , a lightly doped or an intrinsic (unintentionally doped) polysilicon region 14 b above the n+ polysilicon region 14 a , and a heavily doped p+ polysilicon region 14 c above intrinsic region 14 b . It will be understood that the locations of the n+ and p+ regions may be reversed.
  • First conductor 20 and/or second conductor 22 may include any suitable conductive material such as tungsten, any appropriate metal, heavily doped semiconductor material, a conductive silicide, a conductive silicide-germanide, a conductive germanide, or the like.
  • first and second conductors 20 and 22 are rail-shaped and extend in different directions (e.g., substantially perpendicular to one another). Other conductor shapes and/or configurations may be used.
  • barrier layers, adhesion layers, antireflection coatings and/or the like may be used with the first conductor 20 and/or second conductor 22 to improve device performance and/or aid in device fabrication.
  • FIG. 2B is a simplified perspective view of a portion of a first memory level 30 formed from a plurality of memory cells 10 , such as memory cell 10 of FIG. 2A .
  • Memory array 30 is a “cross-point” array including a plurality of bit lines (second conductors 22 ) and word lines (first conductors 20 ) to which multiple memory cells are coupled (as shown). Other memory array configurations may be used, as may multiple levels of memory.
  • FIG. 2C is a simplified perspective view of a portion of a monolithic three dimensional array 40 a that includes a first memory level 42 positioned below a second memory level 44 .
  • Memory levels 42 and 44 each include a plurality of memory cells 10 in a cross-point array.
  • additional layers e.g., an interlevel dielectric
  • FIG. 2C Other memory array configurations may be used, as may additional levels of memory.
  • all diodes may “point” in the same direction, such as upward or downward depending on whether p-i-n diodes having a p-doped region on the bottom or top of the diodes are employed, simplifying diode fabrication.
  • the memory levels may be formed as described in U.S. Pat. No. 6,952,030, titled “High-Density Three-Dimensional Memory Cell,” which is hereby incorporated by reference herein in its entirety for all purposes.
  • the upper conductors of a first memory level may be used as the lower conductors of a second memory level that is positioned above the first memory level as shown in FIG. 2D .
  • the diodes on adjacent memory levels preferably point in opposite directions as described in U.S. patent application Ser. No. 11/692,151, filed Mar.
  • the diodes of the first memory level 42 may be upward pointing diodes as indicated by arrow D 1 (e.g., with p regions at the bottom of the diodes), whereas the diodes of the second memory level 44 may be downward pointing diodes as indicated by arrow D 2 (e.g., with n regions at the bottom of the diodes), or vice versa.
  • a monolithic three dimensional memory array is one in which multiple memory levels are formed above a single substrate, such as a wafer, with no intervening substrates.
  • the layers forming one memory level are deposited or grown directly over the layers of an existing level or levels.
  • stacked memories have been constructed by forming memory levels on separate substrates and adhering the memory levels atop each other, as in Leedy, U.S. Pat. No. 5,915,167, titled “Three Dimensional Structure Memory.”
  • the substrates may be thinned or removed from the memory levels before bonding, but as the memory levels are initially formed over separate substrates, such memories are not true monolithic three dimensional memory arrays.
  • FIG. 3 is a cross-sectional view of an exemplary embodiment of memory cell 10 of FIG. 2A formed on a substrate, such as a wafer (not shown).
  • memory cell 10 includes a pillar 11 coupled between first and second conductors 20 and 22 , respectively.
  • Pillar 11 includes carbon element 12 coupled in series with diode 14 , and also may include barrier layers 24 , 28 , and 33 , a silicide layer 50 , a silicide-forming metal layer 52 , and a metal layer 35 .
  • a dielectric layer 58 substantially surrounds pillar 11 .
  • a sidewall liner 54 separates selected layers of pillar 11 from dielectric layer 58 .
  • Adhesion layers, antireflective coating layers and/or the like may be used with first and/or second conductors 20 and 22 , respectively, to improve device performance and/or facilitate device fabrication.
  • First conductor 20 may include any suitable conductive material such as tungsten, any appropriate metal, heavily doped semiconductor material, a conductive silicide, a conductive silicide-germanide, a conductive germanide, or the like.
  • Second conductor 22 includes a barrier layer 26 , which may include titanium nitride or other similar barrier layer material, and conductive layer 140 , which may include any suitable conductive material such as tungsten, any appropriate metal, heavily doped semiconductor material, a conductive silicide, a conductive silicide-germanide, a conductive germanide, or the like.
  • Diode 14 may be a vertical p-n or p-i-n diode, which may either point upward or downward.
  • adjacent memory levels preferably have diodes that point in opposite directions such as downward-pointing p-i-n diodes for a first memory level and upward-pointing p-i-n diodes for an adjacent, second memory level (or vice versa).
  • diode 14 may be formed from a polycrystalline semiconductor material such as polysilicon, a polycrystalline silicon-germanium alloy, polygermanium or any other suitable material.
  • diode 14 may include a heavily doped n+ polysilicon region 14 a , a lightly doped or an intrinsic (unintentionally doped) polysilicon region 14 b above the n+ polysilicon region 14 a , and a heavily doped p+ polysilicon region 14 c above intrinsic region 14 b . It will be understood that the locations of the n+ and p+ regions may be reversed.
  • a thin germanium and/or silicon-germanium alloy layer may be formed on n+ polysilicon region 14 a to prevent and/or reduce dopant migration from n+ polysilicon region 14 a into intrinsic region 14 b .
  • a thin germanium and/or silicon-germanium alloy layer is described, for example, in U.S. patent application Ser. No. 11/298,331, filed Dec. 9, 2005 and titled “Deposited Semiconductor Structure To Minimize N-Type Dopant Diffusion And Method Of Making” (the “'331 Application”), which is hereby incorporated by reference herein in its entirety for all purposes.
  • a few hundred angstroms or less of silicon-germanium alloy with about 10 at % or more of germanium may be employed.
  • a barrier layer 28 such as titanium nitride, tantalum nitride, tungsten nitride, or other similar barrier layer material, may be formed between the first conductor 20 and the n+ region 14 a (e.g., to prevent and/or reduce migration of metal atoms into the polysilicon regions).
  • a silicide layer 50 may be formed on diode 14 to place the deposited silicon in a low resistivity state, as fabricated.
  • a low resistivity state allows for easier programming of memory cell 10 , as a large voltage is not required to switch the deposited silicon to a low resistivity state.
  • a silicide-forming metal layer 52 such as titanium or cobalt may be deposited on p+ polysilicon region 14 c .
  • an additional nitride layer (not shown) may be formed at a top surface of silicide-forming metal layer 52 .
  • an additional cap layer such as TiN layer may be formed on silicide-forming metal layer 52 .
  • a Ti/TiN stack is formed on top of p+ polysilicon region 14 c.
  • a rapid thermal anneal (“RTA”) step may then be performed to form silicide regions by reaction of silicide-forming metal layer 52 with p+ region 14 c .
  • the RTA step may be performed at a temperature between about 650° C. to about 750° C., more generally between about 600° C. to about 800° C., preferably at about 750° C., for a duration between about 10 seconds to about 60 seconds, more generally between about 10 seconds to about 90 seconds, preferably about 1 minute, and causes silicide-forming metal layer 52 and the deposited silicon of diode 14 to interact to form silicide layer 50 , consuming all or a portion of the silicide-forming metal layer 52 .
  • silicide-forming materials such as titanium and/or cobalt react with deposited silicon during annealing to form a silicide layer.
  • the lattice spacing of titanium silicide and cobalt silicide are close to that of silicon, and it appears that such silicide layers may serve as “crystallization templates” or “seeds” for adjacent deposited silicon as the deposited silicon crystallizes (e.g., silicide layer 50 enhances the crystalline structure of silicon diode 14 during annealing). Lower resistivity silicon thereby is provided. Similar results may be achieved for silicon-germanium alloy and/or germanium diodes.
  • the nitride layer may be stripped using a wet chemistry.
  • a wet chemistry e.g., ammonium, peroxide, water in a 1:1:1 ratio
  • a wet chemistry may be used to strip any residual TiN.
  • a barrier layer 33 such as titanium nitride, tantalum nitride, tungsten nitride, or other similar barrier layer material, may be formed above carbon layer 12 .
  • processing methods are used to protect carbon layer 12 from damage during subsequent processing steps.
  • a hard mask layer is formed above metal layer 35 , and photoresist is deposited above the hard mask layer.
  • the photoresist is patterned and developed to form patterned photoresist regions.
  • the patterned photoresist regions are then used to pattern and etch the hardmask layer to form patterned hardmask regions.
  • the patterned photoresist regions are then removed, such as by a conventional ashing process.
  • the patterned hardmask regions are then used to pattern and etch metal layer 35 , barrier layer 33 , carbon layer 12 , barrier layer 24 , silicide forming metal layer 52 , silicide layer 50 , diode 14 and barrier layer 28 .
  • carbon layer 12 remains protected by barrier layer 33 during the ashing process.
  • photoresist is deposited on metal layer 35 , and the photoresist is patterned and developed to form patterned photoresist.
  • the patterned photoresist regions are then used to pattern and etch metal layer 35 , barrier layer 33 , carbon layer 12 , barrier layer 24 , silicide forming metal layer 52 , silicide layer 50 , diode 14 and barrier layer 28 .
  • the patterned photoresist regions are then removed by a two-step “engineered ash” process that removes the photoresist without substantially damaging carbon layer 12 .
  • FIGS. 4 and 5 illustrate exemplary methods in accordance with this invention for forming a memory level.
  • FIGS. 4 and 5 illustrate exemplary methods of forming an exemplary memory level that includes memory cells 10 of FIG. 3 .
  • the first memory level includes a plurality of memory cells that each include a steering element and a carbon-based reversible resistance switching element coupled to the steering element. Additional memory levels may be fabricated above the first memory level (as described previously with reference to FIGS. 2C-2D ).
  • substrate 100 is shown as having already undergone several processing steps.
  • Substrate 100 may be any suitable substrate such as a silicon, germanium, silicon-germanium, undoped, doped, bulk, silicon-on-insulator (“SOI”) or other substrate with or without additional circuitry.
  • substrate 100 may include one or more n-well or p-well regions (not shown).
  • Isolation layer 102 is formed above substrate 100 .
  • isolation layer 102 may be a layer of silicon dioxide, silicon nitride, silicon oxynitride or any other suitable insulating layer.
  • adhesion layer 104 is formed over isolation layer 102 (e.g., by physical vapor deposition (“PVD”) or another method).
  • PVD physical vapor deposition
  • adhesion layer 104 may be about 20 to about 500 angstroms, and preferably about 100 angstroms, of titanium nitride or another suitable adhesion layer such as tantalum nitride, tungsten nitride, combinations of one or more adhesion layers, or the like. Other adhesion layer materials and/or thicknesses may be employed.
  • adhesion layer 104 may be optional.
  • Conductive layer 106 may include any suitable conductive material such as tungsten or another appropriate metal, heavily doped semiconductor material, a conductive silicide, a conductive silicide-germanide, a conductive germanide, or the like deposited by any suitable method (e.g., chemical vapor deposition (“CVD”), PVD, etc.). In at least one embodiment, conductive layer 106 may comprise about 200 to about 2500 angstroms of tungsten. Other conductive layer materials and/or thicknesses may be used.
  • CVD chemical vapor deposition
  • adhesion layer 104 and conductive layer 106 are patterned and etched.
  • adhesion layer 104 and conductive layer 106 may be patterned and etched using conventional lithography techniques, with a soft or hard mask, and wet or dry etch processing.
  • adhesion layer 104 and conductive layer 106 are patterned and etched to form substantially parallel, substantially co-planar first conductors 20 .
  • Exemplary widths for first conductors 20 and/or spacings between first conductors 20 range from about 200 to about 2500 angstroms, although other conductor widths and/or spacings may be used.
  • a dielectric layer 58 a is formed over substrate 100 to fill the voids between first conductors 20 .
  • a dielectric layer 58 a is formed over substrate 100 to fill the voids between first conductors 20 .
  • silicon dioxide may be deposited on the substrate 100 and planarized using chemical mechanical polishing or an etchback process to form a planar surface 110 .
  • Planar surface 110 includes exposed top surfaces of first conductors 20 separated by dielectric material (as shown).
  • dielectric material such as silicon nitride, silicon oxynitride, low K dielectrics, etc., and/or other dielectric layer thicknesses may be used.
  • Exemplary low K dielectrics include carbon doped oxides, silicon carbon layers, or the like.
  • first conductors 20 may be formed using a damascene process in which dielectric layer 58 a is formed, patterned and etched to create openings or voids for first conductors 20 .
  • the openings or voids then may be filled with adhesion layer 104 and conductive layer 106 (and/or a conductive seed, conductive fill and/or barrier layer if needed).
  • Adhesion layer 104 and conductive layer 106 then may be planarized to form planar surface 110 . In such an embodiment, adhesion layer 104 will line the bottom and sidewalls of each opening or void.
  • barrier layer 28 is formed over planarized top surface 110 of substrate 100 .
  • Barrier layer 28 may be about 20 to about 500 angstroms, and preferably about 100 angstroms, of titanium nitride or another suitable barrier layer such as tantalum nitride, tungsten nitride, combinations of one or more barrier layers, barrier layers in combination with other layers such as titanium/titanium nitride, tantalum/tantalum nitride or tungsten/tungsten nitride stacks, or the like. Other barrier layer materials and/or thicknesses may be employed.
  • each diode may be a vertical p-n or p-i-n diode as previously described.
  • each diode is formed from a polycrystalline semiconductor material such as polysilicon, a polycrystalline silicon-germanium alloy, polygermanium or any other suitable material.
  • polysilicon a polycrystalline semiconductor material
  • a polycrystalline semiconductor material such as polysilicon, a polycrystalline silicon-germanium alloy, polygermanium or any other suitable material.
  • downward-pointing diode is described herein. It will be understood that other materials and/or diode configurations may be used.
  • n+ silicon layer 14 a is deposited on barrier layer 28 .
  • n+ silicon layer 14 a is in an amorphous state as deposited.
  • n+ silicon layer 14 a is in a polycrystalline state as deposited.
  • CVD or another suitable process may be employed to deposit n+ silicon layer 14 a .
  • n+ silicon layer 14 a may be formed, for example, from about 100 to about 1000 angstroms, preferably about 100 angstroms, of phosphorus or arsenic doped silicon having a doping concentration of about 10 21 cm ⁇ 3 . Other layer thicknesses, doping types and/or doping concentrations may be used.
  • N+ silicon layer 14 a may be doped in situ, for example, by flowing a donor gas during deposition. Other doping methods may be used (e.g., implantation).
  • a lightly doped, intrinsic and/or unintentionally doped silicon layer 14 b may be formed over n+ silicon layer 14 a .
  • intrinsic silicon layer 14 b may be in an amorphous state as deposited. In other embodiments, intrinsic silicon layer 14 b may be in a polycrystalline state as deposited. CVD or another suitable deposition method may be employed to deposit intrinsic silicon layer 14 b .
  • intrinsic silicon layer 14 b may be about 500 to about 4800 angstroms, preferably about 2500 angstroms, in thickness. Other intrinsic layer thicknesses may be used.
  • a thin (e.g., a few hundred angstroms or less) germanium and/or silicon-germanium alloy layer may be formed on n+ silicon layer 14 a prior to depositing intrinsic silicon layer 14 b to prevent and/or reduce dopant migration from n+ silicon layer 14 a into intrinsic silicon layer 14 b (as described in the '331 Application, previously incorporated).
  • Heavily doped, p-type silicon may be either deposited and doped by ion implantation or may be doped in situ during deposition to form a p+ silicon layer 14 c .
  • a blanket p+ implant may be employed to implant boron a predetermined depth within intrinsic silicon layer 14 b .
  • Exemplary implantable molecular ions include BF 2 , BF 3 , B and the like.
  • an implant dose of about 1-5 ⁇ 10 15 ions/cm 2 may be employed.
  • Other implant species and/or doses may be used.
  • a diffusion process may be employed.
  • the resultant p+ silicon layer 14 c has a thickness of about 100-700 angstroms, although other p+ silicon layer sizes may be used.
  • silicide-forming metal layer 52 is deposited over p+ silicon layer 14 c .
  • exemplary silicide-forming metals include sputter or otherwise deposited titanium or cobalt.
  • silicide-forming metal layer 52 has a thickness of about 10 to about 200 angstroms, preferably about 20 to about 50 angstroms and more preferably about 20 angstroms. Other silicide-forming metal layer materials and/or thicknesses may be used.
  • a nitride layer (not shown) may be formed at the top of silicide-forming metal layer 52 .
  • an RTA step may be performed to form silicide layer 50 , consuming all or a portion of the silicide-forming metal layer 52 .
  • the RTA step may be performed at a temperature between about 650° C. and about 750° C., more generally between about 600° C. and about 800° C., preferably at about 750° C., for a duration between about 10 seconds to about 60 seconds, more generally between about 10 seconds to about 90 seconds, preferably about 60 seconds.
  • any residual nitride layer from silicide-forming metal layer 52 may be stripped using a wet chemistry, as described above, and as is known in the art.
  • barrier layer 24 is deposited.
  • Barrier layer 24 may be about 20 to about 500 angstroms, and preferably about 200 angstroms, of titanium nitride or another suitable barrier layer such as tantalum nitride, tungsten nitride, combinations of one or more barrier layers, barrier layers in combination with other layers such as titanium/titanium nitride, tantalum/tantalum nitride or tungsten/tungsten nitride stacks, or the like. Other barrier layer materials and/or thicknesses may be employed. Any suitable method may be used to form barrier layer 24 . For example, PVD, atomic layer deposition (“ALD”), or the like may be used.
  • Carbon layer 12 is deposited over barrier layer 24 .
  • Carbon layer 12 may be formed by a PECVD method, for example. Other methods may be used, including, without limitation, sputter deposition from a target, PVD, CVD, arc discharge techniques, and laser ablation. Other methods may be used to form carbon layer 12 , such as a damascene integration method, for example.
  • Carbon layer 12 may include graphitic carbon. In alternative embodiments, other carbon-based materials may be used, such as graphene, graphite, carbon nano-tube materials, DLC or other similar carbon-based materials.
  • Carbon layer 12 is formed having a thickness between about 100 and about 600 angstroms, more generally between about 1 and about 1000 angstroms. Other thicknesses may be used.
  • barrier layer 33 is formed over carbon layer 12 .
  • Barrier layer 33 may be about 5 to about 800 angstroms, and preferably about 100 angstroms, of titanium nitride or another suitable barrier layer such as tantalum nitride, tungsten nitride, combinations of one or more barrier layers, barrier layers in combination with other layers such as titanium/titanium nitride, tantalum/tantalum nitride or tungsten/tungsten nitride stacks, or the like. Other barrier layer materials and/or thicknesses may be employed.
  • a metal layer 35 may be deposited over barrier layer 33 .
  • a metal layer 35 may be deposited over barrier layer 33 .
  • between about 800 to about 1200 angstroms, more generally between about 500 angstroms to about 1500 angstroms, of tungsten may be deposited on barrier layer 33 .
  • Other materials and thicknesses may be used.
  • Any suitable method may be used to form metal layer 35 .
  • CVD, PVD, or the like may be employed.
  • metal layer 35 may be used as a hard mask layer, and also may be used as a stop during a subsequent chemical mechanical planarization (“CMP”) step.
  • CMP chemical mechanical planarization
  • a hard mask is an etched layer which serves to pattern the etch of an underlying layer.
  • processing methods are used to protect carbon layer 12 from damage during subsequent processing steps.
  • a hard mask is used to avoid damage to carbon layer 12 during subsequent processing steps.
  • an “engineered ash” process is used that removes photoresist, without causing substantial damage carbon layer 12 .
  • a dielectric hard mask layer 94 is deposited over metal layer 35 .
  • silicon dioxide For example, about 200 to about 3000 angstroms of silicon dioxide may be deposited.
  • Other dielectric materials such as silicon oxide, silicon nitride, silicon oxynitride, amorphous carbon, amorphous silicon, boron nitride, low K dielectrics, and other similar dielectric materials, and/or other dielectric layer thicknesses may be used.
  • Exemplary low K dielectrics include carbon doped oxides, silicon carbon layers, or the like.
  • PECVD low pressure chemical vapor deposition
  • LPCVD low pressure chemical vapor deposition
  • Photoresist layer 96 is formed on hard mask layer 94 .
  • Photoresist layer 96 may be between about 200 to 2000 angstroms of any suitable positive or negative resist material, such as polymethylmethacrylate (“PMMA”), or other photosensitive organic polymers known in the art. Other photoresist layer materials and/or thicknesses may be employed. Photoresist layer 96 may be formed using a spin-on technique or other similar method.
  • photoresist layer 96 is next patterned and developed to a desired width.
  • photoresist layer 96 may be patterned and etched using conventional lithography techniques.
  • photoresist layer 96 is patterned and developed to form substantially parallel, substantially coplanar patterned photoresist regions 96 .
  • photoresist regions 96 each have a width substantially the same as the width of conductors 20 below. Other photoresist region widths may be used.
  • photoresist regions 96 are used to pattern and etch dielectric hard mask layer 94 to a top surface of metal layer 35 , to form hardmask regions 94 .
  • a hard mask is an etched layer which serves to pattern the etch of an underlying layer. Conventional etch techniques, such as wet or dry etch processing, or other similar etching techniques, may be used.
  • Metal layer 35 may serve as an etch stop.
  • photoresist regions 96 are removed.
  • Conventional plasma ashing techniques in which the substrate is exposed to an oxidative or reductive plasma etch, may be used to remove photoresist layer 96 . Because carbon layer 12 remains covered (e.g., by metal layer 35 and barrier layer 33 ) during the ashing step, the ashing process does not damage carbon layer 12 .
  • dielectric hardmask regions 94 remain, as illustrated in FIG. 4E . Dielectric hard mask regions 94 are then used to pattern and etch selected layers that will form the memory cells.
  • metal layer 35 , barrier layer 33 , carbon layer 12 , barrier layer 24 , silicide-forming metal layer 52 , diode layers 14 a - 14 c , and barrier layer 28 are etched in a single etch step to the top surface of dielectric layer 58 a , to form substantially parallel pillars 132 having a width substantially equal to the width of conductors 20 below, as illustrated in FIG. 4F .
  • pillars 132 may have a smaller width than conductors 20 .
  • the memory cell layers may be etched using chemistries selected to minimize or avoid damage to carbon material. For example, O 2 , CO, CO 2 , N 2 , or H 2 , or other similar chemistries may be used. In embodiments in which CNT material is used in the memory cells, oxygen (“O 2 ”), boron trichloride (“BCl 3 ”) and/or chlorine (“Cl 2 ”) chemistries, or other similar chemistries, may be used. Any suitable etch parameters, flow rates, chamber pressures, power levels, process temperatures, and/or etch rates may be used. Exemplary methods for etching carbon material are described, for example, in U.S.
  • pillars 132 may be cleaned.
  • a dilute hydrofluoric/sulfuric acid clean is performed.
  • Post-etch cleaning may be performed in any suitable cleaning tool, such as a Raider tool, available from Semitool of Kalispell, Mont.
  • Exemplary post-etch cleaning may include using ultra-dilute sulfuric acid (e.g., about 1.5-1.8 wt %) for about 60 seconds and ultra-dilute hydrofluoric (“HF”) acid (e.g., about 0.4-0.6 wt %) for about 60 seconds.
  • HF ultra-dilute hydrofluoric
  • Megasonics may or may not be used.
  • H 2 SO 4 may be used.
  • an in-situ anneal or degas in vacuum step may be performed.
  • Carbon material tends to absorb moisture, especially during a wet clean process. This is problematic, because trapped moisture may result in de-lamination of carbon material and degradation in switching.
  • In-situ annealing or degas in vacuum helps to drive out moisture before the next process step.
  • the in-situ anneal or degas in vacuum is performed in the chamber of the next processing step.
  • Degas in vacuum can also be performed in a transfer chamber or loadlock mounted on the same platform as that process chamber. For example, if the next processing step is formation of a sidewall liner, the in-situ anneal is performed in the chamber used to form the sidewall liner.
  • the in-situ anneal may be performed at a temperature between about 200° C. and about 350° C., more generally between about 200° C. and about 450° C., for a duration between about 1 to about 2 minutes, more generally between about 30 seconds and about 5 minutes, at a pressure of between about 0.1 mT to about 10 T, more generally between about 0.1 mT to about 760 T.
  • the in-situ anneal may be performed in an environment containing Ar, He, or N 2 , or a forming gas containing H 2 and N 2 , at a flow rate of between about 1000 to about 8000 sccm, more generally between about 1000-20000 sccm.
  • degas in vacuum step is used instead of in-situ annealing, the degas is performed at a pressure between about 0.1 mT to about 50 mT, and at a temperature between about room temperature to about 450° C.
  • Dielectric liner 54 is deposited above and around pillars 132 , resulting in the exemplary structure illustrated in FIG. 4G .
  • Dielectric liner 54 may be formed with an oxygen-poor deposition chemistry (e.g., without a high density of oxygen plasma) to protect sidewalls of carbon layer 12 during a subsequent deposition containing a high oxygen plasma density of gap-fill dielectric 58 b (e.g., SiO 2 ) (not shown in FIG. 4E ).
  • an oxygen-poor deposition chemistry e.g., without a high density of oxygen plasma
  • gap-fill dielectric 58 b e.g., SiO 2
  • dielectric liner 54 may be formed from boron nitride, such as described in commonly owned co-pending U.S. patent application Ser. No. 12/536,457, “A Memory Cell That Includes A Carbon-Based Memory Element And Methods Of Forming The Same,”filed Aug. 5, 2009 (Docket Number SD-MXA-335), which is incorporated by reference herein in its entirely for all purposes.
  • dielectric sidewall liner 54 may be formed from other materials, such as SiN, Si x C y N z , Si x O y N z , Si x B y N z , (with low O content), where x, y and z are non-zero numbers resulting in stable compounds.
  • dielectric sidewall liner 54 may be formed from other materials, such as SiN, Si x C y N z , Si x O y N z , Si x B y N z , (with low O content), where x, y and z are non-zer
  • a SiN dielectric sidewall liner 54 may be formed by PECVD using the process parameters listed in Table 1. Liner film thickness scales linearly with time. Other powers, temperatures, pressures, thicknesses and/or flow rates may be used.
  • an anisotropic etch is used to remove lateral portions of sidewall liner 54 , leaving only sidewall portions of sidewall liner 54 on the sides of pillars 132 .
  • a sputter etch or other suitable process may be used to anisotropically etch sidewall liner 54 .
  • Dielectric sidewall liner 54 may protect the carbon material of carbon layer 12 from damage during deposition of dielectric layer 58 b (not shown in FIG. 4F ), described below.
  • a dielectric layer 58 b is deposited over pillars 132 to gapfill between pillars 132 .
  • a dielectric layer 58 b For example, approximately 2000-7000 angstroms of silicon dioxide may be deposited and planarized using CMP or an etchback process to remove excess dielectric layer material 58 b and dielectric hard mask layer 94 to form a planar surface 134 , resulting in the structure illustrated in FIG. 41 .
  • metal layer 35 may be used as a CMP stop.
  • Planar surface 134 includes exposed top surfaces of pillars 132 separated by dielectric material 58 b (as shown).
  • dielectric layer 58 b Other dielectric materials may be used for the dielectric layer 58 b such as silicon nitride, silicon oxynitride, low K dielectrics, etc., and/or other dielectric layer thicknesses may be used.
  • Exemplary low K dielectrics include carbon doped oxides, silicon carbon layers, or the like.
  • second conductors 22 may be formed above pillars 132 in a manner similar to the formation of first conductors 20 .
  • one or more barrier layers and/or adhesion layers 26 may be deposited over pillars 132 prior to deposition of a conductive layer 140 used to form second conductors 22 .
  • Barrier and/or adhesion layer 26 may be about 20 to about 500 angstroms, and more preferably about 200 angstroms, of titanium nitride or another suitable barrier layer such as tantalum nitride, tungsten nitride, combinations of one or more barrier layers, barrier layers in combination with other layers such as titanium/titanium nitride, tantalum/tantalum nitride or tungsten/tungsten nitride stacks, or the like. Other barrier layer materials and/or thicknesses may be employed.
  • Conductive layer 140 may be formed from any suitable conductive material such as tungsten, another suitable metal, heavily doped semiconductor material, a conductive silicide, a conductive silicide-germanide, a conductive germanide, or the like deposited by PVD or any other any suitable method (e.g., CVD, etc.). In at least one embodiment, conductive layer 140 may comprise about 200 to about 2500 angstroms of tungsten. Other conductive layer materials and/or thicknesses may be used.
  • suitable conductive material such as tungsten, another suitable metal, heavily doped semiconductor material, a conductive silicide, a conductive silicide-germanide, a conductive germanide, or the like deposited by PVD or any other any suitable method (e.g., CVD, etc.). In at least one embodiment, conductive layer 140 may comprise about 200 to about 2500 angstroms of tungsten. Other conductive layer materials and/or thicknesses may be used.
  • second conductors 22 are substantially parallel, substantially coplanar conductors that extend in a different direction than first conductors 20 .
  • second conductors 22 may be formed using a damascene process in which a dielectric layer is formed, patterned and etched to create openings or voids for conductors 22 .
  • the openings or voids may be filled with adhesion layer 26 and conductive layer 140 (and/or a conductive seed, conductive fill and/or barrier layer if needed).
  • Adhesion layer 26 and conductive layer 140 then may be planarized to form a planar surface.
  • the resultant structure may be annealed to crystallize the deposited semiconductor material of diodes 14 (and/or to form silicide regions by reaction of the silicide-forming metal layer 52 with p+ region 14 c ).
  • the lattice spacing of titanium silicide and cobalt silicide are close to that of silicon, and it appears that silicide layers 50 may serve as “crystallization templates” or “seeds” for adjacent deposited silicon as the deposited silicon crystallizes (e.g., silicide layer 50 enhances the crystalline structure of silicon diode 14 during annealing at temps of about 600-800° C.). Lower resistivity diode material thereby is provided. Similar results may be achieved for silicon-germanium alloy and/or germanium diodes.
  • a crystallization anneal may be performed for about 10 seconds to about 2 minutes in nitrogen at a temperature of about 600-800° C., and more preferably between about 650-750° C. Other annealing times, temperatures and/or environments may be used.
  • An alternative method of this invention uses a two-step ash process to remove photoresist without substantially damaging carbon layer 12 .
  • FIG. 5A an exemplary process in accordance with this alternative embodiment is described.
  • Barrier layer 28 , diode layers 14 a - 14 c , silicide-forming metal layer 52 , barrier layer 24 , carbon layer 12 , barrier layer 33 and metal layer 35 are formed as described above in connection with FIG. 2B .
  • a photoresist layer 96 is formed on metal layer 35 .
  • Photoresist layer 96 may be between about 200-2000 angstroms of any suitable positive or negative resist material, such as polymethylmethacrylate (“PMMA”), or other photosensitive organic polymers known in the art. Other photoresist layer materials and/or thicknesses may be employed.
  • Photoresist layer 96 may be formed using a spin-on technique or other similar method.
  • photoresist layer 96 is next patterned and developed to a desired width.
  • photoresist layer 96 may be patterned and etched using conventional lithography techniques.
  • photoresist layer 96 may be patterned and developed to form substantially parallel, substantially coplanar patterned photoresist regions 96 .
  • photoresist regions 96 each have a width substantially the same as the width of conductors 20 below. Other photoresist region widths may be used.
  • photoresist regions 96 are used to pattern and etch metal layer 35 , barrier layer 33 , carbon layer 12 , barrier layer 24 , silicide-forming metal layer 52 , diode layers 14 a - 14 c , and barrier layer 28 to the top surface of dielectric layer 58 a , to form substantially parallel pillars 132 having a width substantially equal to the width of conductors 20 below, as illustrated in FIG. 5C .
  • one or more etch steps may be performed, and the etch chemistries may be selected to minimize or avoid damage to carbon material, such as described above.
  • photoresist regions 96 may develop a hardened crust on the surface of the material.
  • photoresist exposed to a gas-phase plasma etching may develop a hardened crust composed of cross-linked organic polymer, and may contain small amounts of silicon or metal atoms.
  • the surface crust may decrease the solubility of photoresist regions 96 and may increase the resistance of photoresist regions 96 to chemical removal.
  • methods in accordance with this invention use a two-step ash process to remove photoresist regions 12 .
  • Exemplary two-step ashing methods in accordance with this invention may use a microwave plasma generating reactor.
  • a microwave plasma generating reactor may have a microwave source near the substrate, a parallel plate reactor with a microwave or radio frequency power source, or a reactor having a helical resonator.
  • the reactor is an inductively coupled plasma generating reactor.
  • a first ashing step water (“H 2 O”) and oxygen (“O 2 ”) are primarily used in a downstream remote plasma (e.g., in which the substrate is located away from the plasma and/or not directly exposed to the plasma) to soften the hardened photoresist crust.
  • Table 2 below describes an exemplary process window for the first step of the ashing process.
  • a directional in-situ radio-frequency (“RF”) generated oxygen-plasma (without remote plasma) is used to ash the photoresist.
  • RF radio-frequency
  • H 2 is added, which may effectively reduce the photoresist ashing activation energy, without reducing the carbon layer 12 ashing activation energy. Therefore, the ashing rate of the photoresist is substantially independent of temperature, but the ashing rate of carbon layer 12 is temperature-dependent.
  • the directionality of the plasma may increase with reduced pressure. Both effects may reduce the undercut of carbon material on the sidewall of carbon layer 12 .
  • pillars 132 may be cleaned.
  • a dilute hydrofluoric/sulfuric acid clean is performed.
  • Post-etch cleaning may be performed in any suitable cleaning tool, such as a Raider tool, available from Semitool of Kalispell, Mont.
  • Exemplary post-etch cleaning may include using ultra-dilute sulfuric acid (e.g., about 1.5-1.8 wt %) for about 60 seconds and ultra-dilute HF acid (e.g., about 0.4-0.6 wt %) for about 60 seconds. Megasonics may or may not be used. Alternatively, H 2 SO 4 may be used.
  • an in-situ anneal or degas in vacuum step may be performed.
  • Carbon material tends to absorb moisture, especially during a wet clean process. This is problematic, because trapped moisture may result in de-lamination of carbon material and degradation in switching.
  • In-situ annealing or degas in vacuum helps to drive out moisture before the next process step.
  • the in-situ anneal or degas in vacuum is performed in the chamber of the next processing step.
  • Degas in vacuum can also be performed in a transfer chamber or loadlock mounted on the same platform as that process chamber. For example, if the next processing step is formation of a sidewall liner, the in-situ anneal is performed in the chamber used to form the sidewall liner.
  • the in-situ anneal may be performed at a temperature between about 200° C. and about 350° C., more generally between about 200° C. and about 450° C., for a duration between about 1 to about 2 minutes, more generally between about 30 seconds and about 5 minutes, at a pressure of between about 0.1 mT to about 10 T, more generally between about 0.1 mT to about 760 T.
  • the in-situ anneal may be performed in an environment containing Ar, He, or N 2 , or a forming gas containing H 2 and N 2 , at a flow rate of between about 1000 to about 8000 sccm, more generally between about 1000-20000 sccm.
  • degas in vacuum step is used instead of in-situ annealing, the degas is performed at a pressure between about 0.1 mT to about 50 mT, and at a temperature between about room temperature to about 450° C.
  • a dielectric sidewall liner 54 is deposited above and between pillars 132 , as described above in connection with FIG. 4G .
  • an anisotropic etch is used to remove lateral portions of liner 54 , leaving only sidewall portions of liner 54 on the sides of pillars 132 , such as described above in connection with FIG. 4H .
  • a dielectric layer 58 b is deposited over pillars 132 to gapfill between pillars 132 .
  • a dielectric layer 58 b For example, approximately 2000-7000 angstroms of silicon dioxide may be deposited and planarized using CMP or an etchback process to remove excess dielectric layer material 58 b to form a planar surface 134 , resulting in the structure illustrated in FIG. 5G .
  • metal layer 35 may be used as a CMP stop.
  • Planar surface 134 includes exposed top surfaces of pillars 132 separated by dielectric material 58 b (as shown).
  • dielectric layer 58 b Other dielectric materials may be used for the dielectric layer 58 b such as silicon nitride, silicon oxynitride, low K dielectrics, etc., and/or other dielectric layer thicknesses may be used.
  • Exemplary low K dielectrics include carbon doped oxides, silicon carbon layers, or the like.
  • second conductors 22 are formed above pillars 132 , as described above in connection with FIG. 4J .
  • the resulting structure is illustrated in FIG. 5H .
  • memory cells in accordance with this invention may be fabricated in other similar techniques.
  • memory cells may be formed that include reversible resistance switching element 12 below diode 14 .
  • the carbon material may be located below the diodes 14 .
  • the invention has been described primarily with reference to amorphous carbon, other carbon materials may be similarly used.
  • each carbon-based layer is preferably formed between two conducting layers such as titanium nitride or other barrier/adhesion layers to form a MIM stack in series with a steering element.

Abstract

Memory cells, and methods of forming such memory cells, are provided that include a carbon-based reversible resistivity switching material. In particular embodiments, methods in accordance with this invention form a memory cell by forming a layer of carbon material above a substrate, forming a barrier layer above the carbon layer, forming a hardmask layer above the barrier layer, forming a photoresist layer above the hardmask layer, patterning and developing the photoresist layer to form a photoresist region, patterning and etching the hardmask layer to form a hardmask region, and using an ashing process to remove the photoresist region while the barrier layer remains above the carbon layer. Other aspects are also provided.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application claims the benefit of U.S. Provisional Patent Application Ser. No. 61/087,164, filed Aug. 7, 2008, “Methods And Apparatus For Forming Memory Cells Using Carbon Read Writable Materials,” which is hereby incorporated by reference herein in its entirety for all purposes.
  • TECHNICAL FIELD
  • This invention relates to non-volatile memories, and more particularly to a memory cell that includes a carbon-based memory element, and methods of forming the same.
  • BACKGROUND
  • Non-volatile memories formed from reversible resistance switching elements are known. For example, U.S. patent application Ser. No. 11/968,154, filed Dec. 31, 2007, titled “Memory Cell That Employs A Selectively Fabricated Carbon Nano-Tube Reversible Resistance Switching Element And Methods Of Forming The Same” (the “'154 Application”), which is hereby incorporated by reference herein in its entirety for all purposes, describes a rewriteable non-volatile memory cell that includes a diode coupled in series with a carbon-based reversible resistivity switching material.
  • However, fabricating memory devices from carbon-based materials is technically challenging, and improved methods of forming memory devices that employ carbon-based materials are desirable.
  • SUMMARY
  • In a first aspect of the invention, a method of forming a memory cell is provided, the method including forming a layer of carbon material above a substrate, forming a barrier layer above the carbon layer, forming a hardmask layer above the barrier layer, forming a photoresist layer above the hardmask layer, patterning and developing the photoresist layer to form a photoresist region, patterning and etching the hardmask layer to form a hardmask region, and using an ashing process to remove the photoresist region while the barrier layer remains above the carbon layer.
  • In a second aspect of the invention, a method of forming a memory cell is provided, the method including forming a layer of carbon material above a substrate, forming a photoresist layer above the carbon layer, patterning and developing the photoresist layer to form a photoresist region, patterning and etching the carbon layer using the photoresist region, and performing an ashing process to remove the photoresist region without substantially damaging an exposed sidewall of the etched carbon layer.
  • Other features and aspects of the present invention will become more fully apparent from the following detailed description, the appended claims and the accompanying drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Features of the present invention can be more clearly understood from the following detailed description considered in conjunction with the following drawings, in which the same reference numerals denote the same elements throughout, and in which:
  • FIG. 1 is a diagram of an exemplary memory cell in accordance with this invention;
  • FIG. 2A is a simplified perspective view of an exemplary memory cell in accordance with this invention;
  • FIG. 2B is a simplified perspective view of a portion of a first exemplary memory level formed from a plurality of the memory cells of FIG. 2A;
  • FIG. 2C is a simplified perspective view of a portion of a first exemplary three-dimensional memory array in accordance with this invention;
  • FIG. 2D is a simplified perspective view of a portion of a second exemplary three-dimensional memory array in accordance with this invention;
  • FIG. 3 is a cross-sectional view of an exemplary embodiment of a memory cell in accordance with this invention;
  • FIGS. 4A-4J illustrate cross-sectional views of a portion of a substrate during an exemplary fabrication of a single memory level in accordance with this invention; and
  • FIGS. 5A-5H illustrate cross-sectional views of a portion of a substrate during an exemplary fabrication of a single memory level in accordance with this invention.
  • DETAILED DESCRIPTION
  • Carbon films such as amorphous carbon (“aC”) containing nanocrystalline graphene (referred to herein as “graphitic carbon”), graphene, graphite, carbon nano-tubes, amorphous diamond-like carbon (“DLC”) (described below), silicon carbide, boron carbide and other similar carbon-based materials may exhibit resistivity-switching behavior that may make such materials suitable for use in microelectronic non-volatile memories.
  • Indeed, some carbon-based materials have demonstrated reversible resistivity-switching memory properties on lab-scale devices with a 100× separation between ON and OFF states and mid-to-high range resistance changes. Such a separation between ON and OFF states renders carbon-based materials viable candidates for memory cells formed using the carbon materials in memory elements in series with steering elements, such as tunnel junctions, diodes, thin film transistors, or the like.
  • A carbon-based resistivity-switching material may be characterized by its ratio of forms of carbon-carbon bonding. Carbon typically bonds to carbon to form either an sp2-bond (a trigonal carbon-carbon double bond (“C═C”)) or an sp3-bond (a tetrahedral carbon-carbon single bond (“C—C”)). In each case, a ratio of sp2-bonds to sp3-bonds can be determined via Raman spectroscopy by evaluating the D and G bands. In some embodiments, the range of materials may include those having a ratio such as MyNz where M is the sp3 material and N is the sp2 material and y and z are any fractional value from zero to 1 as long as y+z=1. To provide sufficient resistivity-switching behavior useful in a memory device, the carbon-based material should have a relatively high concentration of sp2 graphene crystallinity. DLC tends to be sp3-hybridized, and to be amorphous with respect to long range order, and also has found to be switchable.
  • A carbon-based memory element may be formed by arranging a carbon-based material between two electrodes to form a metal-insulator-metal (“MIM”) structure. In such a configuration, the carbon-based material sandwiched between the two metal or otherwise conducting layers serves as a reversible resistance-switching element. A memory cell may then be formed by coupling the MIM structure in series with a steering element, such as a diode.
  • Semiconductor fabrication techniques may be used to fabricate carbon-based memory cells. For example, semiconductor processing techniques may be used to fabricate a pillar that includes a vertically oriented diode and a carbon-based resistivity-switching layer coupled in series between a bottom conductor and a top conductor. In particular, such pillars could be formed by depositing the various layers that constitute the memory cell on a substrate, including a carbon material layer, depositing photoresist on top of the layers, patterning the photoresist, etching to form the pillars, and then removing the photoresist.
  • In practice, however, fabricating such carbon-based memory cells is technically challenging. In particular, after the pillars have been formed, the photoresist must be removed. In conventional semiconductor processing techniques, photoresist typically is removed by using a plasma ashing process. Conventional plasma ashing processes, however, may damage the exposed carbon material layer in the pillars. Indeed, carbon-based materials historically have been used in the semiconductor industry as hard masks that are typically removed by ashing. Thus, if conventional plasma ashing processes are used to remove the photoresist, the ashing process may cause severe undercutting of the sidewalls of the exposed carbon material layer, and may render the memory cell inoperative.
  • Therefore, improved techniques for forming carbon-based memory cells are desired that may be used with conventional semiconductor processing techniques.
  • Exemplary methods in accordance with this invention protect carbon-based materials from damage during subsequent processing steps. In a first exemplary method of this invention, a barrier layer is formed above a carbon-based material layer, a hard mask layer is formed above the barrier layer, and photoresist is deposited above the hard mask layer. The photoresist is patterned and developed to form patterned photoresist regions. The patterned photoresist regions are then used to pattern and etch the hardmask layer to form patterned hardmask regions. The barrier layer is not etched, and thus the carbon-based material layer remains covered by the barrier material. The patterned photoresist regions are then removed, such as by a conventional ashing process. The patterned hardmask regions are then used to pattern and etch the carbon-based material layer. In this regard, the carbon-based material layer remains protected during the ashing process.
  • In an alternative method of this invention, photoresist is deposited a carbon-based material layer, and the photoresist is patterned and developed to form patterned photoresist. The patterned photoresist regions are then used to pattern and etch the carbon-based material layer. The patterned photoresist regions are then removed by a two-step ash process that removes the photoresist without substantially damaging the carbon-based material layer.
  • Exemplary Inventive Memory Cell
  • FIG. 1 is a schematic illustration of an exemplary memory cell 10 in accordance with this invention. Memory cell 10 includes a carbon-based reversible resistance-switching element 12 coupled to a steering element 14. Carbon-based reversible resistance-switching element 12 includes a carbon-based reversible resistivity switching material (not separately shown) having a resistivity that may be reversibly switched between two or more states.
  • For example, carbon-based reversible resistivity-switching material of element 12 may be in an initial, low-resistivity state upon fabrication. Upon application of a first voltage and/or current, the material is switchable to a high-resistivity state. Application of a second voltage and/or current may return reversible resistivity switching material to a low-resistivity state. Alternatively, carbon-based reversible resistance-switching element 12 may be in an initial, high-resistance state upon fabrication that is reversibly switchable to a low-resistance state upon application of the appropriate voltage(s) and/or current(s). When used in a memory cell, one resistance state may represent a binary “0,” whereas another resistance state may represent a binary “1,” although more than two data/resistance states may be used. Numerous reversible resistivity switching materials and operation of memory cells employing reversible resistance switching elements are described, for example, in U.S. patent application Ser. No. 11/125,939, filed May 9, 2005 and titled “Rewriteable Memory Cell Comprising A Diode And A Resistance Switching Material” (the “'939 Application”), which is hereby incorporated by reference herein in its entirety for all purposes.
  • Steering element 14 may include a thin film transistor, a diode, metal-insulator-metal tunneling current device, or another similar steering element that exhibits non-ohmic conduction by selectively limiting the voltage across and/or the current flow through carbon-based reversible resistance-switching element 12. In this manner, memory cell 10 may be used as part of a two or three dimensional memory array and data may be written to and/or read from memory cell 10 without affecting the state of other memory cells in the array.
  • Exemplary embodiments of memory cell 10, carbon-based reversible resistance-switching element 12 and steering element 14 are described below with reference to FIGS. 2A-2D and FIG. 3.
  • Exemplary Embodiments of Memory Cells and Memory Arrays
  • FIG. 2A is a simplified perspective view of an exemplary embodiment of a memory cell 10 in accordance with this invention. Memory cell 10 includes a pillar 11 coupled between a first conductor 20 and a second conductor 22. Pillar 11 includes a carbon-based reversible resistance-switching element 12 coupled in series with a steering element 14. In some embodiments, a barrier layer 24 may be formed between carbon-based reversible resistance-switching element 12 and steering element 14, a barrier layer 28 may be formed between steering element 14 and first conductor 20, and a barrier layer 33 may be formed between carbon-based reversible resistance-switching element 12 and a metal layer 35. Barrier layers 24, 28 and 33 may include titanium nitride, tantalum nitride, tungsten nitride, or other similar barrier layer. In some embodiments, barrier layer 33 and metal layer 35 may be formed as part of upper conductor 22.
  • Carbon-based reversible resistance-switching element 12 may include a carbon-based material suitable for use in a memory cell. In exemplary embodiments of this invention, carbon-based reversible resistance-switching element 12 may include graphitic carbon. For example, in some embodiments, graphitic carbon reversible resistivity switching materials may be formed as described in U.S. patent application Ser. No. 12/499,467, filed Jul. 8, 2009 and titled “Carbon-Based Resistivity-Switching Materials And Methods Of Forming The Same” (the “'467 application”) (Docket No. SD-MXA-294), which is hereby incorporated by reference herein in its entirety for all purposes. In other embodiments, carbon-based reversible resistance-switching element 12 may include other carbon-based materials such as graphene, graphite, carbon nano-tube materials, DLC, silicon carbide, boron carbide, or other similar carbon-based materials. For simplicity, carbon-based reversible resistance-switching element 12 will be referred to in the remaining discussion interchangeably as “carbon element 12,” or “carbon layer 12.”
  • In an exemplary embodiment of this invention, steering element 14 includes a diode. In this discussion, steering element 14 is sometimes referred to as “diode 14.” Diode 14 may include any suitable diode such as a vertical polycrystalline p-n or p-i-n diode, whether upward pointing with an n-region above a p-region of the diode or downward pointing with a p-region above an n-region of the diode. For example, diode 14 may include a heavily doped n+ polysilicon region 14 a, a lightly doped or an intrinsic (unintentionally doped) polysilicon region 14 b above the n+ polysilicon region 14 a, and a heavily doped p+ polysilicon region 14 c above intrinsic region 14 b. It will be understood that the locations of the n+ and p+ regions may be reversed.
  • First conductor 20 and/or second conductor 22 may include any suitable conductive material such as tungsten, any appropriate metal, heavily doped semiconductor material, a conductive silicide, a conductive silicide-germanide, a conductive germanide, or the like. In the embodiment of FIG. 2A, first and second conductors 20 and 22, respectively, are rail-shaped and extend in different directions (e.g., substantially perpendicular to one another). Other conductor shapes and/or configurations may be used. In some embodiments, barrier layers, adhesion layers, antireflection coatings and/or the like (not shown) may be used with the first conductor 20 and/or second conductor 22 to improve device performance and/or aid in device fabrication.
  • FIG. 2B is a simplified perspective view of a portion of a first memory level 30 formed from a plurality of memory cells 10, such as memory cell 10 of FIG. 2A. For simplicity, carbon element 12, diode 14, barrier layers 24, 28 and 33, and metal layer 35 are not separately shown. Memory array 30 is a “cross-point” array including a plurality of bit lines (second conductors 22) and word lines (first conductors 20) to which multiple memory cells are coupled (as shown). Other memory array configurations may be used, as may multiple levels of memory.
  • For example, FIG. 2C is a simplified perspective view of a portion of a monolithic three dimensional array 40 a that includes a first memory level 42 positioned below a second memory level 44. Memory levels 42 and 44 each include a plurality of memory cells 10 in a cross-point array. Persons of ordinary skill in the art will understand that additional layers (e.g., an interlevel dielectric) may be present between the first and second memory levels 42 and 44, but are not shown in FIG. 2C for simplicity. Other memory array configurations may be used, as may additional levels of memory. In the embodiment of FIG. 2C, all diodes may “point” in the same direction, such as upward or downward depending on whether p-i-n diodes having a p-doped region on the bottom or top of the diodes are employed, simplifying diode fabrication.
  • For example, in some embodiments, the memory levels may be formed as described in U.S. Pat. No. 6,952,030, titled “High-Density Three-Dimensional Memory Cell,” which is hereby incorporated by reference herein in its entirety for all purposes. For instance, the upper conductors of a first memory level may be used as the lower conductors of a second memory level that is positioned above the first memory level as shown in FIG. 2D. In such embodiments, the diodes on adjacent memory levels preferably point in opposite directions as described in U.S. patent application Ser. No. 11/692,151, filed Mar. 27, 2007 and titled “Large Array Of Upward Pointing P-I-N Diodes Having Large And Uniform Current” (the “'151 Application”), which is hereby incorporated by reference herein in its entirety for all purposes. For example, as shown in FIG. 2D, the diodes of the first memory level 42 may be upward pointing diodes as indicated by arrow D1 (e.g., with p regions at the bottom of the diodes), whereas the diodes of the second memory level 44 may be downward pointing diodes as indicated by arrow D2 (e.g., with n regions at the bottom of the diodes), or vice versa.
  • A monolithic three dimensional memory array is one in which multiple memory levels are formed above a single substrate, such as a wafer, with no intervening substrates. The layers forming one memory level are deposited or grown directly over the layers of an existing level or levels. In contrast, stacked memories have been constructed by forming memory levels on separate substrates and adhering the memory levels atop each other, as in Leedy, U.S. Pat. No. 5,915,167, titled “Three Dimensional Structure Memory.” The substrates may be thinned or removed from the memory levels before bonding, but as the memory levels are initially formed over separate substrates, such memories are not true monolithic three dimensional memory arrays.
  • FIG. 3 is a cross-sectional view of an exemplary embodiment of memory cell 10 of FIG. 2A formed on a substrate, such as a wafer (not shown). In particular, memory cell 10 includes a pillar 11 coupled between first and second conductors 20 and 22, respectively. Pillar 11 includes carbon element 12 coupled in series with diode 14, and also may include barrier layers 24, 28, and 33, a silicide layer 50, a silicide-forming metal layer 52, and a metal layer 35. A dielectric layer 58 substantially surrounds pillar 11. In some embodiments, a sidewall liner 54 separates selected layers of pillar 11 from dielectric layer 58. Adhesion layers, antireflective coating layers and/or the like (not shown) may be used with first and/or second conductors 20 and 22, respectively, to improve device performance and/or facilitate device fabrication.
  • First conductor 20 may include any suitable conductive material such as tungsten, any appropriate metal, heavily doped semiconductor material, a conductive silicide, a conductive silicide-germanide, a conductive germanide, or the like. Second conductor 22 includes a barrier layer 26, which may include titanium nitride or other similar barrier layer material, and conductive layer 140, which may include any suitable conductive material such as tungsten, any appropriate metal, heavily doped semiconductor material, a conductive silicide, a conductive silicide-germanide, a conductive germanide, or the like.
  • Diode 14 may be a vertical p-n or p-i-n diode, which may either point upward or downward. In the embodiment of FIG. 2D in which adjacent memory levels share conductors, adjacent memory levels preferably have diodes that point in opposite directions such as downward-pointing p-i-n diodes for a first memory level and upward-pointing p-i-n diodes for an adjacent, second memory level (or vice versa).
  • In some embodiments, diode 14 may be formed from a polycrystalline semiconductor material such as polysilicon, a polycrystalline silicon-germanium alloy, polygermanium or any other suitable material. For example, diode 14 may include a heavily doped n+ polysilicon region 14 a, a lightly doped or an intrinsic (unintentionally doped) polysilicon region 14 b above the n+ polysilicon region 14 a, and a heavily doped p+ polysilicon region 14 c above intrinsic region 14 b. It will be understood that the locations of the n+ and p+ regions may be reversed.
  • In some embodiments, a thin germanium and/or silicon-germanium alloy layer (not shown) may be formed on n+ polysilicon region 14 a to prevent and/or reduce dopant migration from n+ polysilicon region 14 a into intrinsic region 14 b. Use of such a layer is described, for example, in U.S. patent application Ser. No. 11/298,331, filed Dec. 9, 2005 and titled “Deposited Semiconductor Structure To Minimize N-Type Dopant Diffusion And Method Of Making” (the “'331 Application”), which is hereby incorporated by reference herein in its entirety for all purposes. In some embodiments, a few hundred angstroms or less of silicon-germanium alloy with about 10 at % or more of germanium may be employed.
  • A barrier layer 28, such as titanium nitride, tantalum nitride, tungsten nitride, or other similar barrier layer material, may be formed between the first conductor 20 and the n+ region 14 a (e.g., to prevent and/or reduce migration of metal atoms into the polysilicon regions).
  • If diode 14 is fabricated from deposited silicon (e.g., amorphous or polycrystalline), a silicide layer 50 may be formed on diode 14 to place the deposited silicon in a low resistivity state, as fabricated. Such a low resistivity state allows for easier programming of memory cell 10, as a large voltage is not required to switch the deposited silicon to a low resistivity state. For example, a silicide-forming metal layer 52 such as titanium or cobalt may be deposited on p+ polysilicon region 14 c. In some embodiments, an additional nitride layer (not shown) may be formed at a top surface of silicide-forming metal layer 52. In particular, for highly reactive metals, such as titanium, an additional cap layer such as TiN layer may be formed on silicide-forming metal layer 52. Thus, in such embodiments, a Ti/TiN stack is formed on top of p+ polysilicon region 14 c.
  • A rapid thermal anneal (“RTA”) step may then be performed to form silicide regions by reaction of silicide-forming metal layer 52 with p+ region 14 c. The RTA step may be performed at a temperature between about 650° C. to about 750° C., more generally between about 600° C. to about 800° C., preferably at about 750° C., for a duration between about 10 seconds to about 60 seconds, more generally between about 10 seconds to about 90 seconds, preferably about 1 minute, and causes silicide-forming metal layer 52 and the deposited silicon of diode 14 to interact to form silicide layer 50, consuming all or a portion of the silicide-forming metal layer 52.
  • As described in U.S. Pat. No. 7,176,064, titled “Memory Cell Comprising A Semiconductor Junction Diode Crystallized Adjacent To A Silicide,” which is hereby incorporated by reference herein in its entirety for all purposes, silicide-forming materials such as titanium and/or cobalt react with deposited silicon during annealing to form a silicide layer. The lattice spacing of titanium silicide and cobalt silicide are close to that of silicon, and it appears that such silicide layers may serve as “crystallization templates” or “seeds” for adjacent deposited silicon as the deposited silicon crystallizes (e.g., silicide layer 50 enhances the crystalline structure of silicon diode 14 during annealing). Lower resistivity silicon thereby is provided. Similar results may be achieved for silicon-germanium alloy and/or germanium diodes.
  • In embodiments in which a nitride layer was formed at a top surface of silicide-forming metal layer 52, following the RTA step, the nitride layer may be stripped using a wet chemistry. For example, if silicide-forming metal layer 52 includes a TiN top layer, a wet chemistry (e.g., ammonium, peroxide, water in a 1:1:1 ratio) may be used to strip any residual TiN.
  • A barrier layer 33, such as titanium nitride, tantalum nitride, tungsten nitride, or other similar barrier layer material, may be formed above carbon layer 12.
  • In exemplary methods in accordance with this invention, described in more detail with respect to FIGS. 4 and 5, processing methods are used to protect carbon layer 12 from damage during subsequent processing steps. In a first exemplary method of this invention, a hard mask layer is formed above metal layer 35, and photoresist is deposited above the hard mask layer. The photoresist is patterned and developed to form patterned photoresist regions. The patterned photoresist regions are then used to pattern and etch the hardmask layer to form patterned hardmask regions. The patterned photoresist regions are then removed, such as by a conventional ashing process. The patterned hardmask regions are then used to pattern and etch metal layer 35, barrier layer 33, carbon layer 12, barrier layer 24, silicide forming metal layer 52, silicide layer 50, diode 14 and barrier layer 28. In this regard, carbon layer 12 remains protected by barrier layer 33 during the ashing process.
  • In an alternative method of this invention, photoresist is deposited on metal layer 35, and the photoresist is patterned and developed to form patterned photoresist. The patterned photoresist regions are then used to pattern and etch metal layer 35, barrier layer 33, carbon layer 12, barrier layer 24, silicide forming metal layer 52, silicide layer 50, diode 14 and barrier layer 28. The patterned photoresist regions are then removed by a two-step “engineered ash” process that removes the photoresist without substantially damaging carbon layer 12.
  • Exemplary Fabrication Processes for Memory Cells
  • Referring now to FIGS. 4 and 5, exemplary methods in accordance with this invention for forming a memory level are described. In particular, FIGS. 4 and 5 illustrate exemplary methods of forming an exemplary memory level that includes memory cells 10 of FIG. 3. As will be described below, the first memory level includes a plurality of memory cells that each include a steering element and a carbon-based reversible resistance switching element coupled to the steering element. Additional memory levels may be fabricated above the first memory level (as described previously with reference to FIGS. 2C-2D).
  • With reference to FIG. 4A, substrate 100 is shown as having already undergone several processing steps. Substrate 100 may be any suitable substrate such as a silicon, germanium, silicon-germanium, undoped, doped, bulk, silicon-on-insulator (“SOI”) or other substrate with or without additional circuitry. For example, substrate 100 may include one or more n-well or p-well regions (not shown).
  • Isolation layer 102 is formed above substrate 100. In some embodiments, isolation layer 102 may be a layer of silicon dioxide, silicon nitride, silicon oxynitride or any other suitable insulating layer.
  • Following formation of isolation layer 102, an adhesion layer 104 is formed over isolation layer 102 (e.g., by physical vapor deposition (“PVD”) or another method). For example, adhesion layer 104 may be about 20 to about 500 angstroms, and preferably about 100 angstroms, of titanium nitride or another suitable adhesion layer such as tantalum nitride, tungsten nitride, combinations of one or more adhesion layers, or the like. Other adhesion layer materials and/or thicknesses may be employed. In some embodiments, adhesion layer 104 may be optional.
  • After formation of adhesion layer 104, a conductive layer 106 is deposited over adhesion layer 104. Conductive layer 106 may include any suitable conductive material such as tungsten or another appropriate metal, heavily doped semiconductor material, a conductive silicide, a conductive silicide-germanide, a conductive germanide, or the like deposited by any suitable method (e.g., chemical vapor deposition (“CVD”), PVD, etc.). In at least one embodiment, conductive layer 106 may comprise about 200 to about 2500 angstroms of tungsten. Other conductive layer materials and/or thicknesses may be used.
  • Following formation of conductive layer 106, adhesion layer 104 and conductive layer 106 are patterned and etched. For example, adhesion layer 104 and conductive layer 106 may be patterned and etched using conventional lithography techniques, with a soft or hard mask, and wet or dry etch processing. In at least one embodiment, adhesion layer 104 and conductive layer 106 are patterned and etched to form substantially parallel, substantially co-planar first conductors 20. Exemplary widths for first conductors 20 and/or spacings between first conductors 20 range from about 200 to about 2500 angstroms, although other conductor widths and/or spacings may be used.
  • After first conductors 20 have been formed, a dielectric layer 58 a is formed over substrate 100 to fill the voids between first conductors 20. For example, approximately 3000-7000 angstroms of silicon dioxide may be deposited on the substrate 100 and planarized using chemical mechanical polishing or an etchback process to form a planar surface 110. Planar surface 110 includes exposed top surfaces of first conductors 20 separated by dielectric material (as shown). Other dielectric materials such as silicon nitride, silicon oxynitride, low K dielectrics, etc., and/or other dielectric layer thicknesses may be used. Exemplary low K dielectrics include carbon doped oxides, silicon carbon layers, or the like.
  • In other embodiments of the invention, first conductors 20 may be formed using a damascene process in which dielectric layer 58 a is formed, patterned and etched to create openings or voids for first conductors 20. The openings or voids then may be filled with adhesion layer 104 and conductive layer 106 (and/or a conductive seed, conductive fill and/or barrier layer if needed). Adhesion layer 104 and conductive layer 106 then may be planarized to form planar surface 110. In such an embodiment, adhesion layer 104 will line the bottom and sidewalls of each opening or void.
  • Following planarization, the diode structures of each memory cell are formed. With reference to FIG. 4B, a barrier layer 28 is formed over planarized top surface 110 of substrate 100. Barrier layer 28 may be about 20 to about 500 angstroms, and preferably about 100 angstroms, of titanium nitride or another suitable barrier layer such as tantalum nitride, tungsten nitride, combinations of one or more barrier layers, barrier layers in combination with other layers such as titanium/titanium nitride, tantalum/tantalum nitride or tungsten/tungsten nitride stacks, or the like. Other barrier layer materials and/or thicknesses may be employed.
  • After deposition of barrier layer 28, deposition of the semiconductor material used to form the diode of each memory cell begins (e.g., diode 14 in FIGS. 2 and 3). Each diode may be a vertical p-n or p-i-n diode as previously described. In some embodiments, each diode is formed from a polycrystalline semiconductor material such as polysilicon, a polycrystalline silicon-germanium alloy, polygermanium or any other suitable material. For convenience, formation of a polysilicon, downward-pointing diode is described herein. It will be understood that other materials and/or diode configurations may be used.
  • With reference to FIG. 4B, following formation of barrier layer 28, a heavily doped n+ silicon layer 14 a is deposited on barrier layer 28. In some embodiments, n+ silicon layer 14 a is in an amorphous state as deposited. In other embodiments, n+ silicon layer 14 a is in a polycrystalline state as deposited. CVD or another suitable process may be employed to deposit n+ silicon layer 14 a. In at least one embodiment, n+ silicon layer 14 a may be formed, for example, from about 100 to about 1000 angstroms, preferably about 100 angstroms, of phosphorus or arsenic doped silicon having a doping concentration of about 1021 cm−3. Other layer thicknesses, doping types and/or doping concentrations may be used. N+ silicon layer 14 a may be doped in situ, for example, by flowing a donor gas during deposition. Other doping methods may be used (e.g., implantation).
  • After deposition of n+ silicon layer 14 a, a lightly doped, intrinsic and/or unintentionally doped silicon layer 14 b may be formed over n+ silicon layer 14 a. In some embodiments, intrinsic silicon layer 14 b may be in an amorphous state as deposited. In other embodiments, intrinsic silicon layer 14 b may be in a polycrystalline state as deposited. CVD or another suitable deposition method may be employed to deposit intrinsic silicon layer 14 b. In at least one embodiment, intrinsic silicon layer 14 b may be about 500 to about 4800 angstroms, preferably about 2500 angstroms, in thickness. Other intrinsic layer thicknesses may be used.
  • A thin (e.g., a few hundred angstroms or less) germanium and/or silicon-germanium alloy layer (not shown) may be formed on n+ silicon layer 14 a prior to depositing intrinsic silicon layer 14 b to prevent and/or reduce dopant migration from n+ silicon layer 14 a into intrinsic silicon layer 14 b (as described in the '331 Application, previously incorporated).
  • Heavily doped, p-type silicon may be either deposited and doped by ion implantation or may be doped in situ during deposition to form a p+ silicon layer 14 c. For example, a blanket p+ implant may be employed to implant boron a predetermined depth within intrinsic silicon layer 14 b. Exemplary implantable molecular ions include BF2, BF3, B and the like. In some embodiments, an implant dose of about 1-5×1015 ions/cm2 may be employed. Other implant species and/or doses may be used. Further, in some embodiments, a diffusion process may be employed. In at least one embodiment, the resultant p+ silicon layer 14 c has a thickness of about 100-700 angstroms, although other p+ silicon layer sizes may be used.
  • Following formation of p+ silicon layer 14 c, a silicide-forming metal layer 52 is deposited over p+ silicon layer 14 c. Exemplary silicide-forming metals include sputter or otherwise deposited titanium or cobalt. In some embodiments, silicide-forming metal layer 52 has a thickness of about 10 to about 200 angstroms, preferably about 20 to about 50 angstroms and more preferably about 20 angstroms. Other silicide-forming metal layer materials and/or thicknesses may be used. A nitride layer (not shown) may be formed at the top of silicide-forming metal layer 52.
  • Following formation of silicide-forming metal layer 52, an RTA step may be performed to form silicide layer 50, consuming all or a portion of the silicide-forming metal layer 52. The RTA step may be performed at a temperature between about 650° C. and about 750° C., more generally between about 600° C. and about 800° C., preferably at about 750° C., for a duration between about 10 seconds to about 60 seconds, more generally between about 10 seconds to about 90 seconds, preferably about 60 seconds. Following the RTA step, any residual nitride layer from silicide-forming metal layer 52 may be stripped using a wet chemistry, as described above, and as is known in the art.
  • Following the RTA step and the nitride strip step, a barrier layer 24 is deposited. Barrier layer 24 may be about 20 to about 500 angstroms, and preferably about 200 angstroms, of titanium nitride or another suitable barrier layer such as tantalum nitride, tungsten nitride, combinations of one or more barrier layers, barrier layers in combination with other layers such as titanium/titanium nitride, tantalum/tantalum nitride or tungsten/tungsten nitride stacks, or the like. Other barrier layer materials and/or thicknesses may be employed. Any suitable method may be used to form barrier layer 24. For example, PVD, atomic layer deposition (“ALD”), or the like may be used.
  • Next, carbon layer 12 is deposited over barrier layer 24. Carbon layer 12 may be formed by a PECVD method, for example. Other methods may be used, including, without limitation, sputter deposition from a target, PVD, CVD, arc discharge techniques, and laser ablation. Other methods may be used to form carbon layer 12, such as a damascene integration method, for example. Carbon layer 12 may include graphitic carbon. In alternative embodiments, other carbon-based materials may be used, such as graphene, graphite, carbon nano-tube materials, DLC or other similar carbon-based materials. Carbon layer 12 is formed having a thickness between about 100 and about 600 angstroms, more generally between about 1 and about 1000 angstroms. Other thicknesses may be used.
  • Referring again to FIG. 4B, barrier layer 33 is formed over carbon layer 12. Barrier layer 33 may be about 5 to about 800 angstroms, and preferably about 100 angstroms, of titanium nitride or another suitable barrier layer such as tantalum nitride, tungsten nitride, combinations of one or more barrier layers, barrier layers in combination with other layers such as titanium/titanium nitride, tantalum/tantalum nitride or tungsten/tungsten nitride stacks, or the like. Other barrier layer materials and/or thicknesses may be employed.
  • Next, a metal layer 35 may be deposited over barrier layer 33. For example, between about 800 to about 1200 angstroms, more generally between about 500 angstroms to about 1500 angstroms, of tungsten may be deposited on barrier layer 33. Other materials and thicknesses may be used. Any suitable method may be used to form metal layer 35. For example, CVD, PVD, or the like may be employed. As described in more detail below, metal layer 35 may be used as a hard mask layer, and also may be used as a stop during a subsequent chemical mechanical planarization (“CMP”) step. A hard mask is an etched layer which serves to pattern the etch of an underlying layer.
  • In accordance with this invention, processing methods are used to protect carbon layer 12 from damage during subsequent processing steps. In an exemplary method of this invention, a hard mask is used to avoid damage to carbon layer 12 during subsequent processing steps. In an alternative method of this invention, an “engineered ash” process is used that removes photoresist, without causing substantial damage carbon layer 12. Each of these will be discussed in turn.
  • Hardmask
  • In a first exemplary method of this invention, and continuing to refer to FIG. 4B, a dielectric hard mask layer 94 is deposited over metal layer 35. For example, about 200 to about 3000 angstroms of silicon dioxide may be deposited. Other dielectric materials such as silicon oxide, silicon nitride, silicon oxynitride, amorphous carbon, amorphous silicon, boron nitride, low K dielectrics, and other similar dielectric materials, and/or other dielectric layer thicknesses may be used. Exemplary low K dielectrics include carbon doped oxides, silicon carbon layers, or the like. For example, PECVD, low pressure chemical vapor deposition (“LPCVD”), or other similar methods may be employed to form hard mask layer 94.
  • After depositing dielectric hard mask layer 94, a photoresist layer 96 is formed on hard mask layer 94. Photoresist layer 96 may be between about 200 to 2000 angstroms of any suitable positive or negative resist material, such as polymethylmethacrylate (“PMMA”), or other photosensitive organic polymers known in the art. Other photoresist layer materials and/or thicknesses may be employed. Photoresist layer 96 may be formed using a spin-on technique or other similar method.
  • Referring now to FIG. 4C, photoresist layer 96 is next patterned and developed to a desired width. For example, photoresist layer 96 may be patterned and etched using conventional lithography techniques. As shown in FIG. 4C, photoresist layer 96 is patterned and developed to form substantially parallel, substantially coplanar patterned photoresist regions 96. In the exemplary embodiment, photoresist regions 96 each have a width substantially the same as the width of conductors 20 below. Other photoresist region widths may be used.
  • With reference to FIG. 4D, photoresist regions 96 are used to pattern and etch dielectric hard mask layer 94 to a top surface of metal layer 35, to form hardmask regions 94. A hard mask is an etched layer which serves to pattern the etch of an underlying layer. Conventional etch techniques, such as wet or dry etch processing, or other similar etching techniques, may be used. Metal layer 35 may serve as an etch stop.
  • After hard mask layer 94 is etched, photoresist regions 96 are removed. Conventional plasma ashing techniques, in which the substrate is exposed to an oxidative or reductive plasma etch, may be used to remove photoresist layer 96. Because carbon layer 12 remains covered (e.g., by metal layer 35 and barrier layer 33) during the ashing step, the ashing process does not damage carbon layer 12.
  • After photoresist regions 96 have been removed, dielectric hardmask regions 94 remain, as illustrated in FIG. 4E. Dielectric hard mask regions 94 are then used to pattern and etch selected layers that will form the memory cells.
  • One or more etch steps may be performed. In the exemplary embodiment, metal layer 35, barrier layer 33, carbon layer 12, barrier layer 24, silicide-forming metal layer 52, diode layers 14 a-14 c, and barrier layer 28 are etched in a single etch step to the top surface of dielectric layer 58 a, to form substantially parallel pillars 132 having a width substantially equal to the width of conductors 20 below, as illustrated in FIG. 4F. Persons of ordinary skill in the art will understand that other combinations of layers may be etched in separate etch steps. Persons of ordinary skill in the art will understand that pillars 132 may have a smaller width than conductors 20.
  • The memory cell layers may be etched using chemistries selected to minimize or avoid damage to carbon material. For example, O2, CO, CO2, N2, or H2, or other similar chemistries may be used. In embodiments in which CNT material is used in the memory cells, oxygen (“O2”), boron trichloride (“BCl3”) and/or chlorine (“Cl2”) chemistries, or other similar chemistries, may be used. Any suitable etch parameters, flow rates, chamber pressures, power levels, process temperatures, and/or etch rates may be used. Exemplary methods for etching carbon material are described, for example, in U.S. patent application Ser. No. 12/415,964, “Electronic Devices Including Carbon-Based Films Having Sidewall Liners, and Methods of Forming Such Devices,” filed Mar. 31, 2009 (Docket No. SD-MXA-315), which is hereby incorporated by reference in its entirety for all purposes.
  • After the memory cell layers have been etched, pillars 132 may be cleaned. In some embodiments, a dilute hydrofluoric/sulfuric acid clean is performed. Post-etch cleaning may be performed in any suitable cleaning tool, such as a Raider tool, available from Semitool of Kalispell, Mont. Exemplary post-etch cleaning may include using ultra-dilute sulfuric acid (e.g., about 1.5-1.8 wt %) for about 60 seconds and ultra-dilute hydrofluoric (“HF”) acid (e.g., about 0.4-0.6 wt %) for about 60 seconds. Megasonics may or may not be used. Alternatively, H2SO4 may be used.
  • After pillars 132 have been cleaned, an in-situ anneal or degas in vacuum step may be performed. Carbon material tends to absorb moisture, especially during a wet clean process. This is problematic, because trapped moisture may result in de-lamination of carbon material and degradation in switching. In-situ annealing or degas in vacuum helps to drive out moisture before the next process step. In particular, the in-situ anneal or degas in vacuum is performed in the chamber of the next processing step. Degas in vacuum can also be performed in a transfer chamber or loadlock mounted on the same platform as that process chamber. For example, if the next processing step is formation of a sidewall liner, the in-situ anneal is performed in the chamber used to form the sidewall liner. The in-situ anneal may be performed at a temperature between about 200° C. and about 350° C., more generally between about 200° C. and about 450° C., for a duration between about 1 to about 2 minutes, more generally between about 30 seconds and about 5 minutes, at a pressure of between about 0.1 mT to about 10 T, more generally between about 0.1 mT to about 760 T. Alternatively, the in-situ anneal may be performed in an environment containing Ar, He, or N2, or a forming gas containing H2 and N2, at a flow rate of between about 1000 to about 8000 sccm, more generally between about 1000-20000 sccm. If degas in vacuum step is used instead of in-situ annealing, the degas is performed at a pressure between about 0.1 mT to about 50 mT, and at a temperature between about room temperature to about 450° C.
  • Next, a conformal dielectric liner 54 is deposited above and around pillars 132, resulting in the exemplary structure illustrated in FIG. 4G. Dielectric liner 54 may be formed with an oxygen-poor deposition chemistry (e.g., without a high density of oxygen plasma) to protect sidewalls of carbon layer 12 during a subsequent deposition containing a high oxygen plasma density of gap-fill dielectric 58 b (e.g., SiO2) (not shown in FIG. 4E).
  • In an exemplary embodiment of this invention, dielectric liner 54 may be formed from boron nitride, such as described in commonly owned co-pending U.S. patent application Ser. No. 12/536,457, “A Memory Cell That Includes A Carbon-Based Memory Element And Methods Of Forming The Same,”filed Aug. 5, 2009 (Docket Number SD-MXA-335), which is incorporated by reference herein in its entirely for all purposes. Alternatively, dielectric sidewall liner 54 may be formed from other materials, such as SiN, SixCyNz, SixOyNz, SixByNz, (with low O content), where x, y and z are non-zero numbers resulting in stable compounds. Persons of ordinary skill in the art will understand that other dielectric materials may be used to form dielectric liner 54.
  • In one exemplary embodiment, a SiN dielectric sidewall liner 54 may be formed by PECVD using the process parameters listed in Table 1. Liner film thickness scales linearly with time. Other powers, temperatures, pressures, thicknesses and/or flow rates may be used.
  • TABLE 1
    PECVD SiN LINER PROCESS PARAMETERS
    EXEMPLARY
    PROCESS PARAMETER RANGE PREFERRED RANGE
    SiH4 Flow Rate (slm) 0.1-2.0 0.4-0.7
    NH3 Flow Rate (slm)  1-10 2-8
    N2 Flow Rate (slm) 0.5-10  1.0-5  
    Temperature (° C.) 300-500 350-450
    Low Frequency Bias (kW) 0-1 0.2-0.6
    High Frequency Bias (kW) 0-1 0.2-0.6
    Thickness (Angstroms) 100-500 250-350
  • With reference to FIG. 4H, an anisotropic etch is used to remove lateral portions of sidewall liner 54, leaving only sidewall portions of sidewall liner 54 on the sides of pillars 132. For example, a sputter etch or other suitable process may be used to anisotropically etch sidewall liner 54. Dielectric sidewall liner 54 may protect the carbon material of carbon layer 12 from damage during deposition of dielectric layer 58 b (not shown in FIG. 4F), described below.
  • After pillars 132 have been formed, a dielectric layer 58 b is deposited over pillars 132 to gapfill between pillars 132. For example, approximately 2000-7000 angstroms of silicon dioxide may be deposited and planarized using CMP or an etchback process to remove excess dielectric layer material 58 b and dielectric hard mask layer 94 to form a planar surface 134, resulting in the structure illustrated in FIG. 41. During the planarization process, metal layer 35 may be used as a CMP stop. Planar surface 134 includes exposed top surfaces of pillars 132 separated by dielectric material 58 b (as shown). Other dielectric materials may be used for the dielectric layer 58 b such as silicon nitride, silicon oxynitride, low K dielectrics, etc., and/or other dielectric layer thicknesses may be used. Exemplary low K dielectrics include carbon doped oxides, silicon carbon layers, or the like.
  • With reference to FIG. 4J, second conductors 22 may be formed above pillars 132 in a manner similar to the formation of first conductors 20. For example, in some embodiments, one or more barrier layers and/or adhesion layers 26 may be deposited over pillars 132 prior to deposition of a conductive layer 140 used to form second conductors 22. Barrier and/or adhesion layer 26 may be about 20 to about 500 angstroms, and more preferably about 200 angstroms, of titanium nitride or another suitable barrier layer such as tantalum nitride, tungsten nitride, combinations of one or more barrier layers, barrier layers in combination with other layers such as titanium/titanium nitride, tantalum/tantalum nitride or tungsten/tungsten nitride stacks, or the like. Other barrier layer materials and/or thicknesses may be employed.
  • Conductive layer 140 may be formed from any suitable conductive material such as tungsten, another suitable metal, heavily doped semiconductor material, a conductive silicide, a conductive silicide-germanide, a conductive germanide, or the like deposited by PVD or any other any suitable method (e.g., CVD, etc.). In at least one embodiment, conductive layer 140 may comprise about 200 to about 2500 angstroms of tungsten. Other conductive layer materials and/or thicknesses may be used.
  • The deposited conductive layer 140 and barrier and/or adhesion layer 26 may be patterned and etched to form second conductors 22. In at least one embodiment, second conductors 22 are substantially parallel, substantially coplanar conductors that extend in a different direction than first conductors 20.
  • In other embodiments of the invention, second conductors 22 may be formed using a damascene process in which a dielectric layer is formed, patterned and etched to create openings or voids for conductors 22. The openings or voids may be filled with adhesion layer 26 and conductive layer 140 (and/or a conductive seed, conductive fill and/or barrier layer if needed). Adhesion layer 26 and conductive layer 140 then may be planarized to form a planar surface.
  • Following formation of second conductors 22, the resultant structure may be annealed to crystallize the deposited semiconductor material of diodes 14 (and/or to form silicide regions by reaction of the silicide-forming metal layer 52 with p+ region 14 c). The lattice spacing of titanium silicide and cobalt silicide are close to that of silicon, and it appears that silicide layers 50 may serve as “crystallization templates” or “seeds” for adjacent deposited silicon as the deposited silicon crystallizes (e.g., silicide layer 50 enhances the crystalline structure of silicon diode 14 during annealing at temps of about 600-800° C.). Lower resistivity diode material thereby is provided. Similar results may be achieved for silicon-germanium alloy and/or germanium diodes.
  • Thus in at least one embodiment, a crystallization anneal may be performed for about 10 seconds to about 2 minutes in nitrogen at a temperature of about 600-800° C., and more preferably between about 650-750° C. Other annealing times, temperatures and/or environments may be used.
  • Two-Step Ash Process
  • An alternative method of this invention uses a two-step ash process to remove photoresist without substantially damaging carbon layer 12. Referring now to FIG. 5A, an exemplary process in accordance with this alternative embodiment is described. Barrier layer 28, diode layers 14 a-14 c, silicide-forming metal layer 52, barrier layer 24, carbon layer 12, barrier layer 33 and metal layer 35 are formed as described above in connection with FIG. 2B. Next, a photoresist layer 96 is formed on metal layer 35. Photoresist layer 96 may be between about 200-2000 angstroms of any suitable positive or negative resist material, such as polymethylmethacrylate (“PMMA”), or other photosensitive organic polymers known in the art. Other photoresist layer materials and/or thicknesses may be employed. Photoresist layer 96 may be formed using a spin-on technique or other similar method.
  • Referring now to FIG. 5B, photoresist layer 96 is next patterned and developed to a desired width. For example, photoresist layer 96 may be patterned and etched using conventional lithography techniques. As shown in FIG. 5B, photoresist layer 96 may be patterned and developed to form substantially parallel, substantially coplanar patterned photoresist regions 96. In the exemplary embodiment, photoresist regions 96 each have a width substantially the same as the width of conductors 20 below. Other photoresist region widths may be used.
  • Next, photoresist regions 96 are used to pattern and etch metal layer 35, barrier layer 33, carbon layer 12, barrier layer 24, silicide-forming metal layer 52, diode layers 14 a-14 c, and barrier layer 28 to the top surface of dielectric layer 58 a, to form substantially parallel pillars 132 having a width substantially equal to the width of conductors 20 below, as illustrated in FIG. 5C. As described above in connection with FIG. 4F, one or more etch steps may be performed, and the etch chemistries may be selected to minimize or avoid damage to carbon material, such as described above.
  • As a result of exposure to the etching process, photoresist regions 96 may develop a hardened crust on the surface of the material. In particular, photoresist exposed to a gas-phase plasma etching may develop a hardened crust composed of cross-linked organic polymer, and may contain small amounts of silicon or metal atoms. The surface crust may decrease the solubility of photoresist regions 96 and may increase the resistance of photoresist regions 96 to chemical removal. To overcome these problems, and also protect carbon layer 12 from damage, methods in accordance with this invention use a two-step ash process to remove photoresist regions 12.
  • Exemplary two-step ashing methods in accordance with this invention may use a microwave plasma generating reactor. Such a reactor may have a microwave source near the substrate, a parallel plate reactor with a microwave or radio frequency power source, or a reactor having a helical resonator. In some exemplary embodiments, the reactor is an inductively coupled plasma generating reactor.
  • In a first ashing step, water (“H2O”) and oxygen (“O2”) are primarily used in a downstream remote plasma (e.g., in which the substrate is located away from the plasma and/or not directly exposed to the plasma) to soften the hardened photoresist crust. In some embodiments, an additional processing gas, such as CxFy, x=1−4, y=2x, or y=(2x+2), may be used. Persons of ordinary skill in the art will understand that other gases may be used. Table 2 below describes an exemplary process window for the first step of the ashing process.
  • TABLE 2
    EXEMPLARY 1st ASHING STEP PROCESS PARAMETERS
    EXEMPLARY PREFERRED
    PROCESS PARAMETER RANGE RANGE
    H2O Flow Rate (sccm) 50-2000 100-1000
    O2 Flow Rate (sccm) 500-5000  500-3000
    CF4 Flow Rate (sccm) 0-500 10-150
    H2O:(O2 + H2O) Ratio 0.05-0.2   0.07-0.15 
    CF4:(O2 + CF4) Ratio  0-0.05   0-0.025
    Microwave Power (Watts) 500-2000  500-1500
    RF Power (Watts) 100-1000  100-300 
    Pressure (Torr) 0.1-2.0  0.2-0.8 
    Process Temperature (° C.) 5-100 5-40
    Processing Time (seconds) 5-300 5-50

    Other reactant gas species, flow rates, powers, pressures, temperatures and processing times may be used.
  • In a second ashing step, a directional in-situ radio-frequency (“RF”) generated oxygen-plasma (without remote plasma) is used to ash the photoresist. In particular, H2 is added, which may effectively reduce the photoresist ashing activation energy, without reducing the carbon layer 12 ashing activation energy. Therefore, the ashing rate of the photoresist is substantially independent of temperature, but the ashing rate of carbon layer 12 is temperature-dependent. As a result, by reducing the processing temperature, the ashing rate of photoresist regions 12 may remain substantially unchanged, whereas the ashing rate of carbon layer 12 may substantially decrease. In this regard, the directionality of the plasma may increase with reduced pressure. Both effects may reduce the undercut of carbon material on the sidewall of carbon layer 12.
  • Exemplary process parameters for the second ashing step, using O2 and H2, are provided in Table 3 below.
  • TABLE 3
    EXEMPLARY 2nd ASHING STEP PROCESS PARAMETERS
    EXEMPLARY PREFERRED
    PROCESS PARAMETER RANGE RANGE
    O2 Flow Rate (sccm) 500-10000 1000-3000
    H2 Flow Rate (sccm) 50-2000 100-500
    H2:(O2 + H2) Ratio 0.05-0.2   0.05-0.15
    RF Power (Watts) 100-1500   500-1000
    Pressure (Torr) 0.1-2.0  0.2-0.8
    Processing Temperature (° C.) 5-250  5-40
    Processing Time (seconds) 10-300   10-100

    Other reactant gas species, flow rates, powers, pressures, temperatures and processing times may be used.
  • Alternative exemplary process parameters for the second ashing step, using N2 and H2, are provided in Table 4 below.
  • TABLE 4
    EXEMPLARY 2nd ASHING STEP PROCESS PARAMETERS
    EXEMPLARY PREFERRED
    PROCESS PARAMETER RANGE RANGE
    N2 Flow Rate (sccm) 1000-5000 1000-3000 
    H2 Flow Rate (sccm)  50-250 50-150
    N2:(N2 + H2) Ratio 0.01-0.10 0.02-0.06 
    RF Power (Watts)  100-1500 500-1000
    Pressure (Torr) 0.1-2.0 0.2-0.8 
    Processing Temperature (° C.)  5-250 5-40
    Processing Time (seconds)  10-300 10-100

    Other reactant gas species, flow rates, powers, pressures, temperatures and processing times may be used. The resulting structure after the two-step ash process is illustrated in FIG. 5D.
  • After the memory cell layers have been ashed, pillars 132 may be cleaned. In some embodiments, a dilute hydrofluoric/sulfuric acid clean is performed. Post-etch cleaning may be performed in any suitable cleaning tool, such as a Raider tool, available from Semitool of Kalispell, Mont. Exemplary post-etch cleaning may include using ultra-dilute sulfuric acid (e.g., about 1.5-1.8 wt %) for about 60 seconds and ultra-dilute HF acid (e.g., about 0.4-0.6 wt %) for about 60 seconds. Megasonics may or may not be used. Alternatively, H2SO4 may be used.
  • After pillars 132 have been cleaned, an in-situ anneal or degas in vacuum step may be performed. Carbon material tends to absorb moisture, especially during a wet clean process. This is problematic, because trapped moisture may result in de-lamination of carbon material and degradation in switching. In-situ annealing or degas in vacuum helps to drive out moisture before the next process step. In particular, the in-situ anneal or degas in vacuum is performed in the chamber of the next processing step. Degas in vacuum can also be performed in a transfer chamber or loadlock mounted on the same platform as that process chamber. For example, if the next processing step is formation of a sidewall liner, the in-situ anneal is performed in the chamber used to form the sidewall liner. The in-situ anneal may be performed at a temperature between about 200° C. and about 350° C., more generally between about 200° C. and about 450° C., for a duration between about 1 to about 2 minutes, more generally between about 30 seconds and about 5 minutes, at a pressure of between about 0.1 mT to about 10 T, more generally between about 0.1 mT to about 760 T. Alternatively, the in-situ anneal may be performed in an environment containing Ar, He, or N2, or a forming gas containing H2 and N2, at a flow rate of between about 1000 to about 8000 sccm, more generally between about 1000-20000 sccm. If degas in vacuum step is used instead of in-situ annealing, the degas is performed at a pressure between about 0.1 mT to about 50 mT, and at a temperature between about room temperature to about 450° C.
  • As illustrated in FIG. 5E, after the in-situ anneal, a dielectric sidewall liner 54 is deposited above and between pillars 132, as described above in connection with FIG. 4G. With reference to FIG. 5F, an anisotropic etch is used to remove lateral portions of liner 54, leaving only sidewall portions of liner 54 on the sides of pillars 132, such as described above in connection with FIG. 4H.
  • After pillars 132 have been formed, a dielectric layer 58 b is deposited over pillars 132 to gapfill between pillars 132. For example, approximately 2000-7000 angstroms of silicon dioxide may be deposited and planarized using CMP or an etchback process to remove excess dielectric layer material 58 b to form a planar surface 134, resulting in the structure illustrated in FIG. 5G. During the planarization process, metal layer 35 may be used as a CMP stop. Planar surface 134 includes exposed top surfaces of pillars 132 separated by dielectric material 58 b (as shown). Other dielectric materials may be used for the dielectric layer 58 b such as silicon nitride, silicon oxynitride, low K dielectrics, etc., and/or other dielectric layer thicknesses may be used. Exemplary low K dielectrics include carbon doped oxides, silicon carbon layers, or the like.
  • Next, second conductors 22 are formed above pillars 132, as described above in connection with FIG. 4J. The resulting structure is illustrated in FIG. 5H.
  • Persons of ordinary skill in the art will understand that alternative memory cells in accordance with this invention may be fabricated in other similar techniques. For example, memory cells may be formed that include reversible resistance switching element 12 below diode 14.
  • The foregoing description discloses only exemplary embodiments of the invention. Modifications of the above disclosed apparatus and methods which fall within the scope of the invention will be readily apparent to those of ordinary skill in the art. For instance, in any of the above embodiments, the carbon material may be located below the diodes 14. As stated, although the invention has been described primarily with reference to amorphous carbon, other carbon materials may be similarly used. Further, each carbon-based layer is preferably formed between two conducting layers such as titanium nitride or other barrier/adhesion layers to form a MIM stack in series with a steering element.
  • Accordingly, although the present invention has been disclosed in connection with exemplary embodiments thereof, it should be understood that other embodiments may fall within the spirit and scope of the invention, as defined by the following claims.

Claims (46)

1. A method of forming a memory cell, the method comprising:
forming a layer of carbon material above a substrate;
forming a barrier layer above the carbon layer;
forming a hardmask layer above the barrier layer;
forming a photoresist layer above the hardmask layer;
patterning and developing the photoresist layer to form a photoresist region;
patterning and etching the hardmask layer to form a hardmask region; and
using an ashing process to remove the photoresist region while the barrier layer remains above the carbon layer.
2. The method of claim 1, further comprising patterning and etching the carbon layer using the hardmask region.
3. The method of claim 2, further comprising performing an in-situ anneal of the etched carbon layer.
4. The method of claim 3, wherein performing the in-situ anneal comprises thermally annealing the carbon layer at a temperature between about 200° C. to about 450° C.
5. The method of claim 3, wherein performing the in-situ anneal comprises thermally annealing the carbon layer for about 30 seconds to about 5 minutes.
6. The method of claim 3, wherein performing the in-situ anneal comprises thermally annealing the carbon layer at a pressure of about 1 milliTorr to about 760 Torr.
7. The method of claim 3, wherein performing the in-situ anneal comprises thermally annealing the carbon layer in an environment containing any of Ar, He, or N2.
8. The method of claim 2, further comprising forming a dielectric liner material on a sidewall of the etched carbon layer.
9. The method of claim 8, wherein the dielectric sidewall liner material comprises silicon nitride.
10. The method of claim 1, wherein forming the carbon layer comprises depositing carbon material using a plasma enhanced chemical vapor deposition technique.
11. The method of claim 1, wherein the carbon material comprises any of amorphous carbon containing nanocrystalline graphene, graphene, graphite, carbon nano-tubes, and amorphous diamond-like carbon.
12. The method of claim 1, wherein the hardmask layer comprises a dielectric material.
13. The method of claim 12, wherein the dielectric material comprises any of silicon dioxide, silicon oxide, silicon nitride, silicon oxynitride, boron nitride, amorphous carbon, amorphous silicon, carbon doped oxides, silicon-carbon layers or a low K dielectric material.
14. The method of claim 1, further comprising forming a steering element coupled to the carbon layer.
15. The method of claim 14, wherein the steering element comprises a diode.
16. The method of claim 14, wherein the steering element comprises a p-n or p-i-n diode.
17. The method of claim 14, wherein the steering element comprises a polycrystalline diode.
18. A memory cell formed according to the method of claim 1.
19. A method of forming a memory cell, the method comprising:
forming a layer of carbon material above a substrate;
forming a photoresist layer above the carbon layer;
patterning and developing the photoresist layer to form a photoresist region;
patterning and etching the carbon layer using the photoresist region; and
performing an ashing process to remove the photoresist region without substantially damaging an exposed sidewall of the etched carbon layer.
20. The method of claim 19, wherein the ashing process comprises using a downstream remote plasma comprising H2O and O2 processing gasses.
21. The method of claim 20, wherein the downstream remote plasma comprises a microwave plasma.
22. The method of claim 21, wherein the microwave plasma has a microwave power of between about 500 to about 2000 watts.
23. The method of claim 20, wherein the remote plasma comprises an H2O flow rate of between about 50 to about 2000 standard cubic centimeters per minute.
24. The method of claim 20, wherein the remote plasma comprises an O2 flow rate of between about 500 to about 5000 standard cubic centimeters per minute.
25. The method of claim 20, wherein the remote plasma further comprises a CxFy processing gas, wherein x=1−4, y=2x, or y=(2x+2).
26. The method of claim 25, wherein the remote plasma comprises a CxFy flow rate of between about 0 to about 500 standard cubic centimeters per minute.
27. The method of claim 19, wherein the ashing process comprises using a directional radio-frequency generated oxygen-plasma to ash the photoresist region.
28. The method of claim 27, wherein the directional plasma comprises an O2 flow rate of between about 500 to about 10000 standard cubic centimeters per minute.
29. The method of claim 27, wherein the directional plasma comprises an H2 flow rate of between about 50 to about 2000 standard cubic centimeters per minute.
30. The method of claim 27, wherein the directional plasma comprises a radio frequency power of between about 100 to about 1500 watts.
31. The method of claim 27, wherein the directional plasma is performed at a pressure of between about 0.1 Torr to about 2.0 Torr.
32. The method of claim 27, wherein the directional plasma is performed at a temperature of between about 5° C. to about 250° C.
33. The method of claim 19, further comprising performing an in-situ anneal of the etched carbon layer.
34. The method of claim 33, wherein performing the in-situ anneal comprises thermally annealing the carbon layer at a temperature between about 200° C. to about 450° C.
35. The method of claim 33, wherein performing the in-situ anneal comprises thermally annealing the carbon layer for about 30 seconds to about 5 minutes.
36. The method of claim 33, wherein performing the in-situ anneal comprises thermally annealing the carbon layer at a pressure of about 1 milliTorr to about 760 Torr.
37. The method of claim 33, wherein performing the in-situ anneal comprises thermally annealing the carbon layer in an environment containing any of Ar, He, or N2.
38. The method of claim 19, further comprising forming a dielectric liner material on a sidewall of the etched carbon layer.
39. The method of claim 38, wherein the dielectric sidewall liner material comprises silicon nitride.
40. The method of claim 19, wherein forming the carbon layer comprises depositing carbon material using a plasma enhanced chemical vapor deposition technique.
41. The method of claim 19, wherein the carbon material comprises any of amorphous carbon containing nanocrystalline graphene, graphene, graphite, carbon nano-tubes, and amorphous diamond-like carbon.
42. The method of claim 19, further comprising forming a steering element coupled to the carbon layer.
43. The method of claim 42, wherein the steering element comprises a diode.
44. The method of claim 42, wherein the steering element comprises a p-n or p-i-n diode.
45. The method of claim 42, wherein the steering element comprises a polycrystalline diode.
46. A memory cell formed according to the method of claim 19.
US12/536,469 2008-08-07 2009-08-05 Memory cell that includes a carbon-based memory element and methods of forming the same Abandoned US20100032640A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US12/536,469 US20100032640A1 (en) 2008-08-07 2009-08-05 Memory cell that includes a carbon-based memory element and methods of forming the same
PCT/US2009/053058 WO2010017426A1 (en) 2008-08-07 2009-08-06 A memory cell that includes a carbon-based memory element and methods of forming the same

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US8716408P 2008-08-07 2008-08-07
US12/536,469 US20100032640A1 (en) 2008-08-07 2009-08-05 Memory cell that includes a carbon-based memory element and methods of forming the same

Publications (1)

Publication Number Publication Date
US20100032640A1 true US20100032640A1 (en) 2010-02-11

Family

ID=41119448

Family Applications (4)

Application Number Title Priority Date Filing Date
US12/536,463 Expired - Fee Related US8557685B2 (en) 2008-08-07 2009-08-05 Memory cell that includes a carbon-based memory element and methods of forming the same
US12/536,457 Expired - Fee Related US8466044B2 (en) 2008-08-07 2009-08-05 Memory cell that includes a carbon-based memory element and methods forming the same
US12/536,459 Abandoned US20100032639A1 (en) 2008-08-07 2009-08-05 Memory cell that includes a carbon-based memory element and methods of forming the same
US12/536,469 Abandoned US20100032640A1 (en) 2008-08-07 2009-08-05 Memory cell that includes a carbon-based memory element and methods of forming the same

Family Applications Before (3)

Application Number Title Priority Date Filing Date
US12/536,463 Expired - Fee Related US8557685B2 (en) 2008-08-07 2009-08-05 Memory cell that includes a carbon-based memory element and methods of forming the same
US12/536,457 Expired - Fee Related US8466044B2 (en) 2008-08-07 2009-08-05 Memory cell that includes a carbon-based memory element and methods forming the same
US12/536,459 Abandoned US20100032639A1 (en) 2008-08-07 2009-08-05 Memory cell that includes a carbon-based memory element and methods of forming the same

Country Status (3)

Country Link
US (4) US8557685B2 (en)
TW (4) TW201017759A (en)
WO (4) WO2010017427A1 (en)

Cited By (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100237346A1 (en) * 2009-03-17 2010-09-23 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing the same
US20110080766A1 (en) * 2009-10-07 2011-04-07 Macronix International Co., Ltd. Resistive Memory Device and Manufacturing Method Thereof and Operating Method Thereof
US20110095257A1 (en) * 2009-10-23 2011-04-28 Huiwen Xu Memory cell that includes a carbon-based reversible resistance switching element compatible with a steering element, and methods of forming the same
US20110095258A1 (en) * 2009-10-23 2011-04-28 Huiwen Xu Memory cell that includes a carbon-based reversible resistance switching element compatible with a steering element, and methods of forming the same
US20110133151A1 (en) * 2009-12-07 2011-06-09 Sandisk 3D Llc Memory cell that includes a carbon-based memory element and methods of forming the same
US20110193042A1 (en) * 2010-02-11 2011-08-11 Steven Maxwell Memory cell formed using a recess and methods for forming the same
US20110204474A1 (en) * 2010-02-24 2011-08-25 Franz Kreupl Memory cell with silicon-containing carbon switching layer and methods for forming the same
US20110210306A1 (en) * 2010-02-26 2011-09-01 Yubao Li Memory cell that includes a carbon-based memory element and methods of forming the same
US20120012805A1 (en) * 2010-07-14 2012-01-19 Kabushiki Kaisha Toshiba Nonvolatile memory device and method for manufacturing same
US8471360B2 (en) 2010-04-14 2013-06-25 Sandisk 3D Llc Memory cell with carbon switching material having a reduced cross-sectional area and methods for forming the same
WO2014047590A1 (en) * 2012-09-24 2014-03-27 Crossbar, Inc. Electrode structure for a non-volatile memory device and method
US8750019B2 (en) 2010-07-09 2014-06-10 Crossbar, Inc. Resistive memory using SiGe material
US8765566B2 (en) 2012-05-10 2014-07-01 Crossbar, Inc. Line and space architecture for a non-volatile memory device
US8791010B1 (en) 2010-12-31 2014-07-29 Crossbar, Inc. Silver interconnects for stacked non-volatile memory device and method
US8796658B1 (en) 2012-05-07 2014-08-05 Crossbar, Inc. Filamentary based non-volatile resistive memory device and method
US20140217353A1 (en) * 2010-08-23 2014-08-07 Crossbar, Inc. Stackable non-volatile resistive switching memory device and method
US8809831B2 (en) 2010-07-13 2014-08-19 Crossbar, Inc. On/off ratio for non-volatile memory device and method
US8815696B1 (en) 2010-12-31 2014-08-26 Crossbar, Inc. Disturb-resistant non-volatile memory device using via-fill and etchback technique
US20140239246A1 (en) * 2013-02-28 2014-08-28 Kabushiki Kaisha Toshiba Semiconductor memory device and method for manufacturing same
US8841196B1 (en) 2010-09-29 2014-09-23 Crossbar, Inc. Selective deposition of silver for non-volatile memory device fabrication
US8889521B1 (en) 2012-09-14 2014-11-18 Crossbar, Inc. Method for silver deposition for a non-volatile memory device
US8912523B2 (en) 2010-09-29 2014-12-16 Crossbar, Inc. Conductive path in switching material in a resistive random access memory device and control
US8930174B2 (en) 2010-12-28 2015-01-06 Crossbar, Inc. Modeling technique for resistive random access memory (RRAM) cells
US8934280B1 (en) 2013-02-06 2015-01-13 Crossbar, Inc. Capacitive discharge programming for two-terminal memory cells
US8946673B1 (en) 2012-08-24 2015-02-03 Crossbar, Inc. Resistive switching device structure with improved data retention for non-volatile memory device and method
US8946046B1 (en) 2012-05-02 2015-02-03 Crossbar, Inc. Guided path for forming a conductive filament in RRAM
US8946669B1 (en) 2012-04-05 2015-02-03 Crossbar, Inc. Resistive memory device and fabrication methods
US8947908B2 (en) 2010-11-04 2015-02-03 Crossbar, Inc. Hetero-switching layer in a RRAM device and method
US8982647B2 (en) 2012-11-14 2015-03-17 Crossbar, Inc. Resistive random access memory equalization and sensing
US8993397B2 (en) 2010-06-11 2015-03-31 Crossbar, Inc. Pillar structure for memory device and method
US9012307B2 (en) 2010-07-13 2015-04-21 Crossbar, Inc. Two terminal resistive switching device structure and method of fabricating
US9070859B1 (en) 2012-05-25 2015-06-30 Crossbar, Inc. Low temperature deposition method for polycrystalline silicon material for a non-volatile memory device
US9087576B1 (en) 2012-03-29 2015-07-21 Crossbar, Inc. Low temperature fabrication method for a three-dimensional memory device and structure
US9112145B1 (en) 2013-01-31 2015-08-18 Crossbar, Inc. Rectified switching of two-terminal memory via real time filament formation
US9129887B2 (en) 2010-09-29 2015-09-08 Crossbar, Inc. Resistor structure for a non-volatile memory device and method
US9153623B1 (en) 2010-12-31 2015-10-06 Crossbar, Inc. Thin film transistor steering element for a non-volatile memory device
US9191000B2 (en) 2011-07-29 2015-11-17 Crossbar, Inc. Field programmable gate array utilizing two-terminal non-volatile memory
US9252191B2 (en) 2011-07-22 2016-02-02 Crossbar, Inc. Seed layer for a p+ silicon germanium material for a non-volatile memory device and method
US9324942B1 (en) 2013-01-31 2016-04-26 Crossbar, Inc. Resistive memory cell with solid state diode
US9401475B1 (en) 2010-08-23 2016-07-26 Crossbar, Inc. Method for silver deposition for a non-volatile memory device
US9406379B2 (en) 2013-01-03 2016-08-02 Crossbar, Inc. Resistive random access memory with non-linear current-voltage relationship
US9412790B1 (en) 2012-12-04 2016-08-09 Crossbar, Inc. Scalable RRAM device architecture for a non-volatile memory device and method
US9543359B2 (en) 2011-05-31 2017-01-10 Crossbar, Inc. Switching device having a non-linear element
US9564587B1 (en) 2011-06-30 2017-02-07 Crossbar, Inc. Three-dimensional two-terminal memory with enhanced electric field and segmented interconnects
US9570678B1 (en) 2010-06-08 2017-02-14 Crossbar, Inc. Resistive RAM with preferental filament formation region and methods
US9576616B2 (en) 2012-10-10 2017-02-21 Crossbar, Inc. Non-volatile memory with overwrite capability and low write amplification
US9583701B1 (en) 2012-08-14 2017-02-28 Crossbar, Inc. Methods for fabricating resistive memory device switching material using ion implantation
USRE46335E1 (en) 2010-11-04 2017-03-07 Crossbar, Inc. Switching device having a non-linear element
US9590013B2 (en) 2010-08-23 2017-03-07 Crossbar, Inc. Device switching using layered device structure
US9601692B1 (en) 2010-07-13 2017-03-21 Crossbar, Inc. Hetero-switching layer in a RRAM device and method
US9601690B1 (en) 2011-06-30 2017-03-21 Crossbar, Inc. Sub-oxide interface layer for two-terminal memory
US9620206B2 (en) 2011-05-31 2017-04-11 Crossbar, Inc. Memory array architecture with two-terminal memory cells
US9627443B2 (en) 2011-06-30 2017-04-18 Crossbar, Inc. Three-dimensional oblique two-terminal memory with enhanced electric field
US9633723B2 (en) 2011-06-23 2017-04-25 Crossbar, Inc. High operating speed resistive random access memory
US9659819B2 (en) 2010-11-04 2017-05-23 Crossbar, Inc. Interconnects for stacked non-volatile memory device and method
US9685608B2 (en) 2012-04-13 2017-06-20 Crossbar, Inc. Reduced diffusion in metal electrode for two-terminal memory
US9729155B2 (en) 2011-07-29 2017-08-08 Crossbar, Inc. Field programmable gate array utilizing two-terminal non-volatile memory
US9735358B2 (en) 2012-08-14 2017-08-15 Crossbar, Inc. Noble metal / non-noble metal electrode for RRAM applications
US9741765B1 (en) 2012-08-14 2017-08-22 Crossbar, Inc. Monolithically integrated resistive memory using integrated-circuit foundry compatible processes
US9793474B2 (en) 2012-04-20 2017-10-17 Crossbar, Inc. Low temperature P+ polycrystalline silicon material for non-volatile memory device
US10056907B1 (en) 2011-07-29 2018-08-21 Crossbar, Inc. Field programmable gate array utilizing two-terminal non-volatile memory
US10290801B2 (en) 2014-02-07 2019-05-14 Crossbar, Inc. Scalable silicon based resistive memory device
US11068620B2 (en) 2012-11-09 2021-07-20 Crossbar, Inc. Secure circuit integrated with memory layer

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8110476B2 (en) 2008-04-11 2012-02-07 Sandisk 3D Llc Memory cell that includes a carbon-based memory element and methods of forming the same
US8133793B2 (en) 2008-05-16 2012-03-13 Sandisk 3D Llc Carbon nano-film reversible resistance-switchable elements and methods of forming the same
US8569730B2 (en) * 2008-07-08 2013-10-29 Sandisk 3D Llc Carbon-based interface layer for a memory device and methods of forming the same
US8557685B2 (en) * 2008-08-07 2013-10-15 Sandisk 3D Llc Memory cell that includes a carbon-based memory element and methods of forming the same
US8421050B2 (en) * 2008-10-30 2013-04-16 Sandisk 3D Llc Electronic devices including carbon nano-tube films having carbon-based liners, and methods of forming the same
US20100108976A1 (en) * 2008-10-30 2010-05-06 Sandisk 3D Llc Electronic devices including carbon-based films, and methods of forming such devices
US8835892B2 (en) * 2008-10-30 2014-09-16 Sandisk 3D Llc Electronic devices including carbon nano-tube films having boron nitride-based liners, and methods of forming the same
JP2010192800A (en) * 2009-02-20 2010-09-02 Toshiba Corp Nonvolatile semiconductor memory device
US8183121B2 (en) 2009-03-31 2012-05-22 Sandisk 3D Llc Carbon-based films, and methods of forming the same, having dielectric filler material and exhibiting reduced thermal resistance
US8426839B1 (en) * 2009-04-24 2013-04-23 Adesto Technologies Corporation Conducting bridge random access memory (CBRAM) device structures
JP2011014640A (en) * 2009-06-30 2011-01-20 Toshiba Corp Nonvolatile semiconductor memory device
JP5025696B2 (en) * 2009-08-11 2012-09-12 株式会社東芝 Resistance change memory
CN101993037A (en) * 2009-08-20 2011-03-30 中芯国际集成电路制造(上海)有限公司 Method for manufacturing nanocrystalline silicon structures of semiconductor integrated circuits
JP2011199197A (en) * 2010-03-23 2011-10-06 Toshiba Corp Semiconductor memory device
US20130009128A1 (en) * 2010-03-31 2013-01-10 Gilberto Ribeiro Nanoscale switching device
US8436447B2 (en) * 2010-04-23 2013-05-07 Sandisk 3D Llc Memory cell that includes a carbon-based memory element and methods of forming the same
US8530875B1 (en) 2010-05-06 2013-09-10 Micron Technology, Inc. Phase change memory including ovonic threshold switch with layered electrode and methods for forming same
US20110278529A1 (en) * 2010-05-14 2011-11-17 Huiwen Xu Memory employing diamond-like carbon resistivity-switchable material and methods of forming the same
US20110303899A1 (en) * 2010-06-10 2011-12-15 Applied Materials, Inc. Graphene deposition
US8441835B2 (en) 2010-06-11 2013-05-14 Crossbar, Inc. Interface control for improved switching in RRAM
GB2495452A (en) * 2010-06-30 2013-04-10 Ibm Carbon-based resistive memory element and manufacturing thereof
US8467227B1 (en) 2010-11-04 2013-06-18 Crossbar, Inc. Hetero resistive switching material layer in RRAM device and method
US8404553B2 (en) 2010-08-23 2013-03-26 Crossbar, Inc. Disturb-resistant non-volatile memory device and method
US8883589B2 (en) 2010-09-28 2014-11-11 Sandisk 3D Llc Counter doping compensation methods to improve diode performance
US8088688B1 (en) 2010-11-05 2012-01-03 Crossbar, Inc. p+ polysilicon material on aluminum for non-volatile memory device and method
US8699259B2 (en) 2011-03-02 2014-04-15 Sandisk 3D Llc Non-volatile storage system using opposite polarity programming signals for MIM memory cell
EP2702303A4 (en) * 2011-04-25 2014-10-29 Waters Technologies Corp Valves having protective coatings
US8450710B2 (en) 2011-05-27 2013-05-28 Crossbar, Inc. Low temperature p+ silicon junction material for a non-volatile memory device
US8394670B2 (en) 2011-05-31 2013-03-12 Crossbar, Inc. Vertical diodes for non-volatile memory device
US8659929B2 (en) 2011-06-30 2014-02-25 Crossbar, Inc. Amorphous silicon RRAM with non-linear device and operation
US8716098B1 (en) 2012-03-09 2014-05-06 Crossbar, Inc. Selective removal method and structure of silver in resistive switching device for a non-volatile memory device
US8900465B1 (en) * 2012-06-29 2014-12-02 WD Media, LLC Methods for reducing surface roughness of magnetic media for storage drives
WO2014100621A1 (en) 2012-12-21 2014-06-26 Praxair Technology, Inc. Storage and sub-atmospheric delivery of dopant compositions for carbon ion implantation
US20150132938A1 (en) * 2013-11-13 2015-05-14 Intermolecular, Inc. Methods and Systems for Forming Reliable Gate Stack on Semiconductors
KR102402545B1 (en) * 2014-02-19 2022-05-27 삼성전자주식회사 Hybrid interconnect and electric device employing the same
US9570271B2 (en) 2014-03-03 2017-02-14 Praxair Technology, Inc. Boron-containing dopant compositions, systems and methods of use thereof for improving ion beam current and performance during boron ion implantation
KR102195003B1 (en) 2014-06-18 2020-12-24 삼성전자주식회사 Semiconductor diodes, variable resistance memory devices and methods of manufacturing variable resistance memory devices
US9449633B1 (en) 2014-11-06 2016-09-20 WD Media, LLC Smooth structures for heat-assisted magnetic recording media
US9761439B2 (en) * 2014-12-12 2017-09-12 Cree, Inc. PECVD protective layers for semiconductor devices
US9928994B2 (en) * 2015-02-03 2018-03-27 Lam Research Corporation Methods for decreasing carbon-hydrogen content of amorphous carbon hardmask films
KR102411184B1 (en) * 2017-10-20 2022-06-21 에스케이하이닉스 주식회사 Resistance Change Memory Device
KR102607117B1 (en) * 2018-08-24 2023-11-29 삼성전자주식회사 Variable resistance memory Device and method of forming the same
KR20220006856A (en) 2020-07-09 2022-01-18 삼성전자주식회사 Variable resistance memory device
US20220178026A1 (en) * 2020-12-03 2022-06-09 Applied Materials, Inc. Carbon cvd deposition methods to mitigate stress induced defects

Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5302240A (en) * 1991-01-22 1994-04-12 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
US5915167A (en) * 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
US6498045B1 (en) * 2001-06-11 2002-12-24 Lsi Logic Corporation Optical intensity modifier
US6952030B2 (en) * 2002-12-19 2005-10-04 Matrix Semiconductor, Inc. High-density three-dimensional memory cell
US20050287802A1 (en) * 2004-06-25 2005-12-29 Hynix Semiconductor, Inc. Method for forming metal line in semiconductor memory device having word line strapping structure
US20060131754A1 (en) * 2003-07-18 2006-06-22 Nec Corporation Semiconductor device having trench interconnection and manufacturing method of semiconductor device
US20060250836A1 (en) * 2005-05-09 2006-11-09 Matrix Semiconductor, Inc. Rewriteable memory cell comprising a diode and a resistance-switching material
US7176064B2 (en) * 2003-12-03 2007-02-13 Sandisk 3D Llc Memory cell comprising a semiconductor junction diode crystallized adjacent to a silicide
US20070190722A1 (en) * 2002-12-19 2007-08-16 Herner S B Method to form upward pointing p-i-n diodes having large and uniform current
US7405465B2 (en) * 2004-09-29 2008-07-29 Sandisk 3D Llc Deposited semiconductor structure to minimize n-type dopant diffusion and method of making
US7535016B2 (en) * 2005-01-31 2009-05-19 International Business Machines Corporation Vertical carbon nanotube transistor integration
US20090168491A1 (en) * 2007-12-31 2009-07-02 April Schricker Memory cell that employs a selectively fabricated carbon nano-tube reversible resistance-switching element and methods of forming the same
US20100006812A1 (en) * 2008-07-08 2010-01-14 Sandisk 3D Llc Carbon-based resistivity-switching materials and methods of forming the same
US20100012912A1 (en) * 2008-07-15 2010-01-21 Sandisk 3D Llc Electronic devices including carbon-based films having sidewall liners, and methods of forming such devices
US7911034B2 (en) * 2005-06-30 2011-03-22 Nantero, Inc. Techniques for precision pattern transfer of carbon nanotubes from photo mask to wafers
US7915122B2 (en) * 2005-06-08 2011-03-29 Nantero, Inc. Self-aligned cell integration scheme

Family Cites Families (143)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US102278A (en) * 1870-04-26 Improved tool-elevator for lathes
US6640A (en) * 1849-08-07 Btjry
US237599A (en) * 1881-02-08 Grinding-mill
US21293A (en) * 1858-08-24 Hjalmak wynbi
US7579A (en) * 1850-08-20 Fulling-mill
US179559A (en) * 1876-07-04 Adminis
US99752A (en) * 1870-02-15 Fkank s
US148174A (en) * 1874-03-03 Improvement in game-tables
US239790A (en) * 1881-04-05 Cotton-chopper
US70162A (en) * 1867-10-29 Improvement in poktable fence
US4499557A (en) * 1980-10-28 1985-02-12 Energy Conversion Devices, Inc. Programmable cell for use in programmable electronic arrays
US4646266A (en) * 1984-09-28 1987-02-24 Energy Conversion Devices, Inc. Programmable semiconductor structures and methods for using the same
US6756605B1 (en) 1999-09-20 2004-06-29 Yale University Molecular scale electronic devices
US5629666A (en) 1994-05-23 1997-05-13 Kabushiki Kaisha Toshiba Power resistor, method of manufacturing the same, and power circuit breaker
AUPO613797A0 (en) 1997-04-09 1997-05-08 University Of Sydney, The Digital information storage
EP1062669B1 (en) * 1998-03-17 2003-12-17 Jacques Pierre Friedrich Sellschop Method of producing carbon with electrically active sites
US6448655B1 (en) * 1998-04-28 2002-09-10 International Business Machines Corporation Stabilization of fluorine-containing low-k dielectrics in a metal/insulator wiring structure by ultraviolet irradiation
CA2335449A1 (en) 1998-06-19 1999-12-23 The Research Foundation Of The State University Of New York Free-standing and aligned carbon nanotubes and synthesis thereof
FR2786794B1 (en) 1998-12-02 2001-03-02 Commissariat Energie Atomique LARGE SIZE MONOATOMIC AND MONOCRYSTALLINE LAYER, OF DIAMOND-TYPE CARBON, AND METHOD FOR MANUFACTURING THE SAME
WO2000057423A1 (en) * 1999-03-19 2000-09-28 Infineon Technologies Ag Storage cell array and method for the production thereof
US6072716A (en) * 1999-04-14 2000-06-06 Massachusetts Institute Of Technology Memory structures and methods of making same
US6333016B1 (en) 1999-06-02 2001-12-25 The Board Of Regents Of The University Of Oklahoma Method of producing carbon nanotubes
US6420092B1 (en) 1999-07-14 2002-07-16 Cheng-Jer Yang Low dielectric constant nanotube
DE10006964C2 (en) * 2000-02-16 2002-01-31 Infineon Technologies Ag Electronic component with a conductive connection between two conductive layers and method for producing an electronic component
US20050148271A1 (en) 2000-02-25 2005-07-07 Si Diamond Technology, Inc. Nanotubes cold cathode
KR100376768B1 (en) 2000-08-23 2003-03-19 한국과학기술연구원 Parallel and selective growth and connection method of carbon nanotubes on the substrates for electronic-spintronic device applications
US6566278B1 (en) * 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
US7112366B2 (en) * 2001-01-05 2006-09-26 The Ohio State University Chemical monolayer and micro-electronic junctions and devices containing same
US7563715B2 (en) 2005-12-05 2009-07-21 Asm International N.V. Method of producing thin films
US20030222560A1 (en) 2001-05-22 2003-12-04 Roach David Herbert Catalytically grown carbon fiber field emitters and field emitter cathodes made therefrom
US6919592B2 (en) 2001-07-25 2005-07-19 Nantero, Inc. Electromechanical memory array using nanotube ribbons and method for making same
US6706402B2 (en) 2001-07-25 2004-03-16 Nantero, Inc. Nanotube films and articles
US6632735B2 (en) 2001-08-07 2003-10-14 Applied Materials, Inc. Method of depositing low dielectric constant carbon doped silicon oxide
WO2003017282A1 (en) 2001-08-13 2003-02-27 Advanced Micro Devices, Inc. Memory cell
US7390726B1 (en) 2001-10-02 2008-06-24 Actel Corporation Switching ratio and on-state resistance of an antifuse programmed below 5 mA and having a Ta or TaN barrier metal layer
US6566700B2 (en) 2001-10-11 2003-05-20 Ovonyx, Inc. Carbon-containing interfacial layer for phase-change memory
US6885021B2 (en) 2001-12-31 2005-04-26 Ovonyx, Inc. Adhesion layer for a polymer memory device and method therefor
JP4404961B2 (en) 2002-01-08 2010-01-27 双葉電子工業株式会社 A method for producing carbon nanofibers.
US6515325B1 (en) 2002-03-06 2003-02-04 Micron Technology, Inc. Nanotube semiconductor devices and methods for making the same
US20050148174A1 (en) 2002-05-06 2005-07-07 Infineon Technologies Ag Contact-connection of nanotubes
US20030211724A1 (en) 2002-05-10 2003-11-13 Texas Instruments Incorporated Providing electrical conductivity between an active region and a conductive layer in a semiconductor device using carbon nanotubes
US6764949B2 (en) * 2002-07-31 2004-07-20 Advanced Micro Devices, Inc. Method for reducing pattern deformation and photoresist poisoning in semiconductor device fabrication
JP2005534515A (en) 2002-08-01 2005-11-17 ステイト オブ オレゴン アクティング バイ アンド スルー ザ ステイト ボード オブ ハイヤー エデュケーション オン ビハーフ オブ ポートランド ステイト ユニバーシティー Method for synthesizing nanoscale structure in place
US6753561B1 (en) * 2002-08-02 2004-06-22 Unity Semiconductor Corporation Cross point memory array using multiple thin films
US6900002B1 (en) 2002-11-19 2005-05-31 Advanced Micro Devices, Inc. Antireflective bi-layer hardmask including a densified amorphous carbon layer
GB0229033D0 (en) 2002-12-12 2003-01-15 Isis Innovation Purification of nanotubes
US6825529B2 (en) 2002-12-12 2004-11-30 International Business Machines Corporation Stress inducing spacers
US20050226067A1 (en) 2002-12-19 2005-10-13 Matrix Semiconductor, Inc. Nonvolatile memory cell operating by increasing order in polycrystalline semiconductor material
US8637366B2 (en) 2002-12-19 2014-01-28 Sandisk 3D Llc Nonvolatile memory cell without a dielectric antifuse having high- and low-impedance states
US7285464B2 (en) 2002-12-19 2007-10-23 Sandisk 3D Llc Nonvolatile memory cell comprising a reduced height vertical diode
US20050158950A1 (en) 2002-12-19 2005-07-21 Matrix Semiconductor, Inc. Non-volatile memory cell comprising a dielectric layer and a phase change material in series
US7560136B2 (en) 2003-01-13 2009-07-14 Nantero, Inc. Methods of using thin metal layers to make carbon nanotube films, layers, fabrics, ribbons, elements and articles
US7713592B2 (en) * 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
DE10306076B4 (en) 2003-02-08 2005-02-17 Hahn-Meitner-Institut Berlin Gmbh Quantum dot of electrically conductive carbon, method of manufacture and application
US7078351B2 (en) * 2003-02-10 2006-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist intensive patterning and processing
US7309616B2 (en) 2003-03-13 2007-12-18 Unity Semiconductor Corporation Laser annealing of complex metal oxides (CMO) memory materials for non-volatile memory integrated circuits
US20050006640A1 (en) 2003-06-26 2005-01-13 Jackson Warren B. Polymer-based memory element
US7399388B2 (en) * 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
WO2005019104A2 (en) 2003-08-18 2005-03-03 President And Fellows Of Harvard College Controlled nanotube fabrication and uses
US7109087B2 (en) 2003-10-03 2006-09-19 Applied Materials, Inc. Absorber layer for DSA processing
US7354631B2 (en) * 2003-11-06 2008-04-08 Micron Technology, Inc. Chemical vapor deposition apparatus and methods
KR100561491B1 (en) 2003-11-10 2006-03-20 일진다이아몬드(주) Plate field emission device with coating layer
WO2005072093A2 (en) * 2003-12-11 2005-08-11 The Trustees Of The University Of Pennsylvania Improved nanotube elongation
US7618300B2 (en) 2003-12-24 2009-11-17 Duke University Method of synthesizing small-diameter carbon nanotubes with electron field emission properties
US7608467B2 (en) 2004-01-13 2009-10-27 Board of Regents University of Houston Switchable resistive perovskite microelectronic device with multi-layer thin film structure
US6969651B1 (en) 2004-03-26 2005-11-29 Lsi Logic Corporation Layout design and process to form nanotube cell for nanotube memory applications
KR100797855B1 (en) 2004-03-26 2008-01-24 자이단호징 덴료쿠추오켄큐쇼 Process for Producing Schottky Junction Type Semiconductor Device
KR100539257B1 (en) * 2004-04-07 2005-12-27 삼성전자주식회사 Semiconductor structure for forming pattern and method for forming pattern
US7220982B2 (en) 2004-07-27 2007-05-22 Micron Technology, Inc. Amorphous carbon-based non-volatile memory
US7288784B2 (en) * 2004-08-19 2007-10-30 Micron Technology, Inc. Structure for amorphous carbon based non-volatile memory
US7345296B2 (en) 2004-09-16 2008-03-18 Atomate Corporation Nanotube transistor and rectifying devices
WO2006049834A1 (en) 2004-10-29 2006-05-11 Advanced Micro Devices, Inc. A semiconductor device including semiconductor regions having differently strained channel regions and a method of manufacturing the same
US20060097342A1 (en) 2004-11-08 2006-05-11 Ward Parkinson Programmable matrix array with phase-change material
US7084062B1 (en) 2005-01-12 2006-08-01 Advanced Micro Devices, Inc. Use of Ta-capped metal line to improve formation of memory element films
TW200631095A (en) 2005-01-27 2006-09-01 Koninkl Philips Electronics Nv A method of manufacturing a semiconductor device
US7224033B2 (en) 2005-02-15 2007-05-29 International Business Machines Corporation Structure and method for manufacturing strained FINFET
JP4320307B2 (en) * 2005-03-04 2009-08-26 株式会社アドバンテスト Waveform input circuit, waveform observation unit, and semiconductor test equipment
DE102005018096B3 (en) 2005-04-19 2007-01-11 Infineon Technologies Ag Non-volatile memory element manufacturing method based on two stable resistance states in organic molecules
KR100719346B1 (en) 2005-04-19 2007-05-17 삼성전자주식회사 Resistive memory cell, method for forming the same and resistive memory array using the same
US7812404B2 (en) * 2005-05-09 2010-10-12 Sandisk 3D Llc Nonvolatile memory cell comprising a diode and a resistance-switching material
US7732342B2 (en) 2005-05-26 2010-06-08 Applied Materials, Inc. Method to increase the compressive stress of PECVD silicon nitride films
US7361586B2 (en) 2005-07-01 2008-04-22 Spansion Llc Preamorphization to minimize void formation
US7426128B2 (en) 2005-07-11 2008-09-16 Sandisk 3D Llc Switchable resistive memory with opposite polarity write pulses
US20070007579A1 (en) * 2005-07-11 2007-01-11 Matrix Semiconductor, Inc. Memory cell comprising a thin film three-terminal switching device having a metal source and /or drain region
US7473637B2 (en) * 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
US7838943B2 (en) 2005-07-25 2010-11-23 International Business Machines Corporation Shared gate for conventional planar device and horizontal CNT
EP1763037A1 (en) 2005-09-08 2007-03-14 STMicroelectronics S.r.l. Nanotube memory cell with floating gate based on passivated nanoparticles and manufacturing process thereof
US7615432B2 (en) 2005-11-02 2009-11-10 Samsung Electronics Co., Ltd. HDP/PECVD methods of fabricating stress nitride structures for field effect transistors
US7385839B2 (en) 2005-12-01 2008-06-10 International Business Machines Corporation Memory devices using carbon nanotube (CNT) technologies
EP1994550A4 (en) * 2006-02-10 2012-01-11 Intermolecular Inc Method and apparatus for combinatorially varying materials, unit process and process sequence
US20070275560A1 (en) * 2006-02-22 2007-11-29 Eiichi Nishimura Method of manufacturing semiconductor device
US7767515B2 (en) 2006-02-27 2010-08-03 Synopsys, Inc. Managing integrated circuit stress using stress adjustment trenches
KR100703984B1 (en) 2006-03-22 2007-04-09 삼성전자주식회사 Fabrication method of semiconductor integrated circuit device and resultant structure
US7646622B2 (en) 2006-03-23 2010-01-12 Toshiba America Research, Inc. Memory based computation systems and methods of using the same
US7692253B2 (en) 2006-04-27 2010-04-06 Spansion Llc Memory cell array with low resistance common source and high current drivability
US7763552B2 (en) 2006-04-28 2010-07-27 Hewlett-Packard Development Company, L.P. Method of interconnect formation using focused beams
WO2007130913A2 (en) 2006-05-01 2007-11-15 The Regents Of The University Of California Metal-insulator-metal (mim) switching devices
US7514370B2 (en) 2006-05-19 2009-04-07 International Business Machines Corporation Compressive nitride film and method of manufacturing thereof
US7575984B2 (en) 2006-05-31 2009-08-18 Sandisk 3D Llc Conductive hard mask to protect patterned features during trench etch
US20080026523A1 (en) 2006-07-28 2008-01-31 Chartered Semiconductor Manufacturing, Ltd And International Business Machines Corporation (Ibm) Structure and method to implement dual stressor layers with improved silicide control
EP2057633B1 (en) 2006-08-08 2013-03-06 Nantero, Inc. Nonvolatile nanotube diodes and nonvolatile nanotube blocks and systems using same and methods of making same
US8030637B2 (en) * 2006-08-25 2011-10-04 Qimonda Ag Memory element using reversible switching between SP2 and SP3 hybridized carbon
JP2008053494A (en) 2006-08-25 2008-03-06 Elpida Memory Inc Semiconductor device and manufacturing method thereof
EP1892722A1 (en) 2006-08-25 2008-02-27 Infineon Technologies AG Information storage elements and methods of manufacture thereof
US7772702B2 (en) 2006-09-21 2010-08-10 Intel Corporation Dielectric spacers for metal interconnects and method to form the same
US20080102278A1 (en) 2006-10-27 2008-05-01 Franz Kreupl Carbon filament memory and method for fabrication
US7915603B2 (en) 2006-10-27 2011-03-29 Qimonda Ag Modifiable gate stack memory element
US7902086B2 (en) 2006-12-08 2011-03-08 Spansion Llc Prevention of oxidation of carrier ions to improve memory retention properties of polymer memory cell
CN100442438C (en) 2006-12-20 2008-12-10 南京大学 Manufacturing method of amorphous carbon-film semiconductor
US7901776B2 (en) 2006-12-29 2011-03-08 3M Innovative Properties Company Plasma deposited microporous carbon material
KR100851548B1 (en) 2007-01-23 2008-08-11 삼성전자주식회사 Phase change memory device and method of forming the same
US7790560B2 (en) 2007-03-12 2010-09-07 Board Of Regents Of The Nevada System Of Higher Education Construction of flash memory chips and circuits from ordered nanoparticles
US8158968B2 (en) 2007-03-21 2012-04-17 Intel Corporation Methods of forming carbon nanotubes architectures and composites with high electrical and thermal conductivities and structures formed thereby
US7667999B2 (en) 2007-03-27 2010-02-23 Sandisk 3D Llc Method to program a memory cell comprising a carbon nanotube fabric and a steering element
US20080237733A1 (en) 2007-03-27 2008-10-02 International Business Machines Corporation Structure and method to enhance channel stress by using optimized sti stress and nitride capping layer stress
CN101681921B (en) 2007-03-27 2013-03-27 桑迪士克3D公司 Memory cell comprising a carbon nanotube fabric element and a steering element and methods of forming the same
US7982209B2 (en) 2007-03-27 2011-07-19 Sandisk 3D Llc Memory cell comprising a carbon nanotube fabric element and a steering element
KR100888617B1 (en) * 2007-06-15 2009-03-17 삼성전자주식회사 Phase Change Memory Device and Method of Forming the Same
WO2009012067A1 (en) * 2007-07-13 2009-01-22 Applied Materials, Inc. Boron derived materials deposition method
KR20090011933A (en) 2007-07-27 2009-02-02 주식회사 하이닉스반도체 Method for manufacturing semiconductor device
US8558220B2 (en) 2007-12-31 2013-10-15 Sandisk 3D Llc Memory cell that employs a selectively fabricated carbon nano-tube reversible resistance-switching element formed over a bottom conductor and methods of forming the same
US7910422B2 (en) * 2007-12-31 2011-03-22 Texas Instruments Incorporated Reducing gate CD bias in CMOS processing
US20090166610A1 (en) 2007-12-31 2009-07-02 April Schricker Memory cell with planarized carbon nanotube layer and methods of forming the same
US7768016B2 (en) 2008-02-11 2010-08-03 Qimonda Ag Carbon diode array for resistivity changing memories
US20090213643A1 (en) 2008-02-26 2009-08-27 Michael Angerbauer Integrated Circuit and Method of Improved Determining a Memory State of a Memory Cell
US8269208B2 (en) * 2008-03-07 2012-09-18 Ovonyx, Inc. Memory device
US8148269B2 (en) 2008-04-04 2012-04-03 Applied Materials, Inc. Boron nitride and boron-nitride derived materials deposition method
US8110476B2 (en) 2008-04-11 2012-02-07 Sandisk 3D Llc Memory cell that includes a carbon-based memory element and methods of forming the same
KR101537518B1 (en) 2008-04-11 2015-07-17 쌘디스크 3디 엘엘씨 Memory cell that includes a carbon nano-tube reversible resistance-switching element and methods of forming the same
US8445385B2 (en) 2008-04-11 2013-05-21 Sandisk 3D Llc Methods for etching carbon nano-tube films for use in non-volatile memories
US8530318B2 (en) 2008-04-11 2013-09-10 Sandisk 3D Llc Memory cell that employs a selectively fabricated carbon nano-tube reversible resistance-switching element formed over a bottom conductor and methods of forming the same
US8304284B2 (en) 2008-04-11 2012-11-06 Sandisk 3D Llc Memory cell that employs a selectively fabricated carbon nano-tube reversible resistance-switching element, and methods of forming the same
US8133793B2 (en) 2008-05-16 2012-03-13 Sandisk 3D Llc Carbon nano-film reversible resistance-switchable elements and methods of forming the same
WO2009155359A1 (en) 2008-06-20 2009-12-23 Nantero, Inc. Nram arrays with nanotube blocks, nanotube traces, and nanotube planes and methods of making same
US8476686B2 (en) * 2008-07-09 2013-07-02 Infineon Technologies Ag Memory device and method for making same
US8557685B2 (en) 2008-08-07 2013-10-15 Sandisk 3D Llc Memory cell that includes a carbon-based memory element and methods of forming the same
US7969011B2 (en) 2008-09-29 2011-06-28 Sandisk 3D Llc MIIM diodes having stacked structure
WO2010047375A1 (en) * 2008-10-22 2010-04-29 ローム株式会社 Method for forming boron-containing thin film and multilayer structure
JP2012507150A (en) 2008-10-23 2012-03-22 サンディスク スリーディー,エルエルシー Carbon-based memory device exhibiting reduced delamination characteristics and method for forming the same
KR20100052080A (en) 2008-11-10 2010-05-19 주식회사 하이닉스반도체 Resistive memory device and method for manufacturing the same
JP2010165950A (en) * 2009-01-16 2010-07-29 Toshiba Corp Nonvolatile semiconductor memory and method of manufacturing the same
US8183121B2 (en) 2009-03-31 2012-05-22 Sandisk 3D Llc Carbon-based films, and methods of forming the same, having dielectric filler material and exhibiting reduced thermal resistance
US8471360B2 (en) 2010-04-14 2013-06-25 Sandisk 3D Llc Memory cell with carbon switching material having a reduced cross-sectional area and methods for forming the same

Patent Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5302240A (en) * 1991-01-22 1994-04-12 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
US5915167A (en) * 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
US6498045B1 (en) * 2001-06-11 2002-12-24 Lsi Logic Corporation Optical intensity modifier
US6952030B2 (en) * 2002-12-19 2005-10-04 Matrix Semiconductor, Inc. High-density three-dimensional memory cell
US20070190722A1 (en) * 2002-12-19 2007-08-16 Herner S B Method to form upward pointing p-i-n diodes having large and uniform current
US20060131754A1 (en) * 2003-07-18 2006-06-22 Nec Corporation Semiconductor device having trench interconnection and manufacturing method of semiconductor device
US7176064B2 (en) * 2003-12-03 2007-02-13 Sandisk 3D Llc Memory cell comprising a semiconductor junction diode crystallized adjacent to a silicide
US20050287802A1 (en) * 2004-06-25 2005-12-29 Hynix Semiconductor, Inc. Method for forming metal line in semiconductor memory device having word line strapping structure
US7405465B2 (en) * 2004-09-29 2008-07-29 Sandisk 3D Llc Deposited semiconductor structure to minimize n-type dopant diffusion and method of making
US7535016B2 (en) * 2005-01-31 2009-05-19 International Business Machines Corporation Vertical carbon nanotube transistor integration
US20060250836A1 (en) * 2005-05-09 2006-11-09 Matrix Semiconductor, Inc. Rewriteable memory cell comprising a diode and a resistance-switching material
US7915122B2 (en) * 2005-06-08 2011-03-29 Nantero, Inc. Self-aligned cell integration scheme
US7911034B2 (en) * 2005-06-30 2011-03-22 Nantero, Inc. Techniques for precision pattern transfer of carbon nanotubes from photo mask to wafers
US20090168491A1 (en) * 2007-12-31 2009-07-02 April Schricker Memory cell that employs a selectively fabricated carbon nano-tube reversible resistance-switching element and methods of forming the same
US20100006812A1 (en) * 2008-07-08 2010-01-14 Sandisk 3D Llc Carbon-based resistivity-switching materials and methods of forming the same
US20100012912A1 (en) * 2008-07-15 2010-01-21 Sandisk 3D Llc Electronic devices including carbon-based films having sidewall liners, and methods of forming such devices

Cited By (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100237346A1 (en) * 2009-03-17 2010-09-23 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing the same
US8501574B2 (en) * 2009-10-07 2013-08-06 Macronix International Co., Ltd. Resistive memory device and manufacturing method thereof and operating method thereof
US20110080766A1 (en) * 2009-10-07 2011-04-07 Macronix International Co., Ltd. Resistive Memory Device and Manufacturing Method Thereof and Operating Method Thereof
US20110095258A1 (en) * 2009-10-23 2011-04-28 Huiwen Xu Memory cell that includes a carbon-based reversible resistance switching element compatible with a steering element, and methods of forming the same
US8551855B2 (en) 2009-10-23 2013-10-08 Sandisk 3D Llc Memory cell that includes a carbon-based reversible resistance switching element compatible with a steering element, and methods of forming the same
US20110095257A1 (en) * 2009-10-23 2011-04-28 Huiwen Xu Memory cell that includes a carbon-based reversible resistance switching element compatible with a steering element, and methods of forming the same
US8481396B2 (en) * 2009-10-23 2013-07-09 Sandisk 3D Llc Memory cell that includes a carbon-based reversible resistance switching element compatible with a steering element, and methods of forming the same
US20110133151A1 (en) * 2009-12-07 2011-06-09 Sandisk 3D Llc Memory cell that includes a carbon-based memory element and methods of forming the same
US8551850B2 (en) 2009-12-07 2013-10-08 Sandisk 3D Llc Methods of forming a reversible resistance-switching metal-insulator-metal structure
US20110193042A1 (en) * 2010-02-11 2011-08-11 Steven Maxwell Memory cell formed using a recess and methods for forming the same
US8389375B2 (en) 2010-02-11 2013-03-05 Sandisk 3D Llc Memory cell formed using a recess and methods for forming the same
US20110204474A1 (en) * 2010-02-24 2011-08-25 Franz Kreupl Memory cell with silicon-containing carbon switching layer and methods for forming the same
US8237146B2 (en) 2010-02-24 2012-08-07 Sandisk 3D Llc Memory cell with silicon-containing carbon switching layer and methods for forming the same
US20110210306A1 (en) * 2010-02-26 2011-09-01 Yubao Li Memory cell that includes a carbon-based memory element and methods of forming the same
US8471360B2 (en) 2010-04-14 2013-06-25 Sandisk 3D Llc Memory cell with carbon switching material having a reduced cross-sectional area and methods for forming the same
US9570678B1 (en) 2010-06-08 2017-02-14 Crossbar, Inc. Resistive RAM with preferental filament formation region and methods
US8993397B2 (en) 2010-06-11 2015-03-31 Crossbar, Inc. Pillar structure for memory device and method
US8750019B2 (en) 2010-07-09 2014-06-10 Crossbar, Inc. Resistive memory using SiGe material
US9036400B2 (en) 2010-07-09 2015-05-19 Crossbar, Inc. Method and structure of monolithically integrated IC and resistive memory using IC foundry-compatible processes
US9755143B2 (en) 2010-07-13 2017-09-05 Crossbar, Inc. On/off ratio for nonvolatile memory device and method
US9012307B2 (en) 2010-07-13 2015-04-21 Crossbar, Inc. Two terminal resistive switching device structure and method of fabricating
US9601692B1 (en) 2010-07-13 2017-03-21 Crossbar, Inc. Hetero-switching layer in a RRAM device and method
US8809831B2 (en) 2010-07-13 2014-08-19 Crossbar, Inc. On/off ratio for non-volatile memory device and method
US20120012805A1 (en) * 2010-07-14 2012-01-19 Kabushiki Kaisha Toshiba Nonvolatile memory device and method for manufacturing same
CN102339950A (en) * 2010-07-14 2012-02-01 株式会社东芝 Nonvolatile memory device and method for manufacturing same
US9590013B2 (en) 2010-08-23 2017-03-07 Crossbar, Inc. Device switching using layered device structure
US9401475B1 (en) 2010-08-23 2016-07-26 Crossbar, Inc. Method for silver deposition for a non-volatile memory device
US10224370B2 (en) 2010-08-23 2019-03-05 Crossbar, Inc. Device switching using layered device structure
US9035276B2 (en) * 2010-08-23 2015-05-19 Crossbar, Inc. Stackable non-volatile resistive switching memory device
US20140217353A1 (en) * 2010-08-23 2014-08-07 Crossbar, Inc. Stackable non-volatile resistive switching memory device and method
US9412789B1 (en) 2010-08-23 2016-08-09 Crossbar, Inc. Stackable non-volatile resistive switching memory device and method of fabricating the same
US8912523B2 (en) 2010-09-29 2014-12-16 Crossbar, Inc. Conductive path in switching material in a resistive random access memory device and control
US9129887B2 (en) 2010-09-29 2015-09-08 Crossbar, Inc. Resistor structure for a non-volatile memory device and method
US8841196B1 (en) 2010-09-29 2014-09-23 Crossbar, Inc. Selective deposition of silver for non-volatile memory device fabrication
US8947908B2 (en) 2010-11-04 2015-02-03 Crossbar, Inc. Hetero-switching layer in a RRAM device and method
USRE46335E1 (en) 2010-11-04 2017-03-07 Crossbar, Inc. Switching device having a non-linear element
US9659819B2 (en) 2010-11-04 2017-05-23 Crossbar, Inc. Interconnects for stacked non-volatile memory device and method
US8930174B2 (en) 2010-12-28 2015-01-06 Crossbar, Inc. Modeling technique for resistive random access memory (RRAM) cells
US9153623B1 (en) 2010-12-31 2015-10-06 Crossbar, Inc. Thin film transistor steering element for a non-volatile memory device
US8815696B1 (en) 2010-12-31 2014-08-26 Crossbar, Inc. Disturb-resistant non-volatile memory device using via-fill and etchback technique
US8791010B1 (en) 2010-12-31 2014-07-29 Crossbar, Inc. Silver interconnects for stacked non-volatile memory device and method
US9831289B2 (en) 2010-12-31 2017-11-28 Crossbar, Inc. Disturb-resistant non-volatile memory device using via-fill and etchback technique
US9543359B2 (en) 2011-05-31 2017-01-10 Crossbar, Inc. Switching device having a non-linear element
US9620206B2 (en) 2011-05-31 2017-04-11 Crossbar, Inc. Memory array architecture with two-terminal memory cells
US9633723B2 (en) 2011-06-23 2017-04-25 Crossbar, Inc. High operating speed resistive random access memory
US9601690B1 (en) 2011-06-30 2017-03-21 Crossbar, Inc. Sub-oxide interface layer for two-terminal memory
US9627443B2 (en) 2011-06-30 2017-04-18 Crossbar, Inc. Three-dimensional oblique two-terminal memory with enhanced electric field
US9570683B1 (en) 2011-06-30 2017-02-14 Crossbar, Inc. Three-dimensional two-terminal memory with enhanced electric field and segmented interconnects
US9564587B1 (en) 2011-06-30 2017-02-07 Crossbar, Inc. Three-dimensional two-terminal memory with enhanced electric field and segmented interconnects
US9252191B2 (en) 2011-07-22 2016-02-02 Crossbar, Inc. Seed layer for a p+ silicon germanium material for a non-volatile memory device and method
US9191000B2 (en) 2011-07-29 2015-11-17 Crossbar, Inc. Field programmable gate array utilizing two-terminal non-volatile memory
US9729155B2 (en) 2011-07-29 2017-08-08 Crossbar, Inc. Field programmable gate array utilizing two-terminal non-volatile memory
US10056907B1 (en) 2011-07-29 2018-08-21 Crossbar, Inc. Field programmable gate array utilizing two-terminal non-volatile memory
US9087576B1 (en) 2012-03-29 2015-07-21 Crossbar, Inc. Low temperature fabrication method for a three-dimensional memory device and structure
US9673255B2 (en) 2012-04-05 2017-06-06 Crossbar, Inc. Resistive memory device and fabrication methods
US8946669B1 (en) 2012-04-05 2015-02-03 Crossbar, Inc. Resistive memory device and fabrication methods
US9685608B2 (en) 2012-04-13 2017-06-20 Crossbar, Inc. Reduced diffusion in metal electrode for two-terminal memory
US10910561B1 (en) 2012-04-13 2021-02-02 Crossbar, Inc. Reduced diffusion in metal electrode for two-terminal memory
US9793474B2 (en) 2012-04-20 2017-10-17 Crossbar, Inc. Low temperature P+ polycrystalline silicon material for non-volatile memory device
US9972778B2 (en) 2012-05-02 2018-05-15 Crossbar, Inc. Guided path for forming a conductive filament in RRAM
US8946046B1 (en) 2012-05-02 2015-02-03 Crossbar, Inc. Guided path for forming a conductive filament in RRAM
US9385319B1 (en) 2012-05-07 2016-07-05 Crossbar, Inc. Filamentary based non-volatile resistive memory device and method
US8796658B1 (en) 2012-05-07 2014-08-05 Crossbar, Inc. Filamentary based non-volatile resistive memory device and method
US8765566B2 (en) 2012-05-10 2014-07-01 Crossbar, Inc. Line and space architecture for a non-volatile memory device
US9070859B1 (en) 2012-05-25 2015-06-30 Crossbar, Inc. Low temperature deposition method for polycrystalline silicon material for a non-volatile memory device
US9735358B2 (en) 2012-08-14 2017-08-15 Crossbar, Inc. Noble metal / non-noble metal electrode for RRAM applications
US9583701B1 (en) 2012-08-14 2017-02-28 Crossbar, Inc. Methods for fabricating resistive memory device switching material using ion implantation
US10096653B2 (en) 2012-08-14 2018-10-09 Crossbar, Inc. Monolithically integrated resistive memory using integrated-circuit foundry compatible processes
US9741765B1 (en) 2012-08-14 2017-08-22 Crossbar, Inc. Monolithically integrated resistive memory using integrated-circuit foundry compatible processes
US8946673B1 (en) 2012-08-24 2015-02-03 Crossbar, Inc. Resistive switching device structure with improved data retention for non-volatile memory device and method
US8889521B1 (en) 2012-09-14 2014-11-18 Crossbar, Inc. Method for silver deposition for a non-volatile memory device
US9312483B2 (en) 2012-09-24 2016-04-12 Crossbar, Inc. Electrode structure for a non-volatile memory device and method
WO2014047590A1 (en) * 2012-09-24 2014-03-27 Crossbar, Inc. Electrode structure for a non-volatile memory device and method
US9576616B2 (en) 2012-10-10 2017-02-21 Crossbar, Inc. Non-volatile memory with overwrite capability and low write amplification
US11068620B2 (en) 2012-11-09 2021-07-20 Crossbar, Inc. Secure circuit integrated with memory layer
US11836277B2 (en) 2012-11-09 2023-12-05 Crossbar, Inc. Secure circuit integrated with memory layer
US8982647B2 (en) 2012-11-14 2015-03-17 Crossbar, Inc. Resistive random access memory equalization and sensing
US9412790B1 (en) 2012-12-04 2016-08-09 Crossbar, Inc. Scalable RRAM device architecture for a non-volatile memory device and method
US9406379B2 (en) 2013-01-03 2016-08-02 Crossbar, Inc. Resistive random access memory with non-linear current-voltage relationship
US9324942B1 (en) 2013-01-31 2016-04-26 Crossbar, Inc. Resistive memory cell with solid state diode
US9112145B1 (en) 2013-01-31 2015-08-18 Crossbar, Inc. Rectified switching of two-terminal memory via real time filament formation
US8934280B1 (en) 2013-02-06 2015-01-13 Crossbar, Inc. Capacitive discharge programming for two-terminal memory cells
US10147878B2 (en) 2013-02-28 2018-12-04 Toshiba Memory Corporation Semiconductor memory device and method for manufacturing same
US9954168B2 (en) * 2013-02-28 2018-04-24 Toshiba Memory Corporation Semiconductor memory device and method for manufacturing same
US10505113B2 (en) 2013-02-28 2019-12-10 Toshiba Memory Corporation Semiconductor memory device and method for manufacturing same
US20140239246A1 (en) * 2013-02-28 2014-08-28 Kabushiki Kaisha Toshiba Semiconductor memory device and method for manufacturing same
US20170110659A1 (en) * 2013-02-28 2017-04-20 Kabushiki Kaisha Toshiba Semiconductor memory device and method for manufacturing same
US11355705B2 (en) * 2013-02-28 2022-06-07 Kioxia Corporation Semiconductor memory device and method for manufacturing same
US9583538B2 (en) * 2013-02-28 2017-02-28 Kabushiki Kaisha Toshiba Semiconductor memory device having crossing interconnects separated by stacked films
US10290801B2 (en) 2014-02-07 2019-05-14 Crossbar, Inc. Scalable silicon based resistive memory device

Also Published As

Publication number Publication date
TW201017824A (en) 2010-05-01
WO2010017428A1 (en) 2010-02-11
US20100032639A1 (en) 2010-02-11
TW201017826A (en) 2010-05-01
US8466044B2 (en) 2013-06-18
WO2010017427A1 (en) 2010-02-11
US8557685B2 (en) 2013-10-15
TW201017759A (en) 2010-05-01
WO2010017425A1 (en) 2010-02-11
TW201017825A (en) 2010-05-01
US20100032638A1 (en) 2010-02-11
US20100032643A1 (en) 2010-02-11
WO2010017426A1 (en) 2010-02-11

Similar Documents

Publication Publication Date Title
US20100032640A1 (en) Memory cell that includes a carbon-based memory element and methods of forming the same
US8237146B2 (en) Memory cell with silicon-containing carbon switching layer and methods for forming the same
US8389375B2 (en) Memory cell formed using a recess and methods for forming the same
US8551855B2 (en) Memory cell that includes a carbon-based reversible resistance switching element compatible with a steering element, and methods of forming the same
EP2559068B1 (en) Memory cell with carbon switching material having a reduced cross-sectional area and methods for forming the same
US8110476B2 (en) Memory cell that includes a carbon-based memory element and methods of forming the same
US20100102291A1 (en) Carbon-based memory elements exhibiting reduced delamination and methods of forming the same
US20110278529A1 (en) Memory employing diamond-like carbon resistivity-switchable material and methods of forming the same
US8436447B2 (en) Memory cell that includes a carbon-based memory element and methods of forming the same
US8551850B2 (en) Methods of forming a reversible resistance-switching metal-insulator-metal structure
US8431417B2 (en) Methods for increasing carbon nano-tube (CNT) yield in memory devices
US20110210306A1 (en) Memory cell that includes a carbon-based memory element and methods of forming the same
US20120223414A1 (en) Methods for increasing bottom electrode performance in carbon-based memory devices

Legal Events

Date Code Title Description
AS Assignment

Owner name: SANDISK 3D, LLC,CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:XU, HUIWEN;REEL/FRAME:023417/0964

Effective date: 20091014

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: SANDISK TECHNOLOGIES INC., TEXAS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:SANDISK 3D LLC.;REEL/FRAME:038300/0665

Effective date: 20160324

AS Assignment

Owner name: SANDISK TECHNOLOGIES INC., TEXAS

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE INCORRECT LISTED PATENT NUMBER 8853569 TO THE CORRECT PATENT NUMBER 8883569 PREVIOUSLY RECORDED ON REEL 038300 FRAME 0665. ASSIGNOR(S) HEREBY CONFIRMS THE ASSIGNMENT;ASSIGNOR:SANDISK 3D LLC;REEL/FRAME:038520/0552

Effective date: 20160324

AS Assignment

Owner name: SANDISK TECHNOLOGIES LLC, TEXAS

Free format text: CHANGE OF NAME;ASSIGNOR:SANDISK TECHNOLOGIES INC;REEL/FRAME:038809/0672

Effective date: 20160516