US20090321797A1 - Method of manufacturing semiconductor device - Google Patents

Method of manufacturing semiconductor device Download PDF

Info

Publication number
US20090321797A1
US20090321797A1 US12/555,311 US55531109A US2009321797A1 US 20090321797 A1 US20090321797 A1 US 20090321797A1 US 55531109 A US55531109 A US 55531109A US 2009321797 A1 US2009321797 A1 US 2009321797A1
Authority
US
United States
Prior art keywords
gate electrode
substrate
transistor
semiconductor substrate
region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/555,311
Inventor
Jin-Ha Park
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US12/555,311 priority Critical patent/US20090321797A1/en
Publication of US20090321797A1 publication Critical patent/US20090321797A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • H01L29/4933Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement with a silicide layer contacting the silicon layer, e.g. Polycide gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Definitions

  • aspects of semiconductor technology have focused on enhancing the integration of semiconductor devices (e.g., achieving smaller scale devices). Reducing channel lengths may serve an important role in the development of smaller scale semiconductor devices. Reducing channel lengths may produce undesirable consequences such as a short channel effect.
  • horizontal reduction and vertical reduction may be employed. Particularly, horizontal reduction in a gate electrode width and vertical reduction in the gate insulating thickness and source/drain junction depth. With horizontal reduction and vertical reduction, an applied voltage is reduced and a doping density of a semiconductor substrate is increased. Particularly, a doping profile of a channel region can be efficiently controlled.
  • LDD lightly doped drain
  • low-concentration n-type region 104 may be located between channel 102 and high-concentration n + -type source/drain 106 .
  • Low-concentration n-type region 104 drops a high drain voltage near a drain junction to prevent a rapid potential gradient, thereby suppressing hot carrier generation.
  • An LDD manufacturing method for forming spacer 105 on sidewalls of gate electrode 103 is one such method. While this method males it possible to obtain a reduction in channel length, its shortcomings is that it produces a reduction in charge mobility. Such reduction in charge mobility causes a reduction in drive current, which in turn, adversely effects the operability of a semiconductor device.
  • Embodiments relate to a method of manufacturing a semiconductor device capable of increasing stress applied to a channel of a transistor to enhance charge mobility.
  • a method of manufacturing a semiconductor device includes at least one of the following steps. Forming a transistor on and/or over a semiconductor substrate. Forming silicide on and/or over a gate electrode and a source/drain region of the transistor. Removing an uppermost oxide film from a spacer of the transistor. Forming a contact stop layer on and/or over the entire surface of the substrate including the gate electrode.
  • formation of the transistor may include at least one of the following steps. Forming a gate insulating film on and/or over the semiconductor substrate. Forming a gate electrode on and/or over the gate insulating film. Forming a lightly doped drain (LDD) region on and/or over the surface of an active region at both sides of the gate electrode. Forming a spacer having an oxide-nitride-oxide (ONO) structure on both sidewalls of the gate electrode. Forming the source/drain region on and/or over the surfaces of the substrate at both sides of the gate electrode including the spacer.
  • LDD lightly doped drain
  • ONO oxide-nitride-oxide
  • formation of the spacer may include at least one of the following steps. Sequentially laminating an oxide film, a nitride film and an oxide film on and/or over the entire surface of the substrate including the gate electrode. Performing a reactive ion etching process such that an ONO structure remains on both sidewalls of the gate electrode.
  • the ONO structure may include sequentially laminating from a lower surface of the substrate an oxide film having a thickness range of approximately 150 to 200 angstroms, a nitride film having a thickness range of approximately 150 to 200 angstroms and an oxide film having a thickness range of approximately 300 to 500 angstroms.
  • the uppermost oxide film may be removed using a wet etching process, and the wet etching process may be performed using any one of a mixed solution of NH 4 F and HF and a buffered HF (BHF) solution for 30 to 60 seconds.
  • the mixed solution of NH 4 F and HF may have a ratio of 30:6.
  • the contact stop layer may be formed using a plasma enhanced chemical vapor deposition (PECVD) method.
  • PECVD plasma enhanced chemical vapor deposition
  • the PECVD method may be performed at a temperature of 300 to 500° C. for 30 to 60 seconds under the condition that bias power is set to 10 to 20 W and a ratio of SiH 4 to NH 3 is set to 3:1 to 5:1.
  • bias power for the PECVD method may be set to 10 to 12 W and a ratio of SiH 4 to NH 3 may be set to 5:1 such that the contact stop layer has a tensile stress characteristic.
  • bias power for the PECVD method may be set to 18 to 20 W and a ratio of SiH 4 to NH 3 may be set to 3:1 such that the contact stop layer has a compressive stress characteristic.
  • the contact stop layer may be formed with a thickness of 300 to 500 angstroms.
  • the contact stop layer may be formed of a nitride film.
  • Example FIG. 1 illustrates a method of manufacturing a semiconductor device.
  • FIGS. 2A to 2E illustrate a method of manufacturing a semiconductor device, in accordance with embodiments.
  • device isolation film 201 is formed in a field region of semiconductor substrate 200 to define an active region in semiconductor substrate 200 .
  • Device isolation film 201 may be formed using an isolation process such as shallow trench isolation (STI).
  • Semiconductor substrate 200 may be a conductive n-type or a p-type single crystal silicon substrate.
  • a transistor is formed that may include gate insulating film 202 , gate electrode 203 , lightly doped drain (LDD) region 204 , spacer 208 including first oxide film 205 , nitride film 206 and second oxide film 207 , and source/drain region 209 .
  • LDD lightly doped drain
  • Gate insulating film 202 can be deposited on and/or over the active region of semiconductor substrate 200 using a thermal oxidation process.
  • a conductive layer for gate electrode 203 is laminated on gate insulating film 202 .
  • a photoresist pattern for an etching mask corresponding to a pattern of gate electrode 203 can be formed on and/or over the conductive layer in a region where gate electrode 203 will be formed.
  • the photoresist pattern can be formed using a photolithographic process.
  • the conductive layer and gate insulating film 202 can be etched until the active region of semiconductor substrate 200 is exposed, while leaving the conductive layer and gate insulating film 202 . Accordingly, the patterns of gate electrode 203 and gate insulating film 202 can be formed on and/or over a portion of the active region.
  • LDD region 204 can be formed using a low-concentration dopant ion implantation process with respect to the entire surface of substrate 200 .
  • dopant ions are an n-type, arsenic (As) ions may be used under the condition that energy is in a range between approximately 1 to 3 KeV and a dosage in a range between approximately is 5E14 to 5E15 ions/cm 2 .
  • dopant ions are a p-type, BF 2 ions can be used under the condition that energy is in a range between approximately 1 to 3 KeV and a dosage in a range between approximately 1E14 to E15 ions/cm 2 .
  • the dopant ions can be implanted into the exposed active region of semiconductor substrate 200 to form a low-concentration dopant ion implantation region.
  • the low-concentration dopant ion implantation region becomes LDD region 204 through a subsequent annealing process. Meaning, LDD region 204 can be formed in the surface of the active region located at both sidewalls of gate electrode 203 .
  • an insulating film can be deposited using a low-pressure chemical vapor deposition (LPCVD) method.
  • the insulating film can be composed of a three-layer laminate film having an oxide-nitride-oxide (ONO) structure including first oxide film 205 , nitride film 206 and second oxide film 207 .
  • the thickness of first oxide film 205 can be in a range between approximately 150 to 200 Angstrom.
  • the thickness of the nitride film 206 can be in a range between approximately 150 to 200 Angstrom.
  • the thickness of second oxide film 207 can be in a range between approximately 300 to 500 Angstrom.
  • First oxide film 205 and second oxide film 207 can be composed of tetra ethyl ortho silicate (TEOS).
  • the ONO insulating film can be etched using a dry etching process having an anisotropic etching characteristic, such as a reactive ion etching (RIE) process.
  • RIE reactive ion etching
  • Source/drain region 209 may be formed in the surfaces of substrate 200 at both sides of gate electrode 203 including spacer 208 using a high-concentration dopant ion implantation process. Particularly, if n-type dopant ions are used, phosphorous P+ ions can be implanted into the entire surface of substrate 200 at an energy range between approximately 4 to 6 KeV and 4E14 to 5E15 ions/cm 2 . If p-type dopant ions are used, boron B+ ions can be implanted into the entire surface of substrate 200 at an energy range between approximately 2 to 4 KeV and 1E15 to 5E15 ions/cm 2 . Under the above-described condition, the source/drain region 209 is formed.
  • a silicide layer can be formed on and/or over the entire surface of substrate 200 including source/drain region 209 and gate electrode 203 of the transistor.
  • a Co layer, a Ti layer and a TiN layer can be sequentially laminated on and/or over substrate 200 .
  • the Co layer may be formed having a thickness range of between approximately 120 to 150 Angstrom.
  • the Ti layer may be formed with having a thickness range of between approximately 190 to 210 Angstrom.
  • the TiN layer may be formed having a thickness range of between approximately 210 to 230 Angstrom.
  • the Ti layer can be used as a protective film against oxygen during a reaction between Co and Si.
  • the Ti layer may also control the reaction between Co and Si.
  • the processes of forming the Ti layer and the TiN layer may be continuously performed in the same deposition chamber or may be separately performed in different deposition chambers.
  • a first rapid thermal process can be performed with respect to the resultant material.
  • a CoSi layer can be selectively formed on and/or over the surface of source/drain region 209 and gate electrode 203 .
  • the first RTP may be performed at a temperature range between approximately 450 to 500° C. for 50 to 60 seconds.
  • the non reacted Co layer, Ti layer and TiN layer are sequentially removed. At this time, the non reacted Co layer and the Ti layer can be removed using a predetermined wet etching process.
  • a second RTP can then be performed with the resultant material.
  • Cobalt silicide layer 210 can be selectively formed on and/or over the surface of source/drain region 209 and gate electrode 203 .
  • the second RTP may be performed at a temperature range between approximately of 800 to 850° C. for 10 to 40 seconds.
  • a process of removing uppermost second oxide film 207 from spacer 208 having the ONO structure can be performed.
  • a wet etching process can be performed using any one of a mixed solution of NH 4 F and HF and a buffered HF solution for 30 to 60 seconds such that second oxide film 207 can be removed.
  • contact stop layer 211 can be formed closer to a channel region located at the lower side of substrate 200 . Accordingly, larger stresses may be induced to the channel region.
  • contact stop layer 211 can be formed on and/or over the entire surface of substrate 200 including gate electrode 203 using a nitride film (SiN).
  • Contact stop layer 211 can be formed using a plasma enhanced chemical vapor deposition (PECVD) method.
  • Contact stop layer 211 may be formed having a thickness range of approximately of 300 to 500 Angstrom.
  • the PECVD method can be performed at a temperature range of between approximately of 300 to 500° C. for 30 to 60 seconds.
  • the PECVD method for depositing contact stop layer 211 can be performed using a bias power in a range between approximately 10 to 20 W and whereby a ratio of SiH 4 to NH 3 is set to 3:1 to 5:1.
  • the transistor can be an NMOS transistor.
  • the transistor can be a PMOS transistor.
  • the MOSFET transistor can be an NMOS transistor.
  • contact stop layer 211 having a large tensile stress characteristic can be formed on and/or over the entire surface of substrate 200 including gate electrode 203 .
  • Contact stop layer 211 can be deposited under the condition that the bias power decreases and the percentage of SiH 4 increases such that contact stop layer 211 exhibits high tensile stresses.
  • the lattice distance of the channel region may increase by also applying tensile stresses 212 to the channel region of silicon substrate 200 .
  • the lattice distance of the channel region of the NMOS increases, electron scattering due to the lattice can be reduced and electron mobility can be enhanced.
  • contact stop layer 211 can be formed on and/or over the entire surface of substrate 200 including gate electrode 203 after formation of the transistor.
  • Contact stop layer 211 can be deposited under the condition that bias power increases and the percentage of SiH 4 decreases such that contact stop layer 211 has the required compressive stress characteristic.
  • the lattice distance of the channel region may decrease by also applying compressive stresses to the channel region of silicon substrate 200 .
  • the lattice distance of the channel region of the PMOS decreases, electron mobility can be enhanced.
  • second oxide film 207 can be removed from spacer 208 having the ONO structure such that contact stop layer 211 can be formed closer to the channel region. Accordingly, larger stresses can be induced to the channel region, and thus, electron mobility and the drive current Idr of the transistor may increase.
  • stress may be applied to a channel region located at the lower side of a substrate by laminating a contact stop layer having a high tensile stress characteristic on and/or over the entire surface of a substrate including a gate electrode after formation of a transistor.
  • This confirguration can enhance electron mobility in the channel region of the substrate. Consequently, the drive current of the transistor can increase in addition to the operability and electrical characteristics of a semiconductor device.

Abstract

A method of manufacturing a semiconductor device including at least one step of: forming a transistor on and/or over a semiconductor substrate; forming silicide on and/or over a gate electrode and a source/drain region of the transistor; removing an uppermost oxide film from a spacer of the transistor; and forming a contact stop layer on and/or over the entire surface of the substrate including the gate electrode.

Description

  • The present application claims priority under 35 U.S.C. 119 to Korean Patent Application No. 10-2006-0092096 (filed on Sep. 22, 2006), which is hereby incorporated by reference in its entirety.
  • BACKGROUND
  • Aspects of semiconductor technology have focused on enhancing the integration of semiconductor devices (e.g., achieving smaller scale devices). Reducing channel lengths may serve an important role in the development of smaller scale semiconductor devices. Reducing channel lengths may produce undesirable consequences such as a short channel effect.
  • In order to overcome or otherwise suppress the short channel effect, horizontal reduction and vertical reduction may be employed. Particularly, horizontal reduction in a gate electrode width and vertical reduction in the gate insulating thickness and source/drain junction depth. With horizontal reduction and vertical reduction, an applied voltage is reduced and a doping density of a semiconductor substrate is increased. Particularly, a doping profile of a channel region can be efficiently controlled.
  • Although reduction in the size of a semiconductor device can be reduced, the necessary power required for operating an electronic device is high. For example, electrons injected from a source in an NMOS transistor may be significantly accelerated in a potential gradient state of a drain, thereby the NMOS transistor may become vulnerable to hot carrier generation. Consequently, a lightly doped drain (LDD) structure may be employed in order to overcome the hot carrier generation.
  • As illustrated in example FIG. 1, in a transistor having a LDD structure, low-concentration n-type region 104 may be located between channel 102 and high-concentration n+-type source/drain 106. Low-concentration n-type region 104 drops a high drain voltage near a drain junction to prevent a rapid potential gradient, thereby suppressing hot carrier generation.
  • In order to achieve high integration in semiconductor devices, a variety of technologies for manufacturing a MOSFET having a LDD structure has been suggested. An LDD manufacturing method for forming spacer 105 on sidewalls of gate electrode 103 is one such method. While this method males it possible to obtain a reduction in channel length, its shortcomings is that it produces a reduction in charge mobility. Such reduction in charge mobility causes a reduction in drive current, which in turn, adversely effects the operability of a semiconductor device.
  • SUMMARY
  • Embodiments relate to a method of manufacturing a semiconductor device capable of increasing stress applied to a channel of a transistor to enhance charge mobility.
  • In accordance with embodiments, a method of manufacturing a semiconductor device includes at least one of the following steps. Forming a transistor on and/or over a semiconductor substrate. Forming silicide on and/or over a gate electrode and a source/drain region of the transistor. Removing an uppermost oxide film from a spacer of the transistor. Forming a contact stop layer on and/or over the entire surface of the substrate including the gate electrode.
  • In accordance with embodiments, formation of the transistor may include at least one of the following steps. Forming a gate insulating film on and/or over the semiconductor substrate. Forming a gate electrode on and/or over the gate insulating film. Forming a lightly doped drain (LDD) region on and/or over the surface of an active region at both sides of the gate electrode. Forming a spacer having an oxide-nitride-oxide (ONO) structure on both sidewalls of the gate electrode. Forming the source/drain region on and/or over the surfaces of the substrate at both sides of the gate electrode including the spacer.
  • In accordance with embodiments, formation of the spacer may include at least one of the following steps. Sequentially laminating an oxide film, a nitride film and an oxide film on and/or over the entire surface of the substrate including the gate electrode. Performing a reactive ion etching process such that an ONO structure remains on both sidewalls of the gate electrode.
  • In accordance with embodiments, the ONO structure may include sequentially laminating from a lower surface of the substrate an oxide film having a thickness range of approximately 150 to 200 angstroms, a nitride film having a thickness range of approximately 150 to 200 angstroms and an oxide film having a thickness range of approximately 300 to 500 angstroms.
  • Preferably, the uppermost oxide film may be removed using a wet etching process, and the wet etching process may be performed using any one of a mixed solution of NH4F and HF and a buffered HF (BHF) solution for 30 to 60 seconds. The mixed solution of NH4F and HF may have a ratio of 30:6.
  • Preferably, the contact stop layer may be formed using a plasma enhanced chemical vapor deposition (PECVD) method. Here, the PECVD method may be performed at a temperature of 300 to 500° C. for 30 to 60 seconds under the condition that bias power is set to 10 to 20 W and a ratio of SiH4 to NH3 is set to 3:1 to 5:1. In more detail, when an NMOS transistor is formed on the semiconductor substrate, bias power for the PECVD method may be set to 10 to 12 W and a ratio of SiH4 to NH3 may be set to 5:1 such that the contact stop layer has a tensile stress characteristic. Alternatively, when a PMOS transistor is formed on the semiconductor substrate, bias power for the PECVD method may be set to 18 to 20 W and a ratio of SiH4 to NH3 may be set to 3:1 such that the contact stop layer has a compressive stress characteristic.
  • Preferably, the contact stop layer may be formed with a thickness of 300 to 500 angstroms.
  • Preferably, the contact stop layer may be formed of a nitride film.
  • DRAWINGS
  • Example FIG. 1 illustrates a method of manufacturing a semiconductor device.
  • Example FIGS. 2A to 2E illustrate a method of manufacturing a semiconductor device, in accordance with embodiments.
  • DESCRIPTION
  • As illustrated in example FIG. 2A, device isolation film 201 is formed in a field region of semiconductor substrate 200 to define an active region in semiconductor substrate 200. Device isolation film 201 may be formed using an isolation process such as shallow trench isolation (STI). Semiconductor substrate 200 may be a conductive n-type or a p-type single crystal silicon substrate.
  • As illustrated in example FIG. 2B, after formation of device isolation film 201, a transistor is formed that may include gate insulating film 202, gate electrode 203, lightly doped drain (LDD) region 204, spacer 208 including first oxide film 205, nitride film 206 and second oxide film 207, and source/drain region 209.
  • Gate insulating film 202 can be deposited on and/or over the active region of semiconductor substrate 200 using a thermal oxidation process. A conductive layer for gate electrode 203 is laminated on gate insulating film 202. A photoresist pattern for an etching mask corresponding to a pattern of gate electrode 203 can be formed on and/or over the conductive layer in a region where gate electrode 203 will be formed. The photoresist pattern can be formed using a photolithographic process. Thereafter, the conductive layer and gate insulating film 202 can be etched until the active region of semiconductor substrate 200 is exposed, while leaving the conductive layer and gate insulating film 202. Accordingly, the patterns of gate electrode 203 and gate insulating film 202 can be formed on and/or over a portion of the active region.
  • Subsequently, LDD region 204 can be formed using a low-concentration dopant ion implantation process with respect to the entire surface of substrate 200. If the dopant ions are an n-type, arsenic (As) ions may be used under the condition that energy is in a range between approximately 1 to 3 KeV and a dosage in a range between approximately is 5E14 to 5E15 ions/cm2. If the dopant ions are a p-type, BF2 ions can be used under the condition that energy is in a range between approximately 1 to 3 KeV and a dosage in a range between approximately 1E14 to E15 ions/cm2. The dopant ions can be implanted into the exposed active region of semiconductor substrate 200 to form a low-concentration dopant ion implantation region. The low-concentration dopant ion implantation region becomes LDD region 204 through a subsequent annealing process. Meaning, LDD region 204 can be formed in the surface of the active region located at both sidewalls of gate electrode 203.
  • Subsequently, an insulating film can be deposited using a low-pressure chemical vapor deposition (LPCVD) method. At this time, the insulating film can be composed of a three-layer laminate film having an oxide-nitride-oxide (ONO) structure including first oxide film 205, nitride film 206 and second oxide film 207. The thickness of first oxide film 205 can be in a range between approximately 150 to 200 Angstrom. The thickness of the nitride film 206 can be in a range between approximately 150 to 200 Angstrom. The thickness of second oxide film 207 can be in a range between approximately 300 to 500 Angstrom. First oxide film 205 and second oxide film 207 can be composed of tetra ethyl ortho silicate (TEOS).
  • As illustrated in example FIG. 2B, once the ONO insulating film is formed, it can be etched using a dry etching process having an anisotropic etching characteristic, such as a reactive ion etching (RIE) process. The etching results in the formation of spacer 208 having an ONO insulating film configuration that remains on sidewalls of gate electrode 203.
  • Source/drain region 209 may be formed in the surfaces of substrate 200 at both sides of gate electrode 203 including spacer 208 using a high-concentration dopant ion implantation process. Particularly, if n-type dopant ions are used, phosphorous P+ ions can be implanted into the entire surface of substrate 200 at an energy range between approximately 4 to 6 KeV and 4E14 to 5E15 ions/cm2. If p-type dopant ions are used, boron B+ ions can be implanted into the entire surface of substrate 200 at an energy range between approximately 2 to 4 KeV and 1E15 to 5E15 ions/cm2. Under the above-described condition, the source/drain region 209 is formed.
  • After formation of the transistor, a silicide layer can be formed on and/or over the entire surface of substrate 200 including source/drain region 209 and gate electrode 203 of the transistor. In order to form the silicide layer, a Co layer, a Ti layer and a TiN layer can be sequentially laminated on and/or over substrate 200. The Co layer may be formed having a thickness range of between approximately 120 to 150 Angstrom. The Ti layer may be formed with having a thickness range of between approximately 190 to 210 Angstrom. The TiN layer may be formed having a thickness range of between approximately 210 to 230 Angstrom. The Ti layer can be used as a protective film against oxygen during a reaction between Co and Si. The Ti layer may also control the reaction between Co and Si. The processes of forming the Ti layer and the TiN layer may be continuously performed in the same deposition chamber or may be separately performed in different deposition chambers.
  • After formation of the silicide layer, a first rapid thermal process (RTP) can be performed with respect to the resultant material. A CoSi layer can be selectively formed on and/or over the surface of source/drain region 209 and gate electrode 203. The first RTP may be performed at a temperature range between approximately 450 to 500° C. for 50 to 60 seconds. Subsequently, after the first RTP is completed, the non reacted Co layer, Ti layer and TiN layer are sequentially removed. At this time, the non reacted Co layer and the Ti layer can be removed using a predetermined wet etching process.
  • As illustrated in example FIG. 2C, a second RTP can then be performed with the resultant material. Cobalt silicide layer 210 can be selectively formed on and/or over the surface of source/drain region 209 and gate electrode 203. At this time, the second RTP may be performed at a temperature range between approximately of 800 to 850° C. for 10 to 40 seconds.
  • As illustrated in example FIG. 2D, a process of removing uppermost second oxide film 207 from spacer 208 having the ONO structure can be performed. At this time, a wet etching process can be performed using any one of a mixed solution of NH4F and HF and a buffered HF solution for 30 to 60 seconds such that second oxide film 207 can be removed.
  • When the process of removing second oxide film of the ONO structure is performed, contact stop layer 211 can be formed closer to a channel region located at the lower side of substrate 200. Accordingly, larger stresses may be induced to the channel region.
  • As illustrated in example FIG. 2E, contact stop layer 211 can be formed on and/or over the entire surface of substrate 200 including gate electrode 203 using a nitride film (SiN). Contact stop layer 211 can be formed using a plasma enhanced chemical vapor deposition (PECVD) method. Contact stop layer 211 may be formed having a thickness range of approximately of 300 to 500 Angstrom. The PECVD method can be performed at a temperature range of between approximately of 300 to 500° C. for 30 to 60 seconds. The PECVD method for depositing contact stop layer 211 can be performed using a bias power in a range between approximately 10 to 20 W and whereby a ratio of SiH4 to NH3 is set to 3:1 to 5:1.
  • At this time, as the bias power is in a range between approximately 10 to 12 W, SiN may exhibit larger tensile stress. As the percentage of SiH4 becomes larger than that of the NH3, i.e., when the ratio of SiH4 to NH3 is 5:1, larger tensile stresses can be obtained. In order to obtain larger tensile stresses, the transistor can be an NMOS transistor.
  • As the bias power increases to 18 to 20 W, SiN exhibits greater compressive stresses. As the percentage of SiH4 becomes smaller than that of the NH3, i.e., when the ratio of SiH4 to NH3 is 3:1, greater compressive stresses can be obtained. In order to obtain the larger compressive stresses, the transistor can be a PMOS transistor.
  • In accordance with embodiments, the MOSFET transistor can be an NMOS transistor. After the formation of the transistor is completed, contact stop layer 211 having a large tensile stress characteristic can be formed on and/or over the entire surface of substrate 200 including gate electrode 203. Contact stop layer 211 can be deposited under the condition that the bias power decreases and the percentage of SiH4 increases such that contact stop layer 211 exhibits high tensile stresses. In the process of forming contact stop layer 211, the lattice distance of the channel region may increase by also applying tensile stresses 212 to the channel region of silicon substrate 200. When the lattice distance of the channel region of the NMOS increases, electron scattering due to the lattice can be reduced and electron mobility can be enhanced.
  • If the transistor is a PMOS, contact stop layer 211 can be formed on and/or over the entire surface of substrate 200 including gate electrode 203 after formation of the transistor. Contact stop layer 211 can be deposited under the condition that bias power increases and the percentage of SiH4 decreases such that contact stop layer 211 has the required compressive stress characteristic. In the process of forming the contact stop layer 211, the lattice distance of the channel region may decrease by also applying compressive stresses to the channel region of silicon substrate 200. When the lattice distance of the channel region of the PMOS decreases, electron mobility can be enhanced.
  • In accordance with embodiments, second oxide film 207 can be removed from spacer 208 having the ONO structure such that contact stop layer 211 can be formed closer to the channel region. Accordingly, larger stresses can be induced to the channel region, and thus, electron mobility and the drive current Idr of the transistor may increase.
  • In accordance with embodiments, since electron mobility can be increased by increasing applied stresses to a channel region of a transistor, it may be possible to enhance the operability and electrical characteristics of a semiconductor device.
  • In accordance with embodiments, stress may be applied to a channel region located at the lower side of a substrate by laminating a contact stop layer having a high tensile stress characteristic on and/or over the entire surface of a substrate including a gate electrode after formation of a transistor. This confirguration can enhance electron mobility in the channel region of the substrate. Consequently, the drive current of the transistor can increase in addition to the operability and electrical characteristics of a semiconductor device.
  • Although embodiments have been described herein, it should be understood that numerous other modifications and embodiments can be devised by those skilled in the art that will fall within the spirit and scope of the principles of this disclosure. More particularly, various variations and modifications are possible in the component parts and/or arrangements of the subject combination arrangement within the scope of the disclosure, the drawings and the appended claims. In addition to variations and modifications in the component parts and/or arrangements, alternative uses will also be apparent to those skilled in the art.

Claims (8)

1-13. (canceled)
14. An apparatus comprising:
a semiconductor substrate;
a transistor formed over the semiconductor substrate, the transistor including a gate electrode, a source/drain region and a spacer having a laminated structure on sidewalls of the gate electrode including at least one first oxide film and a nitride film;
a silicide formed over the gate electrode and the source/drain region; and
a contact stop layer formed over the entire surface of the substrate including the gate electrode.
15. The apparatus of claim 14, wherein the source/drain region is formed over the surface of the substrate at both sides of the gate electrode.
16. The apparatus of claim 14, wherein the silicide layer comprises cobalt silicide.
17. The apparatus of claim 14, further comprising a device isolation film formed in a field region of the semiconductor substrate to define an active region in semiconductor substrate.
18. The apparatus of claim 14, wherein the device isolation film is formed using shallow trench isolation (STI).
19. The apparatus of claim 14, wherein the semiconductor substrate comprises at least one of a conductive n-type and a p-type single crystal silicon substrate.
20. The apparatus of claim 14, wherein the contact stop layer comprises nitride having a thickness range of between approximately 300 to 500 Angstroms.
US12/555,311 2006-09-22 2009-09-08 Method of manufacturing semiconductor device Abandoned US20090321797A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/555,311 US20090321797A1 (en) 2006-09-22 2009-09-08 Method of manufacturing semiconductor device

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
KR1020060092096A KR100752201B1 (en) 2006-09-22 2006-09-22 Manufacturing method of semiconductor device
KR10-2006-0092096 2006-09-22
US11/852,023 US7605044B2 (en) 2006-09-22 2007-09-07 Method of manufacturing semiconductor device
US12/555,311 US20090321797A1 (en) 2006-09-22 2009-09-08 Method of manufacturing semiconductor device

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/852,023 Continuation US7605044B2 (en) 2006-09-22 2007-09-07 Method of manufacturing semiconductor device

Publications (1)

Publication Number Publication Date
US20090321797A1 true US20090321797A1 (en) 2009-12-31

Family

ID=38615427

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/852,023 Expired - Fee Related US7605044B2 (en) 2006-09-22 2007-09-07 Method of manufacturing semiconductor device
US12/555,311 Abandoned US20090321797A1 (en) 2006-09-22 2009-09-08 Method of manufacturing semiconductor device

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/852,023 Expired - Fee Related US7605044B2 (en) 2006-09-22 2007-09-07 Method of manufacturing semiconductor device

Country Status (3)

Country Link
US (2) US7605044B2 (en)
KR (1) KR100752201B1 (en)
CN (1) CN101150071A (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7923365B2 (en) * 2007-10-17 2011-04-12 Samsung Electronics Co., Ltd. Methods of forming field effect transistors having stress-inducing sidewall insulating spacers thereon
US9716165B1 (en) 2016-06-21 2017-07-25 United Microelectronics Corporation Field-effect transistor and method of making the same
CN116013962B (en) * 2023-03-24 2023-07-18 合肥晶合集成电路股份有限公司 Method for manufacturing semiconductor device
CN117133717B (en) * 2023-10-27 2024-03-01 合肥晶合集成电路股份有限公司 Manufacturing method of semiconductor structure

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020127763A1 (en) * 2000-12-28 2002-09-12 Mohamed Arafa Sidewall spacers and methods of making same
US20040072435A1 (en) * 2002-10-09 2004-04-15 Chartered Semiconductor Manufacturing Ltd. Method of integrating L - shaped spacers in a high performance CMOS process via use of an oxide - nitride - doped oxide spacer
US20050224867A1 (en) * 2004-03-31 2005-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Slim spacer device and manufacturing method
US20050247926A1 (en) * 2004-05-05 2005-11-10 Advanced Micro Devices, Inc. Semiconductor device based on Si-Ge with high stress liner for enhanced channel carrier mobility
US20080096331A1 (en) * 2006-10-04 2008-04-24 Neng-Kuo Chen Method for fabricating high compressive stress film and strained-silicon transistors
US7371629B2 (en) * 2002-12-09 2008-05-13 Taiwan Semiconductor Manufacturing Company N/PMOS saturation current, HCE, and Vt stability by contact etch stop film modifications
US7423330B2 (en) * 2004-06-29 2008-09-09 Fujitsu Limited Semiconductor device with strain

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100477535B1 (en) * 2002-06-25 2005-03-17 동부아남반도체 주식회사 Method of manufacturing semiconductor device

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020127763A1 (en) * 2000-12-28 2002-09-12 Mohamed Arafa Sidewall spacers and methods of making same
US20040072435A1 (en) * 2002-10-09 2004-04-15 Chartered Semiconductor Manufacturing Ltd. Method of integrating L - shaped spacers in a high performance CMOS process via use of an oxide - nitride - doped oxide spacer
US7371629B2 (en) * 2002-12-09 2008-05-13 Taiwan Semiconductor Manufacturing Company N/PMOS saturation current, HCE, and Vt stability by contact etch stop film modifications
US20050224867A1 (en) * 2004-03-31 2005-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Slim spacer device and manufacturing method
US20050247926A1 (en) * 2004-05-05 2005-11-10 Advanced Micro Devices, Inc. Semiconductor device based on Si-Ge with high stress liner for enhanced channel carrier mobility
US7423330B2 (en) * 2004-06-29 2008-09-09 Fujitsu Limited Semiconductor device with strain
US20080096331A1 (en) * 2006-10-04 2008-04-24 Neng-Kuo Chen Method for fabricating high compressive stress film and strained-silicon transistors

Also Published As

Publication number Publication date
CN101150071A (en) 2008-03-26
US20080073715A1 (en) 2008-03-27
KR100752201B1 (en) 2007-08-27
US7605044B2 (en) 2009-10-20

Similar Documents

Publication Publication Date Title
US6406973B1 (en) Transistor in a semiconductor device and method of manufacturing the same
US9502305B2 (en) Method for manufacturing CMOS transistor
US20050151203A1 (en) Temporary self-aligned stop layer is applied on silicon sidewall
CN100547793C (en) Dual gate CMOS semiconductor device and manufacture method thereof
US7129127B2 (en) Integration scheme to improve NMOS with poly cap while mitigating PMOS degradation
US7605044B2 (en) Method of manufacturing semiconductor device
KR100574172B1 (en) Method for fabricating semiconductor device
KR100540341B1 (en) Fabricating method of semiconductor device
KR100864928B1 (en) Method of Forming ?????? Device
US7211481B2 (en) Method to strain NMOS devices while mitigating dopant diffusion for PMOS using a capped poly layer
KR100897821B1 (en) Method for Manufacturing Semiconductor Device
KR100598284B1 (en) Fabricating method of semiconductor device
KR100588784B1 (en) Fabricating method of semiconductor device
US7696053B2 (en) Implantation method for doping semiconductor substrate
KR100661215B1 (en) Fabricating method of semiconductor device
KR100588787B1 (en) Fabricating method of semiconductor device
US20100025742A1 (en) Transistor having a strained channel region caused by hydrogen-induced lattice deformation
KR101128699B1 (en) Method for manufacturing a semiconductor device
KR20050091498A (en) Forming method of semiconductor device
KR101079873B1 (en) Forming Method of Semiconductor Device
KR100557631B1 (en) A method for forming a transistor of a semiconductor device
US20100227447A1 (en) Method of manufacturing flash memory device
KR20050091496A (en) Forming method of semiconductor device
KR20080023058A (en) Method of manufacturing semiconductor device
JP2008047759A (en) Semiconductor device, and its fabrication process

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION