US20090273022A1 - Conductive hard mask to protect patterned features during trench etch - Google Patents

Conductive hard mask to protect patterned features during trench etch Download PDF

Info

Publication number
US20090273022A1
US20090273022A1 US12/502,796 US50279609A US2009273022A1 US 20090273022 A1 US20090273022 A1 US 20090273022A1 US 50279609 A US50279609 A US 50279609A US 2009273022 A1 US2009273022 A1 US 2009273022A1
Authority
US
United States
Prior art keywords
conductors
pillars
layerstack
memory level
conductive layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/502,796
Inventor
Steven J. Radigan
Usha Raghuram
Samuel V. Dunton
Michael W. Konevecki
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SanDisk Technologies LLC
Original Assignee
SanDisk 3D LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by SanDisk 3D LLC filed Critical SanDisk 3D LLC
Priority to US12/502,796 priority Critical patent/US20090273022A1/en
Publication of US20090273022A1 publication Critical patent/US20090273022A1/en
Priority to US13/890,321 priority patent/US8722518B2/en
Assigned to SANDISK TECHNOLOGIES INC. reassignment SANDISK TECHNOLOGIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SANDISK 3D LLC.
Assigned to SANDISK TECHNOLOGIES INC. reassignment SANDISK TECHNOLOGIES INC. CORRECTIVE ASSIGNMENT TO CORRECT THE INCORRECT LISTED PATENT NUMBER 8853569 TO THE CORRECT PATENT NUMBER 8883569 PREVIOUSLY RECORDED ON REEL 038300 FRAME 0665. ASSIGNOR(S) HEREBY CONFIRMS THE ASSIGNMENT. Assignors: SANDISK 3D LLC
Assigned to SANDISK TECHNOLOGIES LLC reassignment SANDISK TECHNOLOGIES LLC CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: SANDISK TECHNOLOGIES INC
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/525Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
    • H01L23/5252Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections comprising anti-fuses, i.e. connections having their state changed from non-conductive to conductive
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/102Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including bipolar components
    • H01L27/1021Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including bipolar components including diodes only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/102Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including bipolar components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66083Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices
    • H01L29/6609Diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the invention relates to a method to protect underlying active features during a trench etch.
  • Conductors may be formed by subtractive or by additive (Damascene) means. In either case an etch is performed that will stop on or near the devices to be contacted.
  • a method for forming a monolithic three dimensional memory array includes forming a first memory level above a substrate by i) forming a plurality of first substantially parallel conductors extending in a first direction, ii) forming first pillars above the first conductors, each first pillar comprising a first conductive layer or layerstack above a vertically oriented diode, the first pillars formed in a single photolithography step, iii) depositing a first dielectric layer above the first pillars, and iv) etching a plurality of substantially parallel first trenches in the first dielectric layer, the first trenches extending in a second direction, wherein, after the etching step, the lowest point in the trenches is above the lowest point of the first conductive layer or layerstack, wherein the first conductive layer or layerstack does not comprise a resistivity-switching metal oxide or nitride.
  • the method also includes monolithically
  • a first memory level formed above a substrate includes a plurality of substantially parallel, substantially coplanar bottom conductors extending in a first direction, a plurality of substantially parallel, substantially coplanar top conductors extending in a second direction different from the first direction, the top conductors above the bottom conductors, and a plurality of first pillars, each first pillar vertically disposed between one of the bottom conductors and one of the top conductors, each first pillar comprising a vertically oriented diode and a conductive layer or layerstack, the conductive layer or layerstack above the vertically oriented diode, wherein the conductive layer or layerstack of each first pillar is in contact with one of the top conductors, and wherein the conductive layer or layerstack comprises a layer of a metal or a metal alloy.
  • a monolithic three dimensional memory array in a third aspect of the invention, includes a first memory level above a substrate, the first memory level including i) a plurality of substantially parallel, substantially coplanar bottom conductors extending in a first direction, ii) a plurality of substantially parallel, substantially coplanar top conductors extending in a second direction different from the first direction, the top conductors above the bottom conductors, and iii) a plurality of first pillars, each first pillar vertically disposed between one of the bottom conductors and one of the top conductors, each first pillar comprising a vertically oriented diode and a conductive layer or layerstack, the conductive layer or layerstack above the vertically oriented diode, wherein the conductive layer or layerstack of each first pillar is in contact with one of the top conductors, and wherein the conductive layer or layerstack comprises a layer of a metal or a metal alloy.
  • the memory array also includes a second
  • FIG. 1 is a perspective view of a prior art nonvolatile memory cell not formed according to methods of the present invention.
  • FIG. 2 is a perspective view of a portion of a first memory level of the memory cell of FIG. 1 .
  • FIGS. 3A-3D are cross-sectional views illustrating formation of conductive rails by a subtractive method.
  • FIGS. 4A-4D are cross-sectional views illustrating formation of conductive rails by a Damascene method.
  • FIGS. 5A-5C are cross-sectional views illustrating stages in formation of a structure including top conductors formed by a Damascene method not using methods of the present invention.
  • FIGS. 6A-6C are cross-sectional views illustrating stages in formation of structure formed according to an embodiment of the present invention.
  • FIGS. 7A-7D are cross-sectional views illustrating stages in formation of a first memory level of a monolithic three dimensional memory array formed according to a preferred embodiment of the present invention.
  • vertically oriented junction diode 302 comprises heavily doped semiconductor layer 112 of a first conductivity type, layer 114 which is undoped semiconductor material or lightly doped semiconductor material, and heavily doped semiconductor layer 116 of the second conductivity type.
  • the semiconductor material of diode 302 is generally silicon, germanium, or an alloy of silicon and/or germanium.
  • Diode 302 and dielectric rupture antifuse 118 are arranged in series between bottom conductor 200 and top conductor 400 , which may be formed of a metal such as tungsten. Various additional adhesion and barrier layers are not shown.
  • junction diode is used herein to refer to a semiconductor device with the property of non-ohmic conduction, having two terminal electrodes, and made of semiconducting material which is p-type at one electrode and n-type at the other.
  • Examples include p-n diodes and n-p diodes, which have p-type semiconductor material and n-type semiconductor material in contact, such as Zener diodes, and p-i-n diodes, in which intrinsic (undoped) semiconductor material is interposed between p-type semiconductor material and n-type semiconductor material.
  • FIG. 2 shows a portion of a first memory level of memory cells like the cell of FIG. 1 .
  • Two, three, four, or more such memory levels may be formed, stacked one atop the other, to form a monolithic three dimensional memory array, preferably formed above a semiconductor substrate such as a monocrystalline silicon wafer, and described in the '030 patent and the '549 and '530 applications.
  • Features in semiconductor devices are generally formed either by subtractive or by Damascene methods.
  • a subtractive method a material is patterned and etched into a desired shape, then gaps between etched features filled with dielectric.
  • features are formed by forming voids in dielectric, then filling those voids with conductive or semiconductor material.
  • metal layer 22 is deposited, and photoresist 24 is spun onto it.
  • photoresist 24 is then photolithographically patterned into the desired form.
  • an etch step removes metal where it is not protected by photoresist.
  • the photoresist is stripped, leaving metal rails behind, and gaps between the rails can be filled by dielectric 26 .
  • overfill of dielectric can be removed, for example by chemical-mechanical planarization (CMP), to expose rails at a planarized surface.
  • CMP chemical-mechanical planarization
  • photoresist 24 is spun onto deposited oxide layer 32 .
  • photoresist 24 is patterned as shown, then an etch forms trenches 34 in oxide layer 32 .
  • metal 22 deposited to fill trenches, and the overfill removed, for example by CMP, forming rails, shown in FIG. 4D .
  • bottom conductors 200 and top conductors 400 are formed by subtractive methods. For reasons to be explained, in some embodiments it may be desirable to form these conductors using a Damascene method instead.
  • FIG. 5A shows diodes 302 with dielectric 108 between them exposed at a planarized surface. Top heavily doped region 116 is very thin.
  • dielectric layer 208 is deposited, and then trenches 210 are etched. In an ideal case, as shown in FIG. 5B , the trench etch stops precisely at the top of each diode 302 with no misalignment.
  • overetch with misalignment will expose the side of diodes 302 .
  • An antifuse layer 118 is formed on each diode at this point, preferably by thermal oxidation of the silicon of diode 302 to form a silicon dioxide layer.
  • Heavily doped region 116 is very thin, and when antifuse 118 ruptures during programming of the cell, the conductor to be formed in the etched trench 210 may make electrical contact to intrinsic region 114 . This contact is fatal to the device.
  • the methods of the present invention prevent the fatal overetch depicted in FIG. 5C , and, as will be described, afford other advantages in improved diode uniformity, interconnectivity, and layer-to-layer alignment.
  • diodes 302 were formed by depositing heavily doped n-type silicon layer 112 , doped in situ, followed by a thickness 114 of intrinsic silicon. Silicon regions 112 and 114 were amorphous as deposited, and were crystallized later to polycrystalline silicon, or polysilicon. The silicon was then patterned and etched into pillars (a dielectric hard mask, for example of silicon dioxide, may have been used during the etch and subsequently removed), and gaps between the pillars filled, for example by high density plasma (HDP) oxide. A planarization step, for example by CMP, removed overfill of oxide to expose tops of diodes 302 at the planarized surface.
  • a dielectric hard mask for example of silicon dioxide
  • top heavily doped region 116 was formed by ion implantation of a p-type dopant, for example boron or BF 2 , to form a shallow junction.
  • a p-type dopant for example boron or BF 2
  • the polarity of the diode could be reversed, or the semiconductor may be germanium, a silicon-germanium alloy, or some other material.
  • top conductors were then formed subtractively.
  • heavily doped n-type silicon region 112 and intrinsic silicon region 114 are deposited, generally on titanium nitride barrier layer 110 .
  • Heavily doped p-type silicon region 116 is either deposited and doped by ion implantation or is doped in situ during deposition.
  • Dielectric rupture antifuse 118 is formed, for example by thermal oxidation of the underlying silicon to form silicon dioxide.
  • a conductive layerstack is deposited on antifuse 118 ; this conductive layerstack may include, for example, titanium nitride adhesion layer 40 and tungsten layer 42 .
  • Barrier layer 110 silicon regions 112 , 114 , and 116 , antifuse layer 118 , titanium nitride layer 40 and tungsten layer 42 are all unpatterned at the stage illustrated in FIG. 6A .
  • bottom conductors 200 below the illustrated structure, are not shown.
  • next tungsten layer 42 and titanium nitride layer 40 are patterned and etched into pillars. The etch continues, etching antifuse layer 118 , silicon regions 116 , 114 , and 112 , and barrier layer 110 , forming pillars 300 .
  • Tungsten layer 42 and titanium nitride layer 40 serve as a hard mask during the silicon etch.
  • a hard mask is an etched layer which serves to pattern the etch of an underlying layer; if all of the photoresist has been consumed, the hard mask can provide the pattern in its stead.
  • the pillars are formed in a single photolithographic step. Dielectric fill 108 fills gaps between pillars 300 , and the overfill is removed by planarization, for example by CMP.
  • FIG. 6C shows the structure after trench 310 has been filled with titanium nitride layer 44 and tungsten layers 46 , and CMP performed to complete top conductors 400 .
  • the trench overetch causes conductors 400 to contact the side of tungsten layer 42 or titanium nitride layer 40 , but not region 116 , 114 , or any part of the diode underneath.
  • the thickness of tungsten layers 42 and titanium nitride layers 40 provides a margin within which the trench etch can stop with no adverse effect on device performance.
  • the conductive layers 42 and 40 may be exposed in trenches 310 , but the semiconductor layers 112 , 114 , and 116 beneath are not.
  • a conductive hard mask is used to etch underlying features, and then to protect those features during a subsequent Damascene etch performed to form conductors which will provide electrical connectivity to the underlying features.
  • Dielectric materials like silicon dioxide or silicon nitride, are commonly used as hard masks. Because the hard mask of the present invention is of a conductive material, it need not be removed and can remain in the finished device.
  • the vertically oriented diode of the present invention is a p-i-n diode.
  • a junction diode is considered to be vertically oriented if the p region is above or below the n region.
  • the rate of silicon deposition varies across a wafer, leading to variation in overall silicon thickness.
  • Deposition of HDP oxide fill between the diodes is also nonuniform across the wafer and between wafers, as is the CMP step performed to expose the tops of the diodes at a planar surface as shown in FIG. 5A .
  • Each of these sources of nonuniformity affects the ultimate thickness of the intrinsic region. In the present invention, however, the etch and HDP fill are performed only after the thicknesses of the diode regions has been established, and no CMP step is performed on the silicon.
  • the width of conductors in a memory array like that shown in FIG. 2 must necessarily decrease. To provide adequate conductivity, conductors thus must become taller. Very thick layers can be difficult to etch, as the photoresist defining the feature may be entirely consumed before the etch is complete. Very high aspect ratio gaps also are difficult to fill without voids. Damascene construction avoids both of these disadvantages and thus may become an attractive option for conductors at smaller pitch. Further, due to the nature of photolithography, in general the dimensions of etched features tend to shrink, making them narrower than their projected mask size. Conductors formed subtractively thus tend to be smaller.
  • Damascene conductors When formed by a Damascene method, however, it is the dielectric fill rather than the conductors which are etched, and which thus tend to shrink during pattern and etch. For a given mask dimension, then, Damascene conductors will be slightly wider and thus slightly more conductive.
  • a multilevel memory array requires many masking steps. Each layer must be aligned to the previous layer. Alignment of a photomask is achieved by locating alignment marks formed in prior layers. To pattern and etch tungsten conductors subtractively, for example, the photomask must be aligned to an alignment mark which is covered by tungsten, which is opaque. To form tungsten conductors by a Damascene method, the photomask must be aligned to an alignment mark covered by oxide, which is generally transparent.
  • This substrate 100 can be any semiconducting substrate as known in the art, such as monocrystalline silicon, IV-IV compounds like silicon-germanium or silicon-germanium-carbon, III-V compounds, II-VII compounds, epitaxial layers over such substrates, or any other semiconducting material.
  • the substrate may include integrated circuits fabricated therein.
  • the insulating layer 102 is formed over substrate 100 .
  • the insulating layer 102 can be silicon oxide, silicon nitride, high-dielectric film, Si—C—O—H film, or any other suitable insulating material.
  • the first conductors 200 are formed over the substrate and insulator.
  • First conductors 200 are preferably formed by a Damascene method, but may be formed subtractively instead.
  • a thickness of dielectric material 208 preferably between about 1500 and about 3000 angstroms, for example about 2000 angstroms, is deposited.
  • Dielectric material 208 is preferably a uniform dielectric such as TEOS.
  • Substantially parallel trenches are etched in dielectric 208 . In one embodiment, these trenches are about 2000 angstroms deep. This etch may be timed, or, if desired, may stop on a previously deposited etch stop layer (not shown.)
  • the pitch and feature size of the conductors may be as desired.
  • the advantages of the methods of the present invention become more useful at smaller pitch, for example less than about 200 nm, for example between about 160 nm and about 90 nm.
  • Trenches 310 in dielectric 208 may be less than about 100 nm wide, for example between about 80 and about 45 nm wide.
  • any appropriate conductive material can be used to form conductors 200 , such as a metal, metal alloy, conductive metal silicide, heavily doped silicon, etc.
  • an adhesion layer 104 for example of titanium nitride, is deposited. Layer 104 may be between about 50 and about 400 angstroms thick, preferably about 100 angstroms thick.
  • a conductive material 106 preferably tungsten or a tungsten alloy, is deposited to fill the trenches etched in dielectric 208 . If some material other than tungsten is used, adhesion layer 104 may not be required.
  • FIG. 7B vertical pillars will be formed above completed conductor rails 200 .
  • FIG. 7B is rotated 90 degrees relative to FIG. 7A along line A-A′ of FIG. 7A ; in FIG. 7A conductors 200 extended out of the page, while in FIG. 7B they extend left-to-right across the page. (To save space substrate 100 is omitted in FIG. 7B ; its presence will be assumed.)
  • tungsten was used for conductive layer 106
  • Barrier layer 110 is any conventional conductive barrier material, for example titanium nitride. Its thickness can be, for example, about 50 to about 200 angstroms, preferably about 100 angstroms.
  • the semiconductor material can be silicon, germanium, alloys of silicon and/or germanium, or other suitable semiconductor materials. Silicon is commonly used in the industry, so, for simplicity, this description will refer to the semiconductor material as silicon, but it will be understood that other materials may be substituted.
  • the semiconductor pillar comprises a junction diode, the junction diode comprising a bottom heavily doped region of a first conductivity type and a top heavily doped region of a second conductivity type.
  • the middle region is an intrinsic or lightly doped region of either the first or second conductivity type.
  • the middle region can intentionally be lightly doped, or it can be intrinsic.
  • An intrinsic region will never be perfectly electrically neutral, and will always have defects or contaminants that cause it to behave as if slightly n-doped or p-doped.
  • heavily doped silicon region 112 is formed by a conventional method, for example by chemical vapor deposition (CVD).
  • Heavily doped region 112 is preferably doped in situ.
  • heavily doped region 112 will be n-type, while the top heavily doped region to be formed will be p-type; clearly the polarity of these diodes may be reversed.
  • Heavily doped n-type region 112 is preferably between about 100 and about 1000 angstroms thick, preferably about 200 angstroms thick.
  • a thickness of intrinsic silicon 114 is deposited. This thickness is preferably between about 800 and about 2800 angstroms thick, most preferably about 2000 angstroms. If desired, this region may be lightly doped. Finally, top heavily doped region 116 is formed. This region may be implanted with a p-type dopant such as boron or BF 2 . In an alternative embodiment, heavily doped region 116 is in situ doped. The thickness of silicon diode stack 112 , 114 , and 116 is complete at this point. Generally regions 112 , 114 , and 116 will be amorphous as deposited and will be crystallized by an anneal or by subsequent thermal processing. In the finished memory, the diodes will preferably be polysilicon.
  • Antifuse 118 is preferably a silicon dioxide layer formed by oxidizing the underlying silicon in a rapid thermal anneal, for example at about 600 degrees. Antifuse 118 may be about 20 angstroms thick. Alternatively, antifuse 118 can be deposited.
  • a conductive layer or layerstack that will be patterned to form a hard mask is deposited.
  • the thickness of this layerstack should be sufficient that a subsequent Damascene etch can reliably be stopped before this thickness is exceeded.
  • titanium nitride layer 40 about 200 angstroms thick
  • tungsten layer 42 about 400 angstroms thick, are deposited. It may be preferred for tungsten layer 42 to be formed by sputtering, as sputtered tungsten is smoother and more readily patterned, resulting an a more uniform patterned feature after etch.
  • CVD tungsten may be used instead, and may be subjected to a CMP step to reduce surface roughness.
  • FIG. 7B shows the structure at this point.
  • Conductive layers 40 and 42 constitute hard mask 44 . This etch may be performed in a single etch chamber, modifying etch chemistry as required; alternatively conductive layers 42 and 40 may be etched in a metal etcher, then the wafer transferred to a polysilicon etcher where the silicon layers are etched. In either case, the layers 42 and 40 can be considered to serve as a hard mask during etch of underlying layers.
  • Pillars 300 should have about the same pitch and about the same width as conductors 200 below, such that each pillar 300 is formed on top of a conductor 200 . Some misalignment can be tolerated. Pillars 300 can be formed using any suitable masking and etching process. For example, photoresist can be deposited, patterned using standard photolithography techniques, and etched, and then the photoresist removed. Preferably a layer of dielectric antireflective coating (DARC), for example about 320 angstroms, is deposited on tungsten layer 42 before the etch. In some embodiments it may be preferred to include an additional layer or layers above tungsten layer 42 during this photolithography and etch step.
  • DARC dielectric antireflective coating
  • 1500 angstroms of silicon dioxide may be deposited directly on tungsten layer 42 , then DARC deposited on this oxide layer. This will prevent or minimize loss of tungsten thickness during the subsequent etch. The oxide layer will be removed and will not exist in the finished device.
  • Dielectric material 108 is deposited over and between pillars 300 , filling the gaps between them.
  • Dielectric material 108 is preferably high density plasma oxide, though other suitable dielectric materials may be used instead.
  • the dielectric material on top of the pillars 300 is removed, exposing the tops of pillars 300 separated by dielectric material 108 , and leaving a substantially planar surface.
  • This removal of dielectric overfill and planarization can be performed by any process known in the art, such as CMP or etchback.
  • CMP chemical vapor deposition
  • etchback techniques described in Raghuram et al., U.S. patent application Ser. No. 10/883,417, “Nonselective Unpatterned Etchback to Expose Buried Patterned Features,” filed Jun. 30, 2004 and hereby incorporated by reference in its entirety, can advantageously be used.
  • the resulting structure is shown in FIG. 7C .
  • each pillar 300 comprises a vertically oriented diode, a dielectric rupture antifuse, and a conductive layerstack. These pillars do not comprise a resistance-switching element, such as a binary metal oxide or nitride, as in embodiments of Herner et al., U.S. patent application Ser. No. 11/395,995, “Nonvolatile Memory Cell Comprising a Diode and a Resistance-Switching Material,” filed Mar. 31, 2006 and hereby incorporated by reference.
  • a resistance-switching element such as a binary metal oxide or nitride
  • a thickness of dielectric material 208 preferably between about 1500 and about 2000 angstroms, for example about 1700 angstroms, is deposited.
  • Dielectric material 208 is preferably a uniform dielectric such as TEOS.
  • Substantially parallel trenches are etched in dielectric 208 .
  • these trenches are about 1700 angstroms deep. This etch may be timed, or the etch may be stopped when tungsten at the top of pillars 300 is detected.
  • larger tungsten structures (not shown) can be formed outside of the array area during the same etch step that forms the conductive hard mask. When these larger tungsten areas are detected, it can be assumed that the same thickness of fill 208 has been etched inside the array area as outside and that tops of pillars 300 thus must be exposed, and etching can be stopped.
  • the trench etch can readily be stopped before any portion of the underlying diodes is exposed.
  • the lowest point in the trenches is above the lowest point of the conductive layerstack including tungsten layer 42 and titanium nitride layer 40 .
  • top conductors 400 should extend in a second direction different from the direction of bottom conductors 200 , preferably substantially perpendicular to them.
  • These trenches (and top conductors 400 ) should have the same pitch as underlying pillars 300 , such that each pillar is vertically disposed between one of bottom conductors 200 and one of top conductors 400 .
  • This pitch is preferably between about 90 nm and about 200 nm, for example about 160 nm. Some misalignment can be tolerated.
  • any appropriate conductive material can be used to form conductors 400 .
  • an adhesion layer 402 for example of titanium nitride, is deposited. Layer 402 may be between about 100 and about 400 angstroms thick, preferably about 100 angstroms thick.
  • a conductive material 404 preferably tungsten, is deposited to fill trenches 310 in dielectric 208 . If some material other than tungsten is used, adhesion layer 402 may not be required. In alternative embodiments, conductive material 404 may be some other conductive material such as aluminum or copper, or alloys thereof, using known methods.
  • each of the conductors 400 is electrically in contact with the conductive layerstack of the underlying pillars 300 ; for example titanium nitride layer 402 , contacts tungsten layer 42 . This conductor-to-conductor contact provides for reliable interconnectivity even in case of substantial misalignment.
  • Additional memory levels can be formed above this first memory level.
  • conductors can be shared between memory levels; i.e. top conductor 400 would serve as the bottom conductor of the next memory level.
  • an interlevel dielectric is formed above the first memory level of FIG. 7D , its surface planarized, and construction of a second memory level begins on this planarized interlevel dielectric, with no shared conductors.
  • the memory can be several stories high.
  • Each memory level comprises memory cells, each cell comprising a portion of a bottom conductor, one of the pillars, and a portion of a top conductor.
  • one layer has been described as being “above” or “below” another. It will be understood that these terms describe the position of layers and elements relative to the substrate upon which they are formed, in most embodiments a monocrystalline silicon wafer substrate; one feature is above another when it is farther from the wafer substrate, and below another when it is closer. Though clearly the wafer, or the die, can be rotated in any direction, the relative orientation of features on the wafer or die will not change.
  • a monolithic three dimensional memory array is one in which multiple memory levels are formed above a single substrate, such as a wafer, with no intervening substrates.
  • the layers forming one memory level are deposited or grown directly over the layers of an existing level or levels.
  • stacked memories have been constructed by forming memory levels on separate substrates and adhering the memory levels atop each other, as in Leedy, U.S. Pat. No. 5,915,167, “Three dimensional structure memory.”
  • the substrates may be thinned or removed from the memory levels before bonding, but as the memory levels are initially formed over separate substrates, such memories are not true monolithic three dimensional memory arrays.
  • a monolithic three dimensional memory array formed above a substrate comprises at least a first memory level formed at a first height above the substrate and a second memory level formed at a second height different from the first height. Three, four, eight, or indeed any number of memory levels can be formed above the substrate in such a multilevel array.

Abstract

A monolithic three dimensional memory array is formed by a method that includes forming a first memory level above a substrate by i) forming a plurality of first substantially parallel conductors extending in a first direction, ii) forming first pillars above the first conductors, each first pillar comprising a first conductive layer or layerstack above a vertically oriented diode, the first pillars formed in a single photolithography step, iii) depositing a first dielectric layer above the first pillars, and iv) etching a plurality of substantially parallel first trenches in the first dielectric layer, the first trenches extending in a second direction, wherein, after the etching step, the lowest point in the trenches is above the lowest point of the first conductive layer or layerstack, wherein the first conductive layer or layerstack does not comprise a resistivity-switching metal oxide or nitride. The method also includes monolithically forming a second memory level above the first memory level. Other aspects are also described.

Description

    REFERENCE TO RELATED APPLICATIONS
  • This application is a division of U.S. patent application Ser. No. 11/444,936, filed May 31, 2006, now U.S. Pat. No. ______, which is hereby incorporated by reference in its entirety for all purposes.
  • BACKGROUND
  • The invention relates to a method to protect underlying active features during a trench etch.
  • Electrical connection to devices may be provided by forming conductors above those devices. Conductors can be formed by subtractive or by additive (Damascene) means. In either case an etch is performed that will stop on or near the devices to be contacted.
  • During any etch, care must be taken to stop the etch at the desired point. Depending on the device, overetch may or may not be acceptable.
  • In devices in which overetch during a Damascene trench etch is harmful to the device, a method to prevent such harm is advantageous.
  • SUMMARY
  • The present invention is defined by the following claims, and nothing in this section should be taken as a limitation on those claims.
  • In a first aspect of the invention, a method for forming a monolithic three dimensional memory array is provided that includes forming a first memory level above a substrate by i) forming a plurality of first substantially parallel conductors extending in a first direction, ii) forming first pillars above the first conductors, each first pillar comprising a first conductive layer or layerstack above a vertically oriented diode, the first pillars formed in a single photolithography step, iii) depositing a first dielectric layer above the first pillars, and iv) etching a plurality of substantially parallel first trenches in the first dielectric layer, the first trenches extending in a second direction, wherein, after the etching step, the lowest point in the trenches is above the lowest point of the first conductive layer or layerstack, wherein the first conductive layer or layerstack does not comprise a resistivity-switching metal oxide or nitride. The method also includes monolithically forming a second memory level above the first memory level.
  • In a second aspect of the invention, a first memory level formed above a substrate is provided that includes a plurality of substantially parallel, substantially coplanar bottom conductors extending in a first direction, a plurality of substantially parallel, substantially coplanar top conductors extending in a second direction different from the first direction, the top conductors above the bottom conductors, and a plurality of first pillars, each first pillar vertically disposed between one of the bottom conductors and one of the top conductors, each first pillar comprising a vertically oriented diode and a conductive layer or layerstack, the conductive layer or layerstack above the vertically oriented diode, wherein the conductive layer or layerstack of each first pillar is in contact with one of the top conductors, and wherein the conductive layer or layerstack comprises a layer of a metal or a metal alloy.
  • In a third aspect of the invention, a monolithic three dimensional memory array is provided that includes a first memory level above a substrate, the first memory level including i) a plurality of substantially parallel, substantially coplanar bottom conductors extending in a first direction, ii) a plurality of substantially parallel, substantially coplanar top conductors extending in a second direction different from the first direction, the top conductors above the bottom conductors, and iii) a plurality of first pillars, each first pillar vertically disposed between one of the bottom conductors and one of the top conductors, each first pillar comprising a vertically oriented diode and a conductive layer or layerstack, the conductive layer or layerstack above the vertically oriented diode, wherein the conductive layer or layerstack of each first pillar is in contact with one of the top conductors, and wherein the conductive layer or layerstack comprises a layer of a metal or a metal alloy. The memory array also includes a second memory level monolithically formed above the first memory level.
  • Each of the aspects and embodiments of the invention described herein can be used alone or in combination with one another.
  • Other features and aspects of the present invention will become more fully apparent from the following detailed description, the appended claims and the accompanying drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Features of the present invention can be more clearly understood from the following detailed description considered in conjunction with the following drawings, in which the same reference numerals denote the same elements throughout, and in which:
  • FIG. 1 is a perspective view of a prior art nonvolatile memory cell not formed according to methods of the present invention.
  • FIG. 2 is a perspective view of a portion of a first memory level of the memory cell of FIG. 1.
  • FIGS. 3A-3D are cross-sectional views illustrating formation of conductive rails by a subtractive method.
  • FIGS. 4A-4D are cross-sectional views illustrating formation of conductive rails by a Damascene method.
  • FIGS. 5A-5C are cross-sectional views illustrating stages in formation of a structure including top conductors formed by a Damascene method not using methods of the present invention.
  • FIGS. 6A-6C are cross-sectional views illustrating stages in formation of structure formed according to an embodiment of the present invention.
  • FIGS. 7A-7D are cross-sectional views illustrating stages in formation of a first memory level of a monolithic three dimensional memory array formed according to a preferred embodiment of the present invention.
  • DETAILED DESCRIPTION
  • Herner et al., U.S. Pat. No. 6,952,030, “High-Density Three-Dimensional Memory Cell,” hereinafter the '030 patent and hereby incorporated by reference, discloses a nonvolatile memory cell including a vertically oriented junction diode and a dielectric rupture antifuse interposed between top and bottom conductors. Turning to FIG. 1, vertically oriented junction diode 302 comprises heavily doped semiconductor layer 112 of a first conductivity type, layer 114 which is undoped semiconductor material or lightly doped semiconductor material, and heavily doped semiconductor layer 116 of the second conductivity type. The semiconductor material of diode 302 is generally silicon, germanium, or an alloy of silicon and/or germanium. Diode 302 and dielectric rupture antifuse 118 are arranged in series between bottom conductor 200 and top conductor 400, which may be formed of a metal such as tungsten. Various additional adhesion and barrier layers are not shown.
  • The term junction diode is used herein to refer to a semiconductor device with the property of non-ohmic conduction, having two terminal electrodes, and made of semiconducting material which is p-type at one electrode and n-type at the other. Examples include p-n diodes and n-p diodes, which have p-type semiconductor material and n-type semiconductor material in contact, such as Zener diodes, and p-i-n diodes, in which intrinsic (undoped) semiconductor material is interposed between p-type semiconductor material and n-type semiconductor material.
  • In the initial state of the memory cell of FIG. 1, very little current flows through diode 302 when a read voltage is applied between top conductor 400 and bottom conductor 200. Antifuse 118 impedes current flow, and in most embodiments the polycrystalline semiconductor material of diode 302 is formed in a relatively high-resistivity state, as described in Herner et al., U.S. patent application Ser. No. 10/955,549, “Nonvolatile Memory Cell Without a Dielectric Antifuse Having High- and Low-Impedance States,” filed Sep. 29, 2004 and hereinafter the '549 application; and Herner et al., U.S. patent application Ser. No. 11/148,530, “Nonvolatile Memory Cell Operating by Increasing Order in Polycrystalline Semiconductor Material,” filed Jun. 8, 2005 and hereinafter the '530 application, both hereby incorporated by reference, which also tends to impede current flow. Application of a programming voltage between top conductor 400 and bottom conductor 200 causes dielectric breakdown of the antifuse material, permanently forming a conductive path through antifuse 118. The semiconductor material of diode 302 is altered as well, changing it to a lower-resistivity state. After programming, a readily detectable current flows between top conductor 400 and bottom conductor 200 upon application of a read voltage. In this way a programmed cell can be distinguished from an unprogrammed cell.
  • FIG. 2 shows a portion of a first memory level of memory cells like the cell of FIG. 1. Two, three, four, or more such memory levels may be formed, stacked one atop the other, to form a monolithic three dimensional memory array, preferably formed above a semiconductor substrate such as a monocrystalline silicon wafer, and described in the '030 patent and the '549 and '530 applications.
  • Features in semiconductor devices are generally formed either by subtractive or by Damascene methods. In a subtractive method, a material is patterned and etched into a desired shape, then gaps between etched features filled with dielectric. In a Damascene method, features are formed by forming voids in dielectric, then filling those voids with conductive or semiconductor material.
  • For example, to form metal rail-shaped conductors subtractively, as shown in FIG. 3A, metal layer 22 is deposited, and photoresist 24 is spun onto it. As shown in FIG. 3B, photoresist 24 is then photolithographically patterned into the desired form. As shown in FIG. 3C, an etch step removes metal where it is not protected by photoresist. As in FIG. 3D, after etch, the photoresist is stripped, leaving metal rails behind, and gaps between the rails can be filled by dielectric 26. If desired, overfill of dielectric can be removed, for example by chemical-mechanical planarization (CMP), to expose rails at a planarized surface.
  • In contrast, turning to FIG. 4A, to form metal rail-shaped conductors using a Damascene method, photoresist 24 is spun onto deposited oxide layer 32. As in FIG. 4B, photoresist 24 is patterned as shown, then an etch forms trenches 34 in oxide layer 32. In FIG. 4C, after removal of photoresist, metal 22 deposited to fill trenches, and the overfill removed, for example by CMP, forming rails, shown in FIG. 4D.
  • Referring to FIG. 1, in embodiments of the '030 patent, bottom conductors 200 and top conductors 400 are formed by subtractive methods. For reasons to be explained, in some embodiments it may be desirable to form these conductors using a Damascene method instead.
  • Forming top conductors 400 to connect to vertically oriented diodes 302 presents challenges, however. FIG. 5A shows diodes 302 with dielectric 108 between them exposed at a planarized surface. Top heavily doped region 116 is very thin. As in FIG. 4B, dielectric layer 208 is deposited, and then trenches 210 are etched. In an ideal case, as shown in FIG. 5B, the trench etch stops precisely at the top of each diode 302 with no misalignment.
  • In reality, however, there will nearly always be some misalignment, which will result in overetch. Turning to FIG. 5C, overetch with misalignment will expose the side of diodes 302. An antifuse layer 118 is formed on each diode at this point, preferably by thermal oxidation of the silicon of diode 302 to form a silicon dioxide layer. Heavily doped region 116 is very thin, and when antifuse 118 ruptures during programming of the cell, the conductor to be formed in the etched trench 210 may make electrical contact to intrinsic region 114. This contact is fatal to the device.
  • The methods of the present invention prevent the fatal overetch depicted in FIG. 5C, and, as will be described, afford other advantages in improved diode uniformity, interconnectivity, and layer-to-layer alignment.
  • As described in the '030 patent and the '549 and '530 applications, in preferred embodiments diodes 302 were formed by depositing heavily doped n-type silicon layer 112, doped in situ, followed by a thickness 114 of intrinsic silicon. Silicon regions 112 and 114 were amorphous as deposited, and were crystallized later to polycrystalline silicon, or polysilicon. The silicon was then patterned and etched into pillars (a dielectric hard mask, for example of silicon dioxide, may have been used during the etch and subsequently removed), and gaps between the pillars filled, for example by high density plasma (HDP) oxide. A planarization step, for example by CMP, removed overfill of oxide to expose tops of diodes 302 at the planarized surface. This CMP step unavoidably also removed some thickness of silicon. After the CMP step, top heavily doped region 116 was formed by ion implantation of a p-type dopant, for example boron or BF2, to form a shallow junction. (For simplicity, formation of a p-i-n diode having an n-region at the bottom and a p-region at the top, formed of silicon, has been described. In alternate embodiments, the polarity of the diode could be reversed, or the semiconductor may be germanium, a silicon-germanium alloy, or some other material.) In preferred embodiments of the '030 patent and the '549 and '530 applications, top conductors were then formed subtractively.
  • Turning to FIG. 6A, in an embodiment of the present invention, heavily doped n-type silicon region 112 and intrinsic silicon region 114 are deposited, generally on titanium nitride barrier layer 110. Heavily doped p-type silicon region 116 is either deposited and doped by ion implantation or is doped in situ during deposition. Dielectric rupture antifuse 118 is formed, for example by thermal oxidation of the underlying silicon to form silicon dioxide. A conductive layerstack is deposited on antifuse 118; this conductive layerstack may include, for example, titanium nitride adhesion layer 40 and tungsten layer 42. Barrier layer 110, silicon regions 112, 114, and 116, antifuse layer 118, titanium nitride layer 40 and tungsten layer 42 are all unpatterned at the stage illustrated in FIG. 6A. For simplicity, bottom conductors 200, below the illustrated structure, are not shown.
  • Turning to FIG. 6B, next tungsten layer 42 and titanium nitride layer 40 are patterned and etched into pillars. The etch continues, etching antifuse layer 118, silicon regions 116, 114, and 112, and barrier layer 110, forming pillars 300. Tungsten layer 42 and titanium nitride layer 40 serve as a hard mask during the silicon etch. A hard mask is an etched layer which serves to pattern the etch of an underlying layer; if all of the photoresist has been consumed, the hard mask can provide the pattern in its stead. The pillars are formed in a single photolithographic step. Dielectric fill 108 fills gaps between pillars 300, and the overfill is removed by planarization, for example by CMP.
  • Next dielectric material 208 is deposited, and trenches 310 are etched in dielectric material 208. Conductors will be formed in trenches 310 using Damascene construction. Some misalignment and overetch of trenches 310 may occur, as shown. FIG. 6C shows the structure after trench 310 has been filled with titanium nitride layer 44 and tungsten layers 46, and CMP performed to complete top conductors 400. As will be seen in FIG. 6C, the trench overetch causes conductors 400 to contact the side of tungsten layer 42 or titanium nitride layer 40, but not region 116, 114, or any part of the diode underneath. The thickness of tungsten layers 42 and titanium nitride layers 40 provides a margin within which the trench etch can stop with no adverse effect on device performance. The conductive layers 42 and 40 may be exposed in trenches 310, but the semiconductor layers 112, 114, and 116 beneath are not.
  • In the present invention, then, a conductive hard mask is used to etch underlying features, and then to protect those features during a subsequent Damascene etch performed to form conductors which will provide electrical connectivity to the underlying features. Dielectric materials, like silicon dioxide or silicon nitride, are commonly used as hard masks. Because the hard mask of the present invention is of a conductive material, it need not be removed and can remain in the finished device.
  • In the embodiment described above, the methods of the invention bring additional advantages. As described, the vertically oriented diode of the present invention is a p-i-n diode. (A junction diode is considered to be vertically oriented if the p region is above or below the n region.) In a memory array, it is desirable to minimize variation between memory cells. The forward current and reverse leakage of the diode in this memory is strongly dependent on the thickness of intrinsic region 114.
  • In the method of fabrication described in FIGS. 5A-5C, there are several sources of variability among diodes. The rate of silicon deposition varies across a wafer, leading to variation in overall silicon thickness. Deposition of HDP oxide fill between the diodes is also nonuniform across the wafer and between wafers, as is the CMP step performed to expose the tops of the diodes at a planar surface as shown in FIG. 5A. Each of these sources of nonuniformity affects the ultimate thickness of the intrinsic region. In the present invention, however, the etch and HDP fill are performed only after the thicknesses of the diode regions has been established, and no CMP step is performed on the silicon. In the final memory array, variation in intrinsic regions is much reduced, as the only source of variation is inconsistency of silicon thickness during deposition. Further, sputtering inherent in an HDP fill process can cause corner clipping at the tops of features. When the conductive hard mask is used, the hard masks absorb this clipping rather than the diodes.
  • At smaller pitch, the width of conductors in a memory array like that shown in FIG. 2 must necessarily decrease. To provide adequate conductivity, conductors thus must become taller. Very thick layers can be difficult to etch, as the photoresist defining the feature may be entirely consumed before the etch is complete. Very high aspect ratio gaps also are difficult to fill without voids. Damascene construction avoids both of these disadvantages and thus may become an attractive option for conductors at smaller pitch. Further, due to the nature of photolithography, in general the dimensions of etched features tend to shrink, making them narrower than their projected mask size. Conductors formed subtractively thus tend to be smaller. When formed by a Damascene method, however, it is the dielectric fill rather than the conductors which are etched, and which thus tend to shrink during pattern and etch. For a given mask dimension, then, Damascene conductors will be slightly wider and thus slightly more conductive.
  • A multilevel memory array requires many masking steps. Each layer must be aligned to the previous layer. Alignment of a photomask is achieved by locating alignment marks formed in prior layers. To pattern and etch tungsten conductors subtractively, for example, the photomask must be aligned to an alignment mark which is covered by tungsten, which is opaque. To form tungsten conductors by a Damascene method, the photomask must be aligned to an alignment mark covered by oxide, which is generally transparent.
  • A detailed example of fabrication of a first memory level of a monolithic three dimensional memory array will be provided. For completeness, many materials, conditions, and step will be described. It will be understood, however, that many of these details can be modified, augmented, or omitted while the results fall within the scope of the invention.
  • Many details that may prove useful to forming the memory to be described below will be found in the '030 patent, the '549 and '530 applications, and in Herner et al., U.S. patent application Ser. No. 11/125,606, “High-Density Nonvolatile Memory Array Fabricated at Low Temperature Comprising Semiconductor Diodes,” filed May 9, 2005; and in Herner et al., U.S. patent application Ser. No. 11/125,939, “Rewriteable Memory Cell Comprising a Diode and a Resistance-Switching Material,” filed May 9, 2005, both hereby incorporated by reference. To avoid obscuring the invention, not all details from this patent and these applications will be included, but it will be understood that no teaching from them is intended to be excluded.
  • EXAMPLE
  • Turning to FIG. 7A, formation of the memory begins with a substrate 100. This substrate 100 can be any semiconducting substrate as known in the art, such as monocrystalline silicon, IV-IV compounds like silicon-germanium or silicon-germanium-carbon, III-V compounds, II-VII compounds, epitaxial layers over such substrates, or any other semiconducting material. The substrate may include integrated circuits fabricated therein.
  • An insulating layer 102 is formed over substrate 100. The insulating layer 102 can be silicon oxide, silicon nitride, high-dielectric film, Si—C—O—H film, or any other suitable insulating material.
  • The first conductors 200 are formed over the substrate and insulator. First conductors 200 are preferably formed by a Damascene method, but may be formed subtractively instead.
  • To form Damascene conductors, a thickness of dielectric material 208, preferably between about 1500 and about 3000 angstroms, for example about 2000 angstroms, is deposited. Dielectric material 208 is preferably a uniform dielectric such as TEOS.
  • Substantially parallel trenches are etched in dielectric 208. In one embodiment, these trenches are about 2000 angstroms deep. This etch may be timed, or, if desired, may stop on a previously deposited etch stop layer (not shown.)
  • The pitch and feature size of the conductors may be as desired. In the memory array to be formed the advantages of the methods of the present invention become more useful at smaller pitch, for example less than about 200 nm, for example between about 160 nm and about 90 nm. Trenches 310 in dielectric 208 may be less than about 100 nm wide, for example between about 80 and about 45 nm wide.
  • Any appropriate conductive material can be used to form conductors 200, such as a metal, metal alloy, conductive metal silicide, heavily doped silicon, etc. In a preferred embodiment, an adhesion layer 104, for example of titanium nitride, is deposited. Layer 104 may be between about 50 and about 400 angstroms thick, preferably about 100 angstroms thick. A conductive material 106, preferably tungsten or a tungsten alloy, is deposited to fill the trenches etched in dielectric 208. If some material other than tungsten is used, adhesion layer 104 may not be required.
  • Finally, excess tungsten and titanium nitride is removed, forming conductor rails 200 separated by dielectric material 208, and leaving a substantially planar surface 109. The resulting structure is shown in FIG. 7A. This removal of dielectric overfill to form planar surface 109 can be performed by any process known in the art, such as CMP or etchback. Some thickness of dielectric 208 will be removed during this CMP step; thus the final height of conductor rails 200 may be somewhat less than the initial thickness of dielectric layer 208 and the original depth of trenches; for example the height of conductor rails 200 may be about 1700 angstroms.
  • Next, turning to FIG. 7B, vertical pillars will be formed above completed conductor rails 200. FIG. 7B is rotated 90 degrees relative to FIG. 7A along line A-A′ of FIG. 7A; in FIG. 7A conductors 200 extended out of the page, while in FIG. 7B they extend left-to-right across the page. (To save space substrate 100 is omitted in FIG. 7B; its presence will be assumed.) If tungsten was used for conductive layer 106, it is preferred to use a barrier layer 110 between the lower conductor rails 200 and the semiconductor material to be deposited. Barrier layer 110 is any conventional conductive barrier material, for example titanium nitride. Its thickness can be, for example, about 50 to about 200 angstroms, preferably about 100 angstroms.
  • Next semiconductor material that will be patterned into pillars is deposited. The semiconductor material can be silicon, germanium, alloys of silicon and/or germanium, or other suitable semiconductor materials. Silicon is commonly used in the industry, so, for simplicity, this description will refer to the semiconductor material as silicon, but it will be understood that other materials may be substituted.
  • In preferred embodiments, the semiconductor pillar comprises a junction diode, the junction diode comprising a bottom heavily doped region of a first conductivity type and a top heavily doped region of a second conductivity type. The middle region, between the top and bottom regions, is an intrinsic or lightly doped region of either the first or second conductivity type. The middle region can intentionally be lightly doped, or it can be intrinsic. An intrinsic region will never be perfectly electrically neutral, and will always have defects or contaminants that cause it to behave as if slightly n-doped or p-doped.
  • In a preferred embodiment, heavily doped silicon region 112 is formed by a conventional method, for example by chemical vapor deposition (CVD). Heavily doped region 112 is preferably doped in situ. In this example, heavily doped region 112 will be n-type, while the top heavily doped region to be formed will be p-type; clearly the polarity of these diodes may be reversed. Heavily doped n-type region 112 is preferably between about 100 and about 1000 angstroms thick, preferably about 200 angstroms thick.
  • Next a thickness of intrinsic silicon 114 is deposited. This thickness is preferably between about 800 and about 2800 angstroms thick, most preferably about 2000 angstroms. If desired, this region may be lightly doped. Finally, top heavily doped region 116 is formed. This region may be implanted with a p-type dopant such as boron or BF2. In an alternative embodiment, heavily doped region 116 is in situ doped. The thickness of silicon diode stack 112, 114, and 116 is complete at this point. Generally regions 112, 114, and 116 will be amorphous as deposited and will be crystallized by an anneal or by subsequent thermal processing. In the finished memory, the diodes will preferably be polysilicon.
  • Next dielectric rupture antifuse layer 118 is formed. Antifuse 118 is preferably a silicon dioxide layer formed by oxidizing the underlying silicon in a rapid thermal anneal, for example at about 600 degrees. Antifuse 118 may be about 20 angstroms thick. Alternatively, antifuse 118 can be deposited.
  • Next a conductive layer or layerstack that will be patterned to form a hard mask is deposited. The thickness of this layerstack should be sufficient that a subsequent Damascene etch can reliably be stopped before this thickness is exceeded. In one example titanium nitride layer 40, about 200 angstroms thick, and tungsten layer 42, about 400 angstroms thick, are deposited. It may be preferred for tungsten layer 42 to be formed by sputtering, as sputtered tungsten is smoother and more readily patterned, resulting an a more uniform patterned feature after etch. In alternative embodiments, CVD tungsten may be used instead, and may be subjected to a CMP step to reduce surface roughness. Other materials may be used in the conductive layer or layerstack. The thickness of this conductive layer or layerstack may be adjusted as required, depending on the depth of the Damascene etch to be performed in a following step, the material to be etched, the controllability of this etch, etc. FIG. 7B shows the structure at this point.
  • Turning to FIG. 7C, tungsten layer 42, titanium nitride layer 40, antifuse 118, silicon regions 116, 114, and 112, and barrier layer 110 will be patterned and etched to form pillars 300. Conductive layers 40 and 42 constitute hard mask 44. This etch may be performed in a single etch chamber, modifying etch chemistry as required; alternatively conductive layers 42 and 40 may be etched in a metal etcher, then the wafer transferred to a polysilicon etcher where the silicon layers are etched. In either case, the layers 42 and 40 can be considered to serve as a hard mask during etch of underlying layers.
  • Pillars 300 should have about the same pitch and about the same width as conductors 200 below, such that each pillar 300 is formed on top of a conductor 200. Some misalignment can be tolerated. Pillars 300 can be formed using any suitable masking and etching process. For example, photoresist can be deposited, patterned using standard photolithography techniques, and etched, and then the photoresist removed. Preferably a layer of dielectric antireflective coating (DARC), for example about 320 angstroms, is deposited on tungsten layer 42 before the etch. In some embodiments it may be preferred to include an additional layer or layers above tungsten layer 42 during this photolithography and etch step. For example, 1500 angstroms of silicon dioxide (not shown) may be deposited directly on tungsten layer 42, then DARC deposited on this oxide layer. This will prevent or minimize loss of tungsten thickness during the subsequent etch. The oxide layer will be removed and will not exist in the finished device.
  • The photolithography techniques described in Chen, U.S. patent application Ser. No. 10/728,436, “Photomask Features with Interior Nonprinting Window Using Alternating Phase Shifting,” filed Dec. 5, 2003; or Chen, U.S. patent application Ser. No. 10/815,312, “Photomask Features with Chromeless Nonprinting Phase Shifting Window,” filed Apr. 1, 2004, both owned by the assignee of the present invention and hereby incorporated by reference, can advantageously be used to perform any photolithography step used in formation of a memory array according to the present invention.
  • Dielectric material 108 is deposited over and between pillars 300, filling the gaps between them. Dielectric material 108 is preferably high density plasma oxide, though other suitable dielectric materials may be used instead.
  • Next the dielectric material on top of the pillars 300 is removed, exposing the tops of pillars 300 separated by dielectric material 108, and leaving a substantially planar surface. This removal of dielectric overfill and planarization can be performed by any process known in the art, such as CMP or etchback. For example, the etchback techniques described in Raghuram et al., U.S. patent application Ser. No. 10/883,417, “Nonselective Unpatterned Etchback to Expose Buried Patterned Features,” filed Jun. 30, 2004 and hereby incorporated by reference in its entirety, can advantageously be used. The resulting structure is shown in FIG. 7C.
  • Note that each pillar 300 comprises a vertically oriented diode, a dielectric rupture antifuse, and a conductive layerstack. These pillars do not comprise a resistance-switching element, such as a binary metal oxide or nitride, as in embodiments of Herner et al., U.S. patent application Ser. No. 11/395,995, “Nonvolatile Memory Cell Comprising a Diode and a Resistance-Switching Material,” filed Mar. 31, 2006 and hereby incorporated by reference.
  • Overlying conductors can be formed in the same manner as the underlying conductors. Turning to FIG. 7D, a thickness of dielectric material 208, preferably between about 1500 and about 2000 angstroms, for example about 1700 angstroms, is deposited. Dielectric material 208 is preferably a uniform dielectric such as TEOS.
  • Substantially parallel trenches are etched in dielectric 208. In one embodiment, these trenches are about 1700 angstroms deep. This etch may be timed, or the etch may be stopped when tungsten at the top of pillars 300 is detected. If desired, to make the appearance of tungsten more readily detectable, larger tungsten structures (not shown) can be formed outside of the array area during the same etch step that forms the conductive hard mask. When these larger tungsten areas are detected, it can be assumed that the same thickness of fill 208 has been etched inside the array area as outside and that tops of pillars 300 thus must be exposed, and etching can be stopped.
  • The trench etch can readily be stopped before any portion of the underlying diodes is exposed. The lowest point in the trenches is above the lowest point of the conductive layerstack including tungsten layer 42 and titanium nitride layer 40.
  • The trenches in dielectric 208, in which top conductors 400 will be formed, should extend in a second direction different from the direction of bottom conductors 200, preferably substantially perpendicular to them. These trenches (and top conductors 400) should have the same pitch as underlying pillars 300, such that each pillar is vertically disposed between one of bottom conductors 200 and one of top conductors 400. This pitch is preferably between about 90 nm and about 200 nm, for example about 160 nm. Some misalignment can be tolerated.
  • Any appropriate conductive material can be used to form conductors 400. In a preferred embodiment, an adhesion layer 402, for example of titanium nitride, is deposited. Layer 402 may be between about 100 and about 400 angstroms thick, preferably about 100 angstroms thick. A conductive material 404, preferably tungsten, is deposited to fill trenches 310 in dielectric 208. If some material other than tungsten is used, adhesion layer 402 may not be required. In alternative embodiments, conductive material 404 may be some other conductive material such as aluminum or copper, or alloys thereof, using known methods.
  • Finally, excess tungsten and titanium nitride is removed, forming conductor rails 400 separated by dielectric material 208, and leaving a substantially planar surface. This removal of dielectric overfill to form a planar surface can be performed by any process known in the art, such as chemical mechanical planarization (CMP) or etchback. The resulting structure, shown in FIG. 7D, is a bottom or first story of memory cells. Note that each of the conductors 400 is electrically in contact with the conductive layerstack of the underlying pillars 300; for example titanium nitride layer 402, contacts tungsten layer 42. This conductor-to-conductor contact provides for reliable interconnectivity even in case of substantial misalignment.
  • Additional memory levels can be formed above this first memory level. In some embodiments, conductors can be shared between memory levels; i.e. top conductor 400 would serve as the bottom conductor of the next memory level. In other embodiments, an interlevel dielectric is formed above the first memory level of FIG. 7D, its surface planarized, and construction of a second memory level begins on this planarized interlevel dielectric, with no shared conductors. Ultimately the memory can be several stories high.
  • Each memory level comprises memory cells, each cell comprising a portion of a bottom conductor, one of the pillars, and a portion of a top conductor.
  • Throughout this description, one layer has been described as being “above” or “below” another. It will be understood that these terms describe the position of layers and elements relative to the substrate upon which they are formed, in most embodiments a monocrystalline silicon wafer substrate; one feature is above another when it is farther from the wafer substrate, and below another when it is closer. Though clearly the wafer, or the die, can be rotated in any direction, the relative orientation of features on the wafer or die will not change.
  • A monolithic three dimensional memory array is one in which multiple memory levels are formed above a single substrate, such as a wafer, with no intervening substrates. The layers forming one memory level are deposited or grown directly over the layers of an existing level or levels. In contrast, stacked memories have been constructed by forming memory levels on separate substrates and adhering the memory levels atop each other, as in Leedy, U.S. Pat. No. 5,915,167, “Three dimensional structure memory.” The substrates may be thinned or removed from the memory levels before bonding, but as the memory levels are initially formed over separate substrates, such memories are not true monolithic three dimensional memory arrays.
  • A monolithic three dimensional memory array formed above a substrate comprises at least a first memory level formed at a first height above the substrate and a second memory level formed at a second height different from the first height. Three, four, eight, or indeed any number of memory levels can be formed above the substrate in such a multilevel array.
  • Detailed methods of fabrication have been described herein, but any other methods that form the same structures can be used while the results fall within the scope of the invention.
  • The foregoing detailed description has described only a few of the many forms that this invention can take. For this reason, this detailed description is intended by way of illustration, and not by way of limitation. It is only the following claims, including all equivalents, which are intended to define the scope of this invention.

Claims (36)

1. A method for forming a monolithic three dimensional memory array, the method comprising:
a) forming a first memory level above a substrate by a method comprising:
i) forming a plurality of first substantially parallel conductors extending in a first direction;
ii) forming first pillars above the first conductors, each first pillar comprising a first conductive layer or layerstack above a vertically oriented diode, the first pillars formed in a single photolithography step;
iii) depositing a first dielectric layer above the first pillars;
iv) etching a plurality of substantially parallel first trenches in the first dielectric layer, the first trenches extending in a second direction, wherein, after the etching step, a lowest point in the trenches is above a lowest point of the first conductive layer or layerstack, wherein the first conductive layer or layerstack does not comprise a resistivity-switching metal oxide or nitride; and
b) monolithically forming a second memory level above the first memory level.
2. The method of claim 1, further comprising:
filling the first trenches with a second conductive material; and
planarizing to remove overfill of the second conductive material to form a plurality of substantially parallel second conductors.
3. The method of claim 2, wherein each first pillar is vertically disposed between one of the first conductors and one of the second conductors.
4. The method of claim 3, wherein each of the second conductors is electrically in contact with the first conductive layer or layerstack of at least one of the first pillars.
5. The method of claim 3, wherein the first memory level comprises a plurality of first memory cells, each first memory cell comprising a portion of one of the first conductors, one of the first pillars, and a portion of one of the second conductors.
6. The method of claim 2, wherein the second conductors comprise a metal or metal alloy.
7. The method of claim 6, wherein the metal or metal alloy comprise tungsten, copper, aluminum, or alloys thereof.
8. The method of claim 1, wherein the second direction is substantially perpendicular to the first direction.
9. The method of claim 1, wherein the vertically oriented diodes of the first pillars comprise silicon, germanium, or an alloy of silicon and/or germanium.
10. The method of claim 1, further comprising annealing the silicon, germanium or alloy of silicon and/or germanium to form polycrystalline diodes.
11. The method of claim 1, wherein the vertically oriented diodes of the first pillars are semiconductor junction diodes.
12. The method of claim 11, wherein the semiconductor junction diodes comprise p-i-n diodes.
13. The method of claim 1, wherein the first conductive layer or layerstack comprises a metal or metal alloy.
14. The method of claim 13, wherein the metal or metal alloy comprises tungsten or a tungsten alloy.
15. The method of claim 14, wherein the metal or metal alloy comprises tungsten deposited by sputtering.
16. The method of claim 1, wherein the substrate comprises monocrystalline silicon.
17. The method of claim 1, wherein forming the first pillars comprises:
depositing a layer of semiconductor material;
depositing the first conductive layer or layerstack above the layer of semiconductor material, wherein the layer of semiconductor material has not been etched;
patterning and etching the first conductive layer or layerstack; and
etching the layer of semiconductor material, wherein the etched first conductive layer or layerstack serves as a hard mask.
18. A first memory level formed above a substrate, the first memory level comprising:
a plurality of substantially parallel, substantially coplanar bottom conductors extending in a first direction;
a plurality of substantially parallel, substantially coplanar top conductors extending in a second direction different from the first direction, the top conductors above the bottom conductors; and
a plurality of first pillars, each first pillar vertically disposed between one of the bottom conductors and one of the top conductors, each first pillar comprising a vertically oriented diode and a conductive layer or layerstack, the conductive layer or layerstack above the vertically oriented diode,
wherein the conductive layer or layerstack of each first pillar is in contact with one of the top conductors, and
wherein the conductive layer or layerstack comprises a layer of a metal or a metal alloy.
19. The first memory level of claim 18, wherein the metal or metal alloy comprises tungsten or a tungsten alloy.
20. The first memory level of claim 18, wherein the vertically oriented diode of each of the first pillars comprises a semiconductor junction diode.
21. The first memory level of claim 20, wherein the vertically oriented diode of each of the first pillars comprises a p-i-n diode.
22. The first memory level of claim 20, wherein the vertically oriented diodes comprise polycrystalline semiconductor material.
23. The first memory level of claim 22, wherein the polycrystalline semiconductor material comprises silicon, germanium, or an alloy of silicon and/or germanium.
24. The first memory level of claim 18, wherein the top conductors are formed by a Damascene method.
25. The first memory level of claim 18, wherein the substrate comprises monocrystalline silicon.
26. The first memory level of claim 18, wherein the bottom conductors comprise tungsten or a tungsten alloy.
27. The first memory level of claim 18, wherein at least a second memory level is monolithically formed above the first memory level, the first and second memory levels both in a monolithic three dimensional memory array.
28. The first memory level of claim 18, further comprising nonvolatile memory cells, wherein each memory cell comprises one of the first pillars, a portion of one of the top conductors, and a portion of one of the bottom conductors.
29. A monolithic three dimensional memory array comprising:
a) a first memory level above a substrate, the first memory level comprising:
i) a plurality of substantially parallel, substantially coplanar bottom conductors extending in a first direction;
ii) a plurality of substantially parallel, substantially coplanar top conductors extending in a second direction different from the first direction, the top conductors above the bottom conductors; and
iii) a plurality of first pillars, each first pillar vertically disposed between one of the bottom conductors and one of the top conductors, each first pillar comprising a vertically oriented diode and a conductive layer or layerstack, the conductive layer or layerstack above the vertically oriented diode,
wherein the conductive layer or layerstack of each first pillar is in contact with one of the top conductors, wherein the conductive layer or layerstack comprises a layer of a metal or a metal alloy; and
b) a second memory level monolithically formed above the first memory level.
30. The monolithic three dimensional memory array of claim 29, wherein the metal or metal alloy comprises tungsten or a tungsten alloy.
31. The monolithic three dimensional memory array of claim 29, wherein the vertically oriented diode of each of the first pillars comprises a semiconductor junction diode.
32. The monolithic three dimensional memory array of claim 31, wherein the vertically oriented diode of each of the first pillars comprises a p-i-n diode.
33. The monolithic three dimensional memory array of claim 29, wherein the vertically oriented diode of each of the first pillars comprise polycrystalline semiconductor material.
34. The monolithic three dimensional memory array of claim 33, wherein the polycrystalline semiconductor material comprises silicon, germanium, or an alloy of silicon and/or germanium.
35. The monolithic three dimensional memory array of claim 29, wherein the top conductors are formed by a Damascene method.
36. The monolithic three dimensional memory array of claim 29, wherein the substrate comprises monocrystalline semiconductor material.
US12/502,796 2006-05-31 2009-07-14 Conductive hard mask to protect patterned features during trench etch Abandoned US20090273022A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US12/502,796 US20090273022A1 (en) 2006-05-31 2009-07-14 Conductive hard mask to protect patterned features during trench etch
US13/890,321 US8722518B2 (en) 2006-05-31 2013-05-09 Methods for protecting patterned features during trench etch

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/444,936 US7575984B2 (en) 2006-05-31 2006-05-31 Conductive hard mask to protect patterned features during trench etch
US12/502,796 US20090273022A1 (en) 2006-05-31 2009-07-14 Conductive hard mask to protect patterned features during trench etch

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/444,936 Division US7575984B2 (en) 2006-05-31 2006-05-31 Conductive hard mask to protect patterned features during trench etch

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US13/890,321 Division US8722518B2 (en) 2006-05-31 2013-05-09 Methods for protecting patterned features during trench etch

Publications (1)

Publication Number Publication Date
US20090273022A1 true US20090273022A1 (en) 2009-11-05

Family

ID=38596272

Family Applications (3)

Application Number Title Priority Date Filing Date
US11/444,936 Expired - Fee Related US7575984B2 (en) 2006-05-31 2006-05-31 Conductive hard mask to protect patterned features during trench etch
US12/502,796 Abandoned US20090273022A1 (en) 2006-05-31 2009-07-14 Conductive hard mask to protect patterned features during trench etch
US13/890,321 Expired - Fee Related US8722518B2 (en) 2006-05-31 2013-05-09 Methods for protecting patterned features during trench etch

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/444,936 Expired - Fee Related US7575984B2 (en) 2006-05-31 2006-05-31 Conductive hard mask to protect patterned features during trench etch

Family Applications After (1)

Application Number Title Priority Date Filing Date
US13/890,321 Expired - Fee Related US8722518B2 (en) 2006-05-31 2013-05-09 Methods for protecting patterned features during trench etch

Country Status (7)

Country Link
US (3) US7575984B2 (en)
EP (1) EP2025000A2 (en)
JP (1) JP2009539263A (en)
KR (1) KR20090046753A (en)
CN (1) CN101496174A (en)
TW (1) TWI357638B (en)
WO (1) WO2007143387A2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012082640A3 (en) * 2010-12-13 2012-09-20 Crocus Technology Inc. Magnetic random access memory cells having improved size and shape characteristics
US20120305884A1 (en) * 2008-10-30 2012-12-06 Gyuhwan Oh Variable resistance memory device and methods of forming the same
US8722518B2 (en) 2006-05-31 2014-05-13 Sandisk 3D Llc Methods for protecting patterned features during trench etch

Families Citing this family (94)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2001286432A1 (en) * 2000-08-14 2002-02-25 Matrix Semiconductor, Inc. Dense arrays and charge storage devices, and methods for making same
US7176064B2 (en) * 2003-12-03 2007-02-13 Sandisk 3D Llc Memory cell comprising a semiconductor junction diode crystallized adjacent to a silicide
US7767499B2 (en) * 2002-12-19 2010-08-03 Sandisk 3D Llc Method to form upward pointing p-i-n diodes having large and uniform current
US8018024B2 (en) 2003-12-03 2011-09-13 Sandisk 3D Llc P-i-n diode crystallized adjacent to a silicide in series with a dielectric antifuse
US7682920B2 (en) * 2003-12-03 2010-03-23 Sandisk 3D Llc Method for making a p-i-n diode crystallized adjacent to a silicide in series with a dielectric antifuse
US7800934B2 (en) 2005-09-28 2010-09-21 Sandisk 3D Llc Programming methods to increase window for reverse write 3D cell
US7754605B2 (en) * 2006-06-30 2010-07-13 Sandisk 3D Llc Ultrashallow semiconductor contact by outdiffusion from a solid source
US7811916B2 (en) * 2006-12-13 2010-10-12 Sandisk 3D Llc Method for isotropic doping of a non-planar surface exposed in a void
US7667999B2 (en) * 2007-03-27 2010-02-23 Sandisk 3D Llc Method to program a memory cell comprising a carbon nanotube fabric and a steering element
US7586773B2 (en) 2007-03-27 2009-09-08 Sandisk 3D Llc Large array of upward pointing p-i-n diodes having large and uniform current
US7982209B2 (en) * 2007-03-27 2011-07-19 Sandisk 3D Llc Memory cell comprising a carbon nanotube fabric element and a steering element
US7718546B2 (en) * 2007-06-27 2010-05-18 Sandisk 3D Llc Method for fabricating a 3-D integrated circuit using a hard mask of silicon-oxynitride on amorphous carbon
US8233308B2 (en) * 2007-06-29 2012-07-31 Sandisk 3D Llc Memory cell that employs a selectively deposited reversible resistance-switching element and methods of forming the same
US7846785B2 (en) 2007-06-29 2010-12-07 Sandisk 3D Llc Memory cell that employs a selectively deposited reversible resistance-switching element and methods of forming the same
US8349663B2 (en) * 2007-09-28 2013-01-08 Sandisk 3D Llc Vertical diode based memory cells having a lowered programming voltage and methods of forming the same
US20090086521A1 (en) * 2007-09-28 2009-04-02 Herner S Brad Multiple antifuse memory cells and methods to form, program, and sense the same
US8071475B2 (en) * 2007-09-28 2011-12-06 Sandisk 3D Llc Liner for tungsten/silicon dioxide interface in memory
JP2009130139A (en) * 2007-11-22 2009-06-11 Toshiba Corp Method of manufacturing nonvolatile semiconductor memory device
US7759201B2 (en) * 2007-12-17 2010-07-20 Sandisk 3D Llc Method for fabricating pitch-doubling pillar structures
US7887999B2 (en) * 2007-12-27 2011-02-15 Sandisk 3D Llc Method of making a pillar pattern using triple or quadruple exposure
US7746680B2 (en) * 2007-12-27 2010-06-29 Sandisk 3D, Llc Three dimensional hexagonal matrix memory array
US7706177B2 (en) 2007-12-28 2010-04-27 Sandisk 3D Llc Method of programming cross-point diode memory array
US7764534B2 (en) * 2007-12-28 2010-07-27 Sandisk 3D Llc Two terminal nonvolatile memory using gate controlled diode elements
US8878235B2 (en) 2007-12-31 2014-11-04 Sandisk 3D Llc Memory cell that employs a selectively fabricated carbon nano-tube reversible resistance-switching element and methods of forming the same
US20090166610A1 (en) * 2007-12-31 2009-07-02 April Schricker Memory cell with planarized carbon nanotube layer and methods of forming the same
US8236623B2 (en) * 2007-12-31 2012-08-07 Sandisk 3D Llc Memory cell that employs a selectively fabricated carbon nano-tube reversible resistance-switching element and methods of forming the same
US8558220B2 (en) * 2007-12-31 2013-10-15 Sandisk 3D Llc Memory cell that employs a selectively fabricated carbon nano-tube reversible resistance-switching element formed over a bottom conductor and methods of forming the same
US7906392B2 (en) * 2008-01-15 2011-03-15 Sandisk 3D Llc Pillar devices and methods of making thereof
DE102008004927A1 (en) * 2008-01-18 2009-07-30 Qimonda Ag Integrated circuit with interconnects and contact structures and method for producing such an integrated circuit
KR101418434B1 (en) * 2008-03-13 2014-08-14 삼성전자주식회사 Non-volatile memory device, method of fabricating the same, and processing system comprising the same
US7859887B2 (en) * 2008-04-11 2010-12-28 Sandisk 3D Llc Multilevel nonvolatile memory device containing a carbon storage material and methods of making and using same
US8304284B2 (en) * 2008-04-11 2012-11-06 Sandisk 3D Llc Memory cell that employs a selectively fabricated carbon nano-tube reversible resistance-switching element, and methods of forming the same
TW201001629A (en) * 2008-04-11 2010-01-01 Sandisk 3D Llc Memory cell that includes a carbon nano-tube reversible resistance-switching element and methods of forming the same
US7812335B2 (en) * 2008-04-11 2010-10-12 Sandisk 3D Llc Sidewall structured switchable resistor cell
US8530318B2 (en) * 2008-04-11 2013-09-10 Sandisk 3D Llc Memory cell that employs a selectively fabricated carbon nano-tube reversible resistance-switching element formed over a bottom conductor and methods of forming the same
US8445385B2 (en) * 2008-04-11 2013-05-21 Sandisk 3D Llc Methods for etching carbon nano-tube films for use in non-volatile memories
WO2009126846A1 (en) * 2008-04-11 2009-10-15 Sandisk 3D, Llc Damascene integration methods for graphitic films in three-dimensional memories and memories formed therefrom
US7830698B2 (en) * 2008-04-11 2010-11-09 Sandisk 3D Llc Multilevel nonvolatile memory device containing a carbon storage material and methods of making and using same
US7786015B2 (en) * 2008-04-28 2010-08-31 Sandisk 3D Llc Method for fabricating self-aligned complementary pillar structures and wiring
US8133793B2 (en) * 2008-05-16 2012-03-13 Sandisk 3D Llc Carbon nano-film reversible resistance-switchable elements and methods of forming the same
US7944728B2 (en) * 2008-12-19 2011-05-17 Sandisk 3D Llc Programming a memory cell with a diode in series by applying reverse bias
US7781269B2 (en) * 2008-06-30 2010-08-24 Sandisk 3D Llc Triangle two dimensional complementary patterning of pillars
US8569730B2 (en) * 2008-07-08 2013-10-29 Sandisk 3D Llc Carbon-based interface layer for a memory device and methods of forming the same
US7579232B1 (en) 2008-07-11 2009-08-25 Sandisk 3D Llc Method of making a nonvolatile memory device including forming a pillar shaped semiconductor device and a shadow mask
US8309407B2 (en) * 2008-07-15 2012-11-13 Sandisk 3D Llc Electronic devices including carbon-based films having sidewall liners, and methods of forming such devices
US20100032639A1 (en) * 2008-08-07 2010-02-11 Sandisk 3D Llc Memory cell that includes a carbon-based memory element and methods of forming the same
US8431417B2 (en) * 2008-08-19 2013-04-30 Sandisk 3D Llc Methods for increasing carbon nano-tube (CNT) yield in memory devices
US8835892B2 (en) * 2008-10-30 2014-09-16 Sandisk 3D Llc Electronic devices including carbon nano-tube films having boron nitride-based liners, and methods of forming the same
US20100108976A1 (en) * 2008-10-30 2010-05-06 Sandisk 3D Llc Electronic devices including carbon-based films, and methods of forming such devices
US8421050B2 (en) * 2008-10-30 2013-04-16 Sandisk 3D Llc Electronic devices including carbon nano-tube films having carbon-based liners, and methods of forming the same
US8193074B2 (en) * 2008-11-21 2012-06-05 Sandisk 3D Llc Integration of damascene type diodes and conductive wires for memory device
US8470646B2 (en) * 2008-12-31 2013-06-25 Sandisk 3D Llc Modulation of resistivity in carbon-based read-writeable materials
KR101598378B1 (en) 2009-03-04 2016-02-29 삼성전자주식회사 Method for forming the memory device
JP5337234B2 (en) * 2009-03-09 2013-11-06 株式会社東芝 Information recording / reproducing apparatus and manufacturing method thereof
US8183121B2 (en) 2009-03-31 2012-05-22 Sandisk 3D Llc Carbon-based films, and methods of forming the same, having dielectric filler material and exhibiting reduced thermal resistance
US7927977B2 (en) * 2009-07-15 2011-04-19 Sandisk 3D Llc Method of making damascene diodes using sacrificial material
US8481396B2 (en) * 2009-10-23 2013-07-09 Sandisk 3D Llc Memory cell that includes a carbon-based reversible resistance switching element compatible with a steering element, and methods of forming the same
US8551855B2 (en) * 2009-10-23 2013-10-08 Sandisk 3D Llc Memory cell that includes a carbon-based reversible resistance switching element compatible with a steering element, and methods of forming the same
US8551850B2 (en) * 2009-12-07 2013-10-08 Sandisk 3D Llc Methods of forming a reversible resistance-switching metal-insulator-metal structure
US8389375B2 (en) * 2010-02-11 2013-03-05 Sandisk 3D Llc Memory cell formed using a recess and methods for forming the same
US8237146B2 (en) * 2010-02-24 2012-08-07 Sandisk 3D Llc Memory cell with silicon-containing carbon switching layer and methods for forming the same
US20110210306A1 (en) * 2010-02-26 2011-09-01 Yubao Li Memory cell that includes a carbon-based memory element and methods of forming the same
US20110244683A1 (en) * 2010-04-01 2011-10-06 Michiaki Sano Fabricating Voids Using Slurry Protect Coat Before Chemical-Mechanical Polishing
US8471360B2 (en) 2010-04-14 2013-06-25 Sandisk 3D Llc Memory cell with carbon switching material having a reduced cross-sectional area and methods for forming the same
US20110278529A1 (en) * 2010-05-14 2011-11-17 Huiwen Xu Memory employing diamond-like carbon resistivity-switchable material and methods of forming the same
US9711237B2 (en) 2010-08-20 2017-07-18 Attopsemi Technology Co., Ltd. Method and structure for reliable electrical fuse programming
US10229746B2 (en) 2010-08-20 2019-03-12 Attopsemi Technology Co., Ltd OTP memory with high data security
US10249379B2 (en) 2010-08-20 2019-04-02 Attopsemi Technology Co., Ltd One-time programmable devices having program selector for electrical fuses with extended area
US10916317B2 (en) 2010-08-20 2021-02-09 Attopsemi Technology Co., Ltd Programmable resistance memory on thin film transistor technology
US9818478B2 (en) * 2012-12-07 2017-11-14 Attopsemi Technology Co., Ltd Programmable resistive device and memory using diode as selector
US10923204B2 (en) 2010-08-20 2021-02-16 Attopsemi Technology Co., Ltd Fully testible OTP memory
KR20120077505A (en) * 2010-12-30 2012-07-10 삼성전자주식회사 Nonvolatile semiconductor memory device and the method of fabricating the same
US10586832B2 (en) 2011-02-14 2020-03-10 Attopsemi Technology Co., Ltd One-time programmable devices using gate-all-around structures
US10192615B2 (en) 2011-02-14 2019-01-29 Attopsemi Technology Co., Ltd One-time programmable devices having a semiconductor fin structure with a divided active region
US8866121B2 (en) 2011-07-29 2014-10-21 Sandisk 3D Llc Current-limiting layer and a current-reducing layer in a memory device
US8659001B2 (en) 2011-09-01 2014-02-25 Sandisk 3D Llc Defect gradient to boost nonvolatile memory performance
US8623735B2 (en) 2011-09-14 2014-01-07 Globalfoundries Inc. Methods of forming semiconductor devices having capacitor and via contacts
US8637413B2 (en) 2011-12-02 2014-01-28 Sandisk 3D Llc Nonvolatile resistive memory element with a passivated switching layer
US8698119B2 (en) 2012-01-19 2014-04-15 Sandisk 3D Llc Nonvolatile memory device using a tunnel oxide as a current limiter element
US8686386B2 (en) 2012-02-17 2014-04-01 Sandisk 3D Llc Nonvolatile memory device using a varistor as a current limiter element
CN103378031B (en) * 2012-04-20 2016-02-03 南亚科技股份有限公司 Semiconductor chip and encapsulating structure with and forming method thereof
US9178009B2 (en) 2012-10-10 2015-11-03 Globalfoundries Inc. Methods of forming a capacitor and contact structures
US8809149B2 (en) 2012-12-12 2014-08-19 Globalfoundries Inc. High density serial capacitor device and methods of making such a capacitor device
US20140241031A1 (en) 2013-02-28 2014-08-28 Sandisk 3D Llc Dielectric-based memory cells having multi-level one-time programmable and bi-level rewriteable operating modes and methods of forming the same
US9583538B2 (en) 2013-02-28 2017-02-28 Kabushiki Kaisha Toshiba Semiconductor memory device having crossing interconnects separated by stacked films
US10043852B2 (en) * 2015-08-11 2018-08-07 Toshiba Memory Corporation Magnetoresistive memory device and manufacturing method of the same
US9923139B2 (en) * 2016-03-11 2018-03-20 Micron Technology, Inc. Conductive hard mask for memory device formation
TWI645541B (en) * 2016-07-19 2018-12-21 旺宏電子股份有限公司 Memory structure having array-under-periphery structure
US9806256B1 (en) 2016-10-21 2017-10-31 Sandisk Technologies Llc Resistive memory device having sidewall spacer electrode and method of making thereof
US11615859B2 (en) 2017-04-14 2023-03-28 Attopsemi Technology Co., Ltd One-time programmable memories with ultra-low power read operation and novel sensing scheme
US10535413B2 (en) 2017-04-14 2020-01-14 Attopsemi Technology Co., Ltd Low power read operation for programmable resistive memories
US10726914B2 (en) 2017-04-14 2020-07-28 Attopsemi Technology Co. Ltd Programmable resistive memories with low power read operation and novel sensing scheme
US11062786B2 (en) 2017-04-14 2021-07-13 Attopsemi Technology Co., Ltd One-time programmable memories with low power read operation and novel sensing scheme
US10770160B2 (en) 2017-11-30 2020-09-08 Attopsemi Technology Co., Ltd Programmable resistive memory formed by bit slices from a standard cell library

Citations (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5282158A (en) * 1992-08-21 1994-01-25 Micron Technology, Inc. Transistor antifuse for a programmable ROM
US5767012A (en) * 1996-06-05 1998-06-16 Advanced Micro Devices, Inc. Method of forming a recessed interconnect structure
US5915167A (en) * 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
US6015738A (en) * 1994-05-05 2000-01-18 California Institute Of Technology Method for fabricating transistorless, multistable current-mode memory cells and memory arrays
US6034882A (en) * 1998-11-16 2000-03-07 Matrix Semiconductor, Inc. Vertically stacked field programmable nonvolatile memory and method of fabrication
US6043163A (en) * 1997-12-29 2000-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. HCL in overetch with hard mask to improve metal line etching profile
US6326270B1 (en) * 1998-10-16 2001-12-04 Samsung Electronics Co., Ltd. Methods of forming integrated circuit memory devices using masking layers to inhibit overetching of impurity regions and conductive lines
US20030003647A1 (en) * 2001-06-30 2003-01-02 Dennison Charles H. Reduced area intersection between electrode and programming element
US6534841B1 (en) * 2001-12-14 2003-03-18 Hewlett-Packard Company Continuous antifuse material in memory structure
US6549447B1 (en) * 2001-10-31 2003-04-15 Peter Fricke Memory cell structure
US20040160818A1 (en) * 2002-08-02 2004-08-19 Unity Semiconductor Corporation Cross point memory array using multiple modes of operation
US20040208039A1 (en) * 2000-09-29 2004-10-21 Lowrey Tyler A. Single level metal memory cell using chalcogenide cladding
US6828229B2 (en) * 2001-05-10 2004-12-07 Samsung Electronics Co., Ltd. Method of manufacturing interconnection line in semiconductor device
US20050052915A1 (en) * 2002-12-19 2005-03-10 Matrix Semiconductor, Inc. Nonvolatile memory cell without a dielectric antifuse having high- and low-impedance states
US6872603B2 (en) * 2002-11-15 2005-03-29 Nec Lcd Technologies, Ltd. Method for forming interconnections including multi-layer metal film stack for improving corrosion and heat resistances
US20050121742A1 (en) * 2003-12-03 2005-06-09 Matrix Semiconductor, Inc Semiconductor device including junction diode contacting contact-antifuse unit comprising silicide
US6952030B2 (en) * 2002-12-19 2005-10-04 Matrix Semiconductor, Inc. High-density three-dimensional memory cell
US20050221200A1 (en) * 2004-04-01 2005-10-06 Matrix Semiconductor, Inc. Photomask features with chromeless nonprinting phase shifting window
US20050226067A1 (en) * 2002-12-19 2005-10-13 Matrix Semiconductor, Inc. Nonvolatile memory cell operating by increasing order in polycrystalline semiconductor material
US20060024904A1 (en) * 2003-06-24 2006-02-02 Wilson Aaron R Methods of forming a capacitors -
US7037762B2 (en) * 2002-05-10 2006-05-02 Samsung Electronics Co., Ltd. Phase changeable memory devices having multi-level data storage elements and methods of fabricating the same
US20060094154A1 (en) * 2004-10-29 2006-05-04 Hsiang-Lan Lung Common word line edge contact phase-change memory
US7041595B2 (en) * 1999-08-27 2006-05-09 Micron Technology, Inc. Method of forming a barrier seed layer with graded nitrogen composition
US20060250836A1 (en) * 2005-05-09 2006-11-09 Matrix Semiconductor, Inc. Rewriteable memory cell comprising a diode and a resistance-switching material
US20060249753A1 (en) * 2005-05-09 2006-11-09 Matrix Semiconductor, Inc. High-density nonvolatile memory array fabricated at low temperature comprising semiconductor diodes
US20060250837A1 (en) * 2005-05-09 2006-11-09 Sandisk 3D, Llc Nonvolatile memory cell comprising a diode and a resistance-switching material
US7172840B2 (en) * 2003-12-05 2007-02-06 Sandisk Corporation Photomask features with interior nonprinting window using alternating phase shifting
US7307013B2 (en) * 2004-06-30 2007-12-11 Sandisk 3D Llc Nonselective unpatterned etchback to expose buried patterned features
US20070284656A1 (en) * 2006-05-31 2007-12-13 Sandisk 3D Llc Conductive hard mask to protect patterned features during trench etch
US7399655B2 (en) * 2003-08-04 2008-07-15 Ovonyx, Inc. Damascene conductive line for contacting an underlying memory element

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6406959B2 (en) * 1999-01-04 2002-06-18 Micron Technology, Inc. Method of forming FLASH memory, method of forming FLASH memory and SRAM circuitry, and etching methods
US6952043B2 (en) * 2002-06-27 2005-10-04 Matrix Semiconductor, Inc. Electrically isolated pillars in active devices
TW583764B (en) 2002-11-11 2004-04-11 Macronix Int Co Ltd Mask ROM having diodes and manufacturing method thereof
EP1475840B1 (en) 2003-05-07 2006-07-19 STMicroelectronics S.r.l. Process for manufacturing a memory device having selector transistors for storage elements and memory device fabricated thereby
US6951780B1 (en) * 2003-12-18 2005-10-04 Matrix Semiconductor, Inc. Selective oxidation of silicon in diode, TFT, and monolithic three dimensional memory arrays

Patent Citations (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5282158A (en) * 1992-08-21 1994-01-25 Micron Technology, Inc. Transistor antifuse for a programmable ROM
US6015738A (en) * 1994-05-05 2000-01-18 California Institute Of Technology Method for fabricating transistorless, multistable current-mode memory cells and memory arrays
US5767012A (en) * 1996-06-05 1998-06-16 Advanced Micro Devices, Inc. Method of forming a recessed interconnect structure
US5915167A (en) * 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
US6043163A (en) * 1997-12-29 2000-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. HCL in overetch with hard mask to improve metal line etching profile
US6326270B1 (en) * 1998-10-16 2001-12-04 Samsung Electronics Co., Ltd. Methods of forming integrated circuit memory devices using masking layers to inhibit overetching of impurity regions and conductive lines
US6034882A (en) * 1998-11-16 2000-03-07 Matrix Semiconductor, Inc. Vertically stacked field programmable nonvolatile memory and method of fabrication
US7041595B2 (en) * 1999-08-27 2006-05-09 Micron Technology, Inc. Method of forming a barrier seed layer with graded nitrogen composition
US20040208039A1 (en) * 2000-09-29 2004-10-21 Lowrey Tyler A. Single level metal memory cell using chalcogenide cladding
US6828229B2 (en) * 2001-05-10 2004-12-07 Samsung Electronics Co., Ltd. Method of manufacturing interconnection line in semiconductor device
US20030003647A1 (en) * 2001-06-30 2003-01-02 Dennison Charles H. Reduced area intersection between electrode and programming element
US6549447B1 (en) * 2001-10-31 2003-04-15 Peter Fricke Memory cell structure
US6534841B1 (en) * 2001-12-14 2003-03-18 Hewlett-Packard Company Continuous antifuse material in memory structure
US7037762B2 (en) * 2002-05-10 2006-05-02 Samsung Electronics Co., Ltd. Phase changeable memory devices having multi-level data storage elements and methods of fabricating the same
US20040160818A1 (en) * 2002-08-02 2004-08-19 Unity Semiconductor Corporation Cross point memory array using multiple modes of operation
US6872603B2 (en) * 2002-11-15 2005-03-29 Nec Lcd Technologies, Ltd. Method for forming interconnections including multi-layer metal film stack for improving corrosion and heat resistances
US6952030B2 (en) * 2002-12-19 2005-10-04 Matrix Semiconductor, Inc. High-density three-dimensional memory cell
US20050052915A1 (en) * 2002-12-19 2005-03-10 Matrix Semiconductor, Inc. Nonvolatile memory cell without a dielectric antifuse having high- and low-impedance states
US20050226067A1 (en) * 2002-12-19 2005-10-13 Matrix Semiconductor, Inc. Nonvolatile memory cell operating by increasing order in polycrystalline semiconductor material
US20060024904A1 (en) * 2003-06-24 2006-02-02 Wilson Aaron R Methods of forming a capacitors -
US7399655B2 (en) * 2003-08-04 2008-07-15 Ovonyx, Inc. Damascene conductive line for contacting an underlying memory element
US20050121742A1 (en) * 2003-12-03 2005-06-09 Matrix Semiconductor, Inc Semiconductor device including junction diode contacting contact-antifuse unit comprising silicide
US7172840B2 (en) * 2003-12-05 2007-02-06 Sandisk Corporation Photomask features with interior nonprinting window using alternating phase shifting
US20050221200A1 (en) * 2004-04-01 2005-10-06 Matrix Semiconductor, Inc. Photomask features with chromeless nonprinting phase shifting window
US7307013B2 (en) * 2004-06-30 2007-12-11 Sandisk 3D Llc Nonselective unpatterned etchback to expose buried patterned features
US20060094154A1 (en) * 2004-10-29 2006-05-04 Hsiang-Lan Lung Common word line edge contact phase-change memory
US20060250836A1 (en) * 2005-05-09 2006-11-09 Matrix Semiconductor, Inc. Rewriteable memory cell comprising a diode and a resistance-switching material
US20060249753A1 (en) * 2005-05-09 2006-11-09 Matrix Semiconductor, Inc. High-density nonvolatile memory array fabricated at low temperature comprising semiconductor diodes
US20060250837A1 (en) * 2005-05-09 2006-11-09 Sandisk 3D, Llc Nonvolatile memory cell comprising a diode and a resistance-switching material
US20070284656A1 (en) * 2006-05-31 2007-12-13 Sandisk 3D Llc Conductive hard mask to protect patterned features during trench etch
US7575984B2 (en) * 2006-05-31 2009-08-18 Sandisk 3D Llc Conductive hard mask to protect patterned features during trench etch

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8722518B2 (en) 2006-05-31 2014-05-13 Sandisk 3D Llc Methods for protecting patterned features during trench etch
US20120305884A1 (en) * 2008-10-30 2012-12-06 Gyuhwan Oh Variable resistance memory device and methods of forming the same
US8558348B2 (en) * 2008-10-30 2013-10-15 Samsung Electronics Co., Ltd. Variable resistance memory device and methods of forming the same
WO2012082640A3 (en) * 2010-12-13 2012-09-20 Crocus Technology Inc. Magnetic random access memory cells having improved size and shape characteristics
US8962493B2 (en) 2010-12-13 2015-02-24 Crocus Technology Inc. Magnetic random access memory cells having improved size and shape characteristics

Also Published As

Publication number Publication date
US8722518B2 (en) 2014-05-13
KR20090046753A (en) 2009-05-11
EP2025000A2 (en) 2009-02-18
WO2007143387A3 (en) 2008-01-31
TW200807641A (en) 2008-02-01
US20070284656A1 (en) 2007-12-13
US7575984B2 (en) 2009-08-18
CN101496174A (en) 2009-07-29
JP2009539263A (en) 2009-11-12
TWI357638B (en) 2012-02-01
WO2007143387A2 (en) 2007-12-13
US20130244395A1 (en) 2013-09-19

Similar Documents

Publication Publication Date Title
US8722518B2 (en) Methods for protecting patterned features during trench etch
US7405465B2 (en) Deposited semiconductor structure to minimize n-type dopant diffusion and method of making
JP5139269B2 (en) High density non-volatile memory arrays fabricated at low temperature including semiconductor diodes.
US7560339B2 (en) Nonvolatile memory cell comprising a reduced height vertical diode
US7265049B2 (en) Ultrathin chemically grown oxide film as a dopant diffusion barrier in semiconductor devices
US7238607B2 (en) Method to minimize formation of recess at surface planarized by chemical mechanical planarization
US7422985B2 (en) Method for reducing dielectric overetch using a dielectric etch stop at a planar surface
US20070102724A1 (en) Vertical diode doped with antimony to avoid or limit dopant diffusion
US7811916B2 (en) Method for isotropic doping of a non-planar surface exposed in a void

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: SANDISK TECHNOLOGIES INC., TEXAS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:SANDISK 3D LLC.;REEL/FRAME:038300/0665

Effective date: 20160324

AS Assignment

Owner name: SANDISK TECHNOLOGIES INC., TEXAS

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE INCORRECT LISTED PATENT NUMBER 8853569 TO THE CORRECT PATENT NUMBER 8883569 PREVIOUSLY RECORDED ON REEL 038300 FRAME 0665. ASSIGNOR(S) HEREBY CONFIRMS THE ASSIGNMENT;ASSIGNOR:SANDISK 3D LLC;REEL/FRAME:038520/0552

Effective date: 20160324

AS Assignment

Owner name: SANDISK TECHNOLOGIES LLC, TEXAS

Free format text: CHANGE OF NAME;ASSIGNOR:SANDISK TECHNOLOGIES INC;REEL/FRAME:038809/0672

Effective date: 20160516