US20090267176A1 - A method for forming a multi-layer shallow trench isolation structure in a semiconductor device - Google Patents

A method for forming a multi-layer shallow trench isolation structure in a semiconductor device Download PDF

Info

Publication number
US20090267176A1
US20090267176A1 US12/111,355 US11135508A US2009267176A1 US 20090267176 A1 US20090267176 A1 US 20090267176A1 US 11135508 A US11135508 A US 11135508A US 2009267176 A1 US2009267176 A1 US 2009267176A1
Authority
US
United States
Prior art keywords
oxide layer
layer
shallow trench
doped oxide
range
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US12/111,355
Other versions
US7611963B1 (en
Inventor
Shu-Tine Yang
Chen-Hua Yu
Chu-Yun Fu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US12/111,355 priority Critical patent/US7611963B1/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: FU, CHU-YUN, YANG, SHU-TINE, YU, CHEN-HUA
Publication of US20090267176A1 publication Critical patent/US20090267176A1/en
Application granted granted Critical
Publication of US7611963B1 publication Critical patent/US7611963B1/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Element Separation (AREA)

Abstract

The disclosure describes a multi-layer shallow trench isolation structure in a semiconductor device. The shallow trench isolation structure may include a first void-free, doped oxide layer in the shallow trench, and a second void-free layer above the first doped oxide layer. The first layer may be formed by vapor deposition of precursors of a source of silicon, a source of oxygen and sources of doping materials and making the layer void-free by reflowing the initial layer by an annealing process. The second layer may be formed by vapor deposition of precursors of silicon and doping materials and making the layer void-free by reflowing the initial layer by an annealing process. Alternatively, the second layer may be a silicon oxide layer that may be formed by an atomic layer deposition method. The processing conditions for forming the two layers are different.

Description

    BACKGROUND
  • The present disclosure relates generally to the field of shallow trench isolation structures in semiconductor substrates of integrated circuits, and, more specifically, to the field of multilayer shallow trench isolation structures in semiconductor substrates.
  • In very large scale integrated circuits (VLSIs), huge numbers of discrete electronic devices are present on a semiconductor substrate. Since all electronic devices are becoming miniaturized, the space between the devices is getting narrower. Due to this, isolation of the devices from each other is becoming increasingly difficult. It is common to etch shallow trenches in silicon substrates to achieve isolation of discrete electronic devices in VLSIs
  • However, the shallow trench isolation structures used in the art have some disadvantages. For example, voids are formed in the dielectric materials used to fill the shallow trench. Such voids formed in the dielectric materials adversely affect isolation of the devices which affects the overall structural integrity of the integrated circuits.
  • Accordingly, it would be desirable to provide an improved process for preparation of shallow trench isolation structures. In particular, the method should overcome the problem of void formation and provide effective device isolation.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1-10 illustrate a first embodiment of the invention, showing steps for the formation of a shallow trench isolation structure in a silicon substrate. The trench is filled with a first doped oxide layer, a second doped oxide layer, and a cap oxide layer.
  • FIG. 1 shows a schematic cross-section of the silicon substrate, having a pad oxide layer and a pad silicon nitride layer deposited above the silicon substrate.
  • FIG. 2 shows a schematic cross-section of the silicon substrate having an etched shallow trench.
  • FIG. 3 shows formation of an oxide layer above a floor and sidewalls of the shallow trench.
  • FIG. 4 shows formation of a silicon nitride layer above the oxide layer.
  • FIG. 5 shows deposition of the first doped oxide layer in the shallow trench without overhang formation at the top corner of the trench.
  • FIG. 6 shows elimination of seams or voids, if present, in the first doped oxide layer by using a high temperature annealing process.
  • FIG. 7 shows deposition of a second doped oxide layer above the first doped oxide layer in the shallow trench without overhang formation at the top corner of the trench.
  • FIG. 8 shows elimination of seams or voids, if present, in the second doped oxide layer by using a high temperature annealing process.
  • FIG. 9 shows deposition of a cap oxide layer, above the second doped oxide layer, to completely fill the shallow trench.
  • FIG. 10 shows removal of cap oxide above the silicon substrate by a chemical mechanical polishing (CMP) process, leveling the shallow trench with the surrounding silicon substrate.
  • FIGS. 1-6 and 11-12 together illustrate a second embodiment of the invention, showing the steps for formation of a shallow trench isolation structure having a first doped oxide layer, a silicon oxide layer formed by atomic layer deposition, and a cap oxide layer.
  • The steps shown in FIGS. 1-6 are the same for the first and second embodiments of the invention. FIG. 11 shows deposition of a silicon oxide layer above the first doped oxide layer by atomic layer deposition (ALD).
  • FIG. 12 shows removal of the silicon oxide above the silicon substrate by a chemical mechanical polishing (CMP) process, leveling the shallow trench with the surrounding silicon substrate.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is emphasized that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may have been arbitrarily increased or reduced for clarity of discussion. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for simplicity and clarity and does not in itself indicate a relationship between the various embodiments discussed.
  • The following disclosure provides many different embodiments, or examples, for implementing different features of the invention. These are, of course, merely examples and are not intended to limit the full scope of the invention.
  • FIGS. 1-10 illustrate a first embodiment of the invention, showing steps for the formation of a shallow trench isolation structure in a semiconductor substrate (for example, silicon substrate). In this embodiment, the trench is filled with a first doped oxide layer, a second doped oxide layer, and a cap oxide layer. The steps in the process of making this embodiment of the shallow trench isolation structure are described in detail in the following paragraphs.
  • A schematic cross-section of a silicon substrate 102 is shown in FIG. 1. A pad oxide layer 104 and a pad silicon nitride layer 106 may be present on the surface of the silicon substrate 102, as shown in FIG. 1. The pad silicon nitride layer 106 acts as a stop layer for chemical mechanical polishing (CMP).
  • As shown in FIG. 2, a shallow trench 202 may be etched through the nitride layer 106 and the oxide layer 104 into the substrate 102 by a dry etch method. The shallow trench 202 may also be formed by other methods known in the art.
  • Another step in the embodiment involves formation of an oxide layer 302 on the floor and sidewalls of the shallow trench, as shown in FIG. 3. Formation of the oxide layer 302 may be carried out by an in-situ steam generation (ISSG) process, a thermal process, etc. There may also be annealing of the oxide layer 302.
  • Another step in this embodiment involves deposition of a silicon nitride layer 402 above the oxide layer 302 as shown in FIG. 4. Formation of the silicon nitride layer 402 may be achieved by nitridation of the oxide layer 302 or a portion of the oxide layer using a variety of methods, for example, plasma treatment, thermal treatment, etc., and using a variety of nitrogen precursors, for example, ammonia (NH3), nitrogen (N2), nitrous oxide (N2O), etc. Plasma treatment may involve use of plasma enhanced chemical vapor deposition (PECVD) treatment, decoupled plasma nitridation (DPN), etc. Thermal treatment may involve use of furnace, rapid thermal process (RTP), etc. Formation of the silicon nitride layer 402 may also be achieved by deposition of silicon nitride using a furnace, a PECVD method, etc. Liner oxide nitridation or additional liner SiN deposition can be used to prevent Boron & Phosphorus of BPSG from out-diffusing to substrate silicon during the subsequent re-flow process and other thermal processes.
  • FIG. 5 shows another step in this embodiment. This step involves deposition of a first doped oxide layer 502 in the shallow trench 202 under a set of processing conditions that may collectively be called a first processing condition. Deposition of the first doped oxide layer 502 may involve use of precursors of silicon oxide, for example, silane (SiH4), tetraethylorthosilicate (TEOS), etc. as a source for the silicon oxide component. The doping components in the first doped oxide layer may be boron, phosphorous, etc. The first processing condition includes within its definition, among other things, concentrations of the doping materials like boron, phosphorous, etc, and the temperature and pressure at which the deposition of the first oxide layer occurs. In a preferred embodiment, boron concentration may be in a range between approximately 4 and 8 weight percentage, phosphorous concentration in a range between approximately 3 and 6 weight percentage, and the aggregate of boron and phosphorous concentration less than 10.0 weight percentage. Boron may be deposited using precursors, for example, diborane (B2H6), triethylborane (TEB), etc. Phosphorous may be deposited using precursors, for example, phosphorus hydride (PH3), triethylphosphate (TEPO), etc. The first processing condition further includes a temperature in a range between about 300 and 600° C. and a pressure in a range between about 100 and 300 torr. Deposition of the first doped oxide layer 502 may be carried out using sub-atmospheric pressure chemical vapor deposition (SACVD), PECVD, etc. under specified conditions, for example, the first processing condition. Low deposition rate with higher boron concentration of the first doped oxide layer can avoid overhang formation at trench top corners.
  • During the process of deposition of the first doped oxide layer in the shallow trench, seams or voids may be created in the first doped oxide layer. Any voids or seams formed during the initial deposition of the first doped oxide layer may be removed by a thermal annealing (re-flow) process as shown in FIG. 6. A high temperature annealing process may be performed at a temperature in a range between about 800 and 1200° C. and in an ambient atmosphere that may include oxygen, nitrogen, air, etc. using a rapid thermal annealing (RTA) method, a furnace method, etc. The high temperature annealing procedure results in reflowing of the first doped oxide layer 502, due to which seams or voids, if present, are eliminated and a void-free first doped oxide layer 602 is formed as shown in FIG. 6.
  • FIG. 7 shows another step in this embodiment that involves deposition of a second doped oxide layer 802 in the shallow trench 202 under a set of processing conditions that may collectively be called a second processing condition. Deposition of the second doped oxide layer 802 may also involve use of precursors of silicon oxide, for example, silane (SiH4), tetraethylorthosilicate (TEOS), etc. as a source for the silicon oxide component. The doping components in the second doped oxide layer may be boron, phosphorous, etc. The first processing condition includes within its definition, among other things, concentrations of the doping materials like boron, phosphorous, etc, and the temperature and pressure at which the deposition of the first oxide layer occurs. The boron concentration may preferably be in a range between approximately 4 and 6 weight percentage, phosphorous concentration may preferably be in a range between approximately 4 and 6 weight percentage, and the aggregate of boron and phosphorous concentration may preferably be less than 10.0 weight percentage. Boron may be deposited using precursors, for example, diborane (B2H6), triethylborane (TEB), etc. Phosphorous may be deposited using precursors, for example, phosphorus hydride (PH3), triethylphosphate (TEPO), etc. The temperature may preferably be in a range between about 300 and 600° C. and the pressure may preferably be in a range between about 100 and 300 torr. Deposition of the second doped oxide layer 802 may be carried out using sub-atmospheric pressure chemical vapor deposition (SACVD), PECVD, etc. under specified conditions, for example, the first processing condition. Deposition of the second doped oxide layer 802 may employ high deposition rate with lower boron concentration than that of the first doped oxide layer. The second doped oxide layer deposition may be done in such a way that overhang formation at trench top corners can be avoided. The second BPSG deposition can use higher deposition rate with lower Boron concentration than that of the first BPSG deposition for the consistence of throughput and gapfill.
  • During the process of deposition of the second doped oxide layer in the shallow trench, a seam or void can be created in the second doped oxide layer. Any voids or seams formed during the initial deposition of the second doped oxide layer may be removed by a thermal annealing (re-flow) process as shown in FIG. 8. A high temperature annealing process may be performed at a temperature in a range between about 600 and 1200° C. and in an ambient atmosphere that may include oxygen, nitrogen, air, etc. using a rapid thermal annealing (RTA) method, a furnace method, etc. The high temperature annealing procedure results in reflowing of the second doped oxide layer 802, due to which seams or voids, if present, are eliminated and a void-free second doped oxide layer 902 is formed. One distinguishing feature of this embodiment is that the first processing condition for deposition of the first doped oxide layer may be different from the second processing condition for deposition of the second doped oxide layer. Similarly, the annealing conditions for the first and second doped oxide layers may be different from each other.
  • In a preferred embodiment, the thickness ratio between the first void-free doped oxide layer 602 and the second void-free doped oxide layer 902 may vary in a range between about 0.1 and 1.
  • FIG. 9 shows another step in this embodiment that involves deposition of a cap oxide layer 1102 to fill the remaining portion of the shallow trench 202. Deposition of the cap oxide layer 1102 may be carried out using a variety of methods, including for example, sub-atmospheric pressure chemical vapor deposition (SACVD), high-density plasma CVD of undoped silicon glass (HDP-USG), plasma enhanced silicon oxide (PEOX), etc. The cap oxide layer 1102 can serve as a diffusion barrier to prevent out-diffusion of boron and phosphorus from the doped oxide layers to top devices during the subsequent re-flow and other thermal processes. In addition, the cap oxide layer 1102 can also protect the doped oxide layers from being wet etched during the subsequent wet dip steps.
  • Another step in this embodiment involves removal of the cap oxide film and/or the doped oxide layers above the pad silicon nitride layer 106 by using CMP, leveling the shallow trench 202 with the surrounding silicon substrate, as shown in FIG. 10.
  • FIGS. 1-6 and FIGS. 11-12 together illustrate a second embodiment of the invention, showing steps for the formation of a shallow trench isolation structure having a first doped oxide layer and a second silicon oxide layer deposited by atomic layer deposition. The steps in the process of making the second embodiment of the shallow trench isolation structure are described in detail in the following paragraphs.
  • A schematic cross-section of a silicon substrate 102 is shown in FIG. 1. A pad oxide layer 104 and a pad silicon nitride layer 106 may be present on the surface of the silicon substrate 102, as shown in FIG. 1. The pad silicon nitride layer 106 acts as a stop layer for chemical mechanical polishing (CMP).
  • As shown in FIG. 2, a shallow trench 202 may be etched through the nitride layer 106 and the oxide layer 104 into the substrate 102 by a dry etch method. The shallow trench 202 may also be formed by other methods well known in the art.
  • Another step in the method of the embodiment involves formation of an oxide layer 302 the floor and sidewalls of the shallow trench, as shown in FIG. 3. In a preferred embodiment, formation of the oxide layer 302 may be carried out bay a variety of methods, for example, an in-situ steam generation (ISSG) process, a thermal process, etc. The preferred embodiment may further comprise annealing of the oxide layer 302.
  • FIG. 4 shows another step in this embodiment that involves deposition of a silicon nitride layer 402 above the oxide layer 302. Formation of the silicon nitride layer 402 may be achieved by nitridation of the oxide layer 302 using a variety of methods, for example, plasma treatment, thermal treatment, etc., and using a variety of nitrogen precursors, for example, ammonia (NH3), nitrogen (N2), nitrous oxide (N2O), etc. Plasma treatment may involve use of plasma enhanced chemical vapor deposition (PECVD) treatment, decoupled plasma nitridation (DPN), etc. Thermal treatment may involve use of furnace, rapid thermal process (RTP), etc. Formation of the silicon nitride layer 402 may also be achieved by deposition of silicon nitride using a furnace, a PECVD method, etc. Liner oxide nitridation or additional liner SiN deposition is used to prevent Boron & Phosphorus of BPSG from out-diffusing to substrate silicon during the subsequent re-flow process and other thermal processes.
  • FIG. 5 shows another step in this embodiment. This step involves deposition of a first doped oxide layer 502 in the shallow trench 202 under a set of processing conditions that may collectively be called a first processing condition. Deposition of the first doped oxide layer 502 may involve use of precursors of silicon oxide, for example, silane (SiH4), tetraethylorthosilicate (TEOS), etc. as a source for the silicon oxide component. The doping components in the first doped oxide layer may be boron, phosphorous, etc. The first processing condition includes within its definition, among other things, having boron concentration in a range between approximately 4 and 8 weight percent, phosphorous concentration in a range between approximately 3 and 6 weight percent, and the aggregate of boron and phosphorous concentrations less than 10.0 weight percent. Boron may be deposited using a variety of precursors, for example, diborane (B2H6), triethylborane (TEB), etc. Phosphorous may be deposited using precursors, for example, phosphorus hydride (PH3), triethylphosphate (TEPO), etc. Low deposition rate with higher boron concentration of the first doped oxide layer can avoid overhang formation at trench top corners. The first processing condition further includes a temperature in a range between about 300 and 600° C. and a pressure in a range between about 100 and 300 torr. Deposition of the first doped oxide layer 502 may be carried out using sub-atmospheric pressure chemical vapor deposition (SACVD), PECVD, etc.
  • Seams or voids may be created during the process of deposition of the first doped oxide layer 502 in the shallow trench. Any voids or seams formed during the initial deposition of the first doped oxide layer 502 may be removed by a thermal annealing (re-flow) process as shown in FIG. 6. A high temperature annealing process may be performed at a temperature in a range between about 800 and 1200° C. and an ambient atmosphere including oxygen, nitrogen or air, using a rapid thermal annealing (RTA), a furnace method, etc. The high temperature annealing procedure results in reflowing of the first doped oxide layer 502, due to which seams or voids, if present, are eliminated and a void-free first doped oxide layer 602 is formed.
  • FIG. 11 shows another step of the embodiment that involves deposition of a silicon oxide layer 1302 above the first doped oxide layer 602 by using atomic layer deposition (ALD). Various oxide layers formed above the pad nitride layer 106 may be removed by CMP, leveling the shallow trench with the surrounding substrate, as shown in FIG. 12.
  • In an embodiment, the thickness ratio between the doped oxide layer 602 and the silicon oxide layer 1302 may be in a range between about 0.1 and 1.
  • Features of several embodiments have been outline above. Those skilled in the art will appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages as the embodiments introduced above. In one example, the doped oxide filled in the shallow trench includes more than two doped oxide layers each with different doping concentrations. In furtherance of the example, the doping concentration and other deposition conditions may continuously shift such that a graded doped oxide layer is filled in the shallow trench. In another example, the liner layer including the oxide layer 302 and silicon nitride layer 402 lining the shallow trench may alternatively include a silicon oxide layer, a silicon nitride layer, a silicon oxynitride layer, and/or various combinations thereof. In other examples, additional processing steps, such as annealing and CMP may present in various embodiments of the present disclosure to optimize the gap filling of the shallow trench. Those skilled in the art will also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions and alterations to the disclosed embodiments without departing from the spirit and scope of the present disclosure.

Claims (20)

1. A multi-layer shallow trench isolation structure in a semiconductor device, the structure comprising:
a shallow trench etched in a silicon substrate of a semiconductor device;
a dielectric liner layer deposited on a floor and walls of the shallow trench;
a first doped oxide layer in the shallow trench, the first layer formed by a first processing condition; and
a second doped oxide layer above the first doped oxide layer, the second layer formed at a second processing condition, different from the first processing condition.
2. The shallow trench isolation structure of claim 1, wherein:
the dielectric liner layer comprises a silicon oxide layer and a Nitrogen containing layer
3. The shallow trench isolation structure of claim 1, wherein a thickness ratio between the first doped oxide layer and the second layer is in the range of approximately 0.1 to 1.
4. The shallow trench isolation structure of claim 1, wherein the second layer is a doped oxide layer, formed by vapor deposition of precursors of silicon and doping materials.
5. The shallow trench isolation structure of claim 1, wherein:
the doping materials in the first doped oxide layer comprise boron and phosphorus;
the first processing condition comprises boron concentration in the range of approximately 4-8 wt %, phosphorus concentration in the range of approximately 3-6 wt %
6. The shallow trench isolation structure of claim 1, wherein:
the doping materials in the second doped oxide layer are boron and phosphorus;
the second processing condition comprises boron concentration in the range of approximately 4-6 wt %, phosphorus concentration in the range of approximately 4-6 wt %
7. The shallow trench isolation structure of claim 1, further comprising a cap oxide layer deposited above the second doped oxide layer, keeping a thickness ratio between the second doped oxide layer and the cap oxide layer in the range of approximately 1 to 6.
8. The shallow trench isolation structure of claim 1, wherein material above the silicon substrate, after deposition of each of the first doped oxide layer, the second doped oxide layer, and the cap oxide layer, is removed by chemical mechanical polishing for leveling the shallow trench with the surrounding silicon substrate.
9. The shallow trench isolation of claim 1, further comprising a third oxide layer interposed between the first doped oxide layer and the second doped oxide layer, the third layer formed at a third processing condition, different from the first and second processing conditions.
10. (canceled)
11. The method of claim 12, wherein:
the dielectric liner layer comprises a silicon oxide layer and a Nitrogen containing layer;
12. A method for forming a multi-layer shallow trench isolation structure in a semiconductor device, the method comprising the steps of:
etching a shallow trench in a silicon substrate of a semiconductor device;
forming a dielectric liner layer on a floor and walls of the shallow trench;
forming a first doped oxide layer in the shallow trench, the first layer formed by vapor deposition of precursors including a source of silicon, a source of oxygen. and sources of doping materials at a first processing condition; and
forming a second doped oxide layer above and in direct contact with the first doped oxide layer by vapor deposition using precursors of silicon and doping materials, at a second processing condition. different from the first processing condition; wherein
the doping materials in the first doped oxide layer comprise boron and phosphorus; and
the first processing condition comprises boron concentration in the range of approximately 4-8 wt %, phosphorus concentration in the range of approximately 3-6 wt %.
13. The method of claim 12, wherein:
the doping materials in the second doped oxide layer are boron and phosphorus;
the second processing condition comprises boron concentration in the range of approximately 4-6 wt %, phosphorus concentration in the range of approximately 4-6 wt %
14. The method of claim 12, further comprising depositing a cap oxide layer above the second doped oxide layer, keeping a thickness ratio between the second doped oxide layer and the cap oxide layer in the range of approximately 1 to 6.
15. The method of claim 12, wherein a thickness ratio between the first doped oxide layer and the second doped oxide layer is in the range of approximately 0.1 to 1.
16. (canceled)
17. The method of claim 18, wherein: the dielectric liner layer comprises a silicon oxide layer and a Nitrogen containing layer;
18. A method for forming a multi-layer shallow trench isolation structure in a semiconductor device, the method comprising the steps of:
etching a shallow trench in a silicon substrate of a semiconductor device;
forming a dielectric liner layer on a floor and walls of the shallow trench;
forming a doped oxide layer above the dielectric liner layer by vapor deposition using precursors of silicon and doping materials; and
forming a silicon oxide layer above the doped oxide layer by atomic layer deposition; wherein:
a thickness ratio of the doped oxide layer to the silicon oxide layer is in the range of approximately 0.1 to 1;
the doping materials in the first doped oxide layer comprise boron and phosphorus; and
doping components of the doped oxide layer comprise boron concentration in the range of approximately 4-8 wt %, phosphorus concentration in the range of approximately 3-6 wt %.
19. (canceled)
20. The method of claim 18, further comprising depositing a cap oxide layer above the silicon oxide layer, keeping a thickness ratio between the silicon oxide layer and the cap oxide layer in the range of approximately 1 to 6.
US12/111,355 2008-04-29 2008-04-29 Method for forming a multi-layer shallow trench isolation structure in a semiconductor device Expired - Fee Related US7611963B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/111,355 US7611963B1 (en) 2008-04-29 2008-04-29 Method for forming a multi-layer shallow trench isolation structure in a semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/111,355 US7611963B1 (en) 2008-04-29 2008-04-29 Method for forming a multi-layer shallow trench isolation structure in a semiconductor device

Publications (2)

Publication Number Publication Date
US20090267176A1 true US20090267176A1 (en) 2009-10-29
US7611963B1 US7611963B1 (en) 2009-11-03

Family

ID=41214167

Family Applications (1)

Application Number Title Priority Date Filing Date
US12/111,355 Expired - Fee Related US7611963B1 (en) 2008-04-29 2008-04-29 Method for forming a multi-layer shallow trench isolation structure in a semiconductor device

Country Status (1)

Country Link
US (1) US7611963B1 (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120149172A1 (en) * 2010-12-08 2012-06-14 Nanya Technology Corporation Method for fabricating trench isolation structure
FR2981502A1 (en) * 2011-10-18 2013-04-19 St Microelectronics Crolles 2 METHOD FOR PRODUCING AT LEAST ONE DEEP INSULATION TRENCH
US20130171837A1 (en) * 2012-01-02 2013-07-04 Te-Lin Sun Semiconductor process
US20140179092A1 (en) * 2012-12-26 2014-06-26 SK Hynix Inc. Method for forming void-free polysilicon and method for fabricating semiconductor device using the same
US20140213034A1 (en) * 2013-01-29 2014-07-31 United Microelectronics Corp. Method for forming isolation structure
TWI512828B (en) * 2012-01-02 2015-12-11 United Microelectronics Corp Semiconductor process
US20180151693A1 (en) * 2016-11-29 2018-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET Device and Method of Forming the Same
CN109524345A (en) * 2018-10-19 2019-03-26 武汉新芯集成电路制造有限公司 Fleet plough groove isolation structure and its manufacturing method and semiconductor devices
TWI684671B (en) * 2014-02-26 2020-02-11 美商蘭姆研究公司 Inhibitor plasma mediated atomic layer deposition for seamless feature fill
CN111490003A (en) * 2020-04-07 2020-08-04 中芯国际集成电路制造(深圳)有限公司 Method for forming semiconductor structure

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7811935B2 (en) * 2006-03-07 2010-10-12 Micron Technology, Inc. Isolation regions and their formation
US8263502B2 (en) * 2008-08-13 2012-09-11 Synos Technology, Inc. Forming substrate structure by filling recesses with deposition material
KR101541779B1 (en) * 2009-01-16 2015-08-05 삼성전자주식회사 Semiconductor device and method of manufacturing the same
US20130292791A1 (en) * 2012-05-01 2013-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for forming the same

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6465325B2 (en) * 2001-02-27 2002-10-15 Fairchild Semiconductor Corporation Process for depositing and planarizing BPSG for dense trench MOSFET application
US20040192009A1 (en) * 2003-03-26 2004-09-30 Infineon Technologies North America Corp. Trench isolation employing a doped oxide trench fill
US20040198019A1 (en) * 2003-04-02 2004-10-07 Renesas Technology Corp. Manufacturing method of semiconductor device
US7160787B2 (en) * 2001-08-09 2007-01-09 Samsung Electronics Co., Ltd. Structure of trench isolation and a method of forming the same
US20090020845A1 (en) * 2007-07-16 2009-01-22 Samsung Electronics Co., Ltd. Shallow trench isolation structures for semiconductor devices including doped oxide film liners and methods of manufacturing the same
US7482246B2 (en) * 2005-05-18 2009-01-27 Hynix Semiconductor Inc. Trench isolation structure in a semiconductor device and method for fabricating the same

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6465325B2 (en) * 2001-02-27 2002-10-15 Fairchild Semiconductor Corporation Process for depositing and planarizing BPSG for dense trench MOSFET application
US7160787B2 (en) * 2001-08-09 2007-01-09 Samsung Electronics Co., Ltd. Structure of trench isolation and a method of forming the same
US20040192009A1 (en) * 2003-03-26 2004-09-30 Infineon Technologies North America Corp. Trench isolation employing a doped oxide trench fill
US20040198019A1 (en) * 2003-04-02 2004-10-07 Renesas Technology Corp. Manufacturing method of semiconductor device
US7482246B2 (en) * 2005-05-18 2009-01-27 Hynix Semiconductor Inc. Trench isolation structure in a semiconductor device and method for fabricating the same
US20090020845A1 (en) * 2007-07-16 2009-01-22 Samsung Electronics Co., Ltd. Shallow trench isolation structures for semiconductor devices including doped oxide film liners and methods of manufacturing the same

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120149172A1 (en) * 2010-12-08 2012-06-14 Nanya Technology Corporation Method for fabricating trench isolation structure
US8921183B2 (en) * 2010-12-08 2014-12-30 Nanya Technology Corporation Method for fabricating trench isolation structure
FR2981502A1 (en) * 2011-10-18 2013-04-19 St Microelectronics Crolles 2 METHOD FOR PRODUCING AT LEAST ONE DEEP INSULATION TRENCH
US8975154B2 (en) 2011-10-18 2015-03-10 Stmicroelectronics Sa Process for producing at least one deep trench isolation
US20130171837A1 (en) * 2012-01-02 2013-07-04 Te-Lin Sun Semiconductor process
US8889523B2 (en) * 2012-01-02 2014-11-18 United Microelectronics Corp. Semiconductor process
TWI512828B (en) * 2012-01-02 2015-12-11 United Microelectronics Corp Semiconductor process
US9460964B2 (en) * 2012-12-26 2016-10-04 SK Hynix Inc. Method for forming void-free polysilicon and method for fabricating semiconductor device using the same
US20140179092A1 (en) * 2012-12-26 2014-06-26 SK Hynix Inc. Method for forming void-free polysilicon and method for fabricating semiconductor device using the same
US9287163B2 (en) * 2012-12-26 2016-03-15 SK Hynix Inc. Method for forming void-free polysilicon and method for fabricating semiconductor device using the same
US20160163594A1 (en) * 2012-12-26 2016-06-09 SK Hynix Inc. Method for forming void-free polysilicon and method for fabricating semiconductor device using the same
US20140213034A1 (en) * 2013-01-29 2014-07-31 United Microelectronics Corp. Method for forming isolation structure
TWI684671B (en) * 2014-02-26 2020-02-11 美商蘭姆研究公司 Inhibitor plasma mediated atomic layer deposition for seamless feature fill
US20180151693A1 (en) * 2016-11-29 2018-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET Device and Method of Forming the Same
US10115639B2 (en) * 2016-11-29 2018-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming the same
CN109524345A (en) * 2018-10-19 2019-03-26 武汉新芯集成电路制造有限公司 Fleet plough groove isolation structure and its manufacturing method and semiconductor devices
CN111490003A (en) * 2020-04-07 2020-08-04 中芯国际集成电路制造(深圳)有限公司 Method for forming semiconductor structure

Also Published As

Publication number Publication date
US7611963B1 (en) 2009-11-03

Similar Documents

Publication Publication Date Title
US7611963B1 (en) Method for forming a multi-layer shallow trench isolation structure in a semiconductor device
US10249577B2 (en) Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US7033945B2 (en) Gap filling with a composite layer
US9396986B2 (en) Mechanism of forming a trench structure
US8580649B2 (en) Method for manufacturing semiconductor device
US9666524B2 (en) Electro-migration enhancing method for self-forming barrier process in copper mettalization
US7763522B2 (en) Method of high density plasma gap-filling with minimization of gas phase nucleation
US20050282350A1 (en) Atomic layer deposition for filling a gap between devices
US9786542B2 (en) Mechanisms for forming semiconductor device having isolation structure
US20210083048A1 (en) Semiconductor device
TWI434349B (en) Semiconductor device, method of seamless gap filling and method for fabricating shallow trench isolation structure
US20100240194A1 (en) Method of fabricating semiconductor device
US7166519B2 (en) Method for isolating semiconductor devices with use of shallow trench isolation method
US20050253199A1 (en) Semiconductor device and manufacturing method thereof
US20190139757A1 (en) Methods of forming silicon oxide layer and semiconductor structure
US20070059900A1 (en) Multi-step depositing process
US20160020139A1 (en) Gap-filling dielectric layer method for manufacturing the same and applications thereof
KR100972675B1 (en) Method of forming isolation layer in semiconductor device
US8193056B2 (en) Method of manufacturing semiconductor device
US6888225B2 (en) Process of final passivation of an integrated circuit device
KR100559675B1 (en) Method for manufacturing sti of semiconductor device
US7538009B2 (en) Method for fabricating STI gap fill oxide layer in semiconductor devices
KR20040055877A (en) Passivation method for semiconductor process
KR20110075698A (en) Gap-filling method for insulation layer of semiconductor device using bottom layer dependency
KR20070044933A (en) Method for fabricating interlayer dielectric in semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.,

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:YANG, SHU-TINE;YU, CHEN-HUA;FU, CHU-YUN;REEL/FRAME:021247/0603

Effective date: 20080415

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

SULP Surcharge for late payment

Year of fee payment: 7

FEPP Fee payment procedure

Free format text: MAINTENANCE FEE REMINDER MAILED (ORIGINAL EVENT CODE: REM.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

LAPS Lapse for failure to pay maintenance fees

Free format text: PATENT EXPIRED FOR FAILURE TO PAY MAINTENANCE FEES (ORIGINAL EVENT CODE: EXP.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20211103