US20090170241A1 - Semiconductor Device and Method of Forming the Device Using Sacrificial Carrier - Google Patents

Semiconductor Device and Method of Forming the Device Using Sacrificial Carrier Download PDF

Info

Publication number
US20090170241A1
US20090170241A1 US11/964,397 US96439707A US2009170241A1 US 20090170241 A1 US20090170241 A1 US 20090170241A1 US 96439707 A US96439707 A US 96439707A US 2009170241 A1 US2009170241 A1 US 2009170241A1
Authority
US
United States
Prior art keywords
contact pads
forming
conductive layer
molding compound
insulating layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/964,397
Inventor
Il Kwon Shim
Yaojian Lin
Seng Guan Chow
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Stats Chippac Pte Ltd
Original Assignee
Stats Chippac Pte Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Assigned to STATS CHIPPAC, LTD. reassignment STATS CHIPPAC, LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHOW, SENG GUAN, LIN, YAOJIAN, SHIM, IL KWON
Priority to US11/964,397 priority Critical patent/US20090170241A1/en
Application filed by Stats Chippac Pte Ltd filed Critical Stats Chippac Pte Ltd
Priority to TW097140574A priority patent/TWI463573B/en
Priority to SG200807963-4A priority patent/SG153722A1/en
Priority to KR1020080115303A priority patent/KR101533459B1/en
Publication of US20090170241A1 publication Critical patent/US20090170241A1/en
Priority to US12/615,428 priority patent/US7923295B2/en
Priority to US13/038,843 priority patent/US20120217634A9/en
Assigned to CITICORP INTERNATIONAL LIMITED, AS COMMON SECURITY AGENT reassignment CITICORP INTERNATIONAL LIMITED, AS COMMON SECURITY AGENT SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: STATS CHIPPAC LTD., STATS CHIPPAC, INC.
Assigned to STATS CHIPPAC PTE. LTE. reassignment STATS CHIPPAC PTE. LTE. CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: STATS CHIPPAC LD.
Assigned to STATS ChipPAC Pte. Ltd. reassignment STATS ChipPAC Pte. Ltd. CORRECTIVE ASSIGNMENT TO CORRECT THE ASSIGNOR NAME PREVIOUSLY RECORDED AT REEL: 039514 FRAME: 0451. ASSIGNOR(S) HEREBY CONFIRMS THE CHANGE OF NAME. Assignors: STATS CHIPPAC LTD.
Assigned to STATS CHIPPAC PTE. LTD. FORMERLY KNOWN AS STATS CHIPPAC LTD., STATS CHIPPAC, INC. reassignment STATS CHIPPAC PTE. LTD. FORMERLY KNOWN AS STATS CHIPPAC LTD. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: CITICORP INTERNATIONAL LIMITED, AS COMMON SECURITY AGENT
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/18Printed circuits structurally associated with non-printed electric components
    • H05K1/182Printed circuits structurally associated with non-printed electric components associated with components mounted in the printed circuit board, e.g. insert mounted components [IMC]
    • H05K1/185Components encapsulated in the insulating substrate of the printed circuit or incorporated in internal layers of a multilayer circuit
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/568Temporary substrate used as encapsulation process aid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/96Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being encapsulated in a common layer, e.g. neo-wafer or pseudo-wafer, said common layer being separable into individual assemblies after connecting
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/18Printed circuits structurally associated with non-printed electric components
    • H05K1/182Printed circuits structurally associated with non-printed electric components associated with components mounted in the printed circuit board, e.g. insert mounted components [IMC]
    • H05K1/185Components encapsulated in the insulating substrate of the printed circuit or incorporated in internal layers of a multilayer circuit
    • H05K1/186Components encapsulated in the insulating substrate of the printed circuit or incorporated in internal layers of a multilayer circuit manufactured by mounting on or connecting to patterned circuits before or during embedding
    • H05K1/187Components encapsulated in the insulating substrate of the printed circuit or incorporated in internal layers of a multilayer circuit manufactured by mounting on or connecting to patterned circuits before or during embedding the patterned circuits being prefabricated circuits, which are not yet attached to a permanent insulating substrate, e.g. on a temporary carrier
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04042Bonding areas specifically adapted for wire connectors, e.g. wirebond pads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L2224/24Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
    • H01L2224/241Disposition
    • H01L2224/24135Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/24137Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being arranged next to each other, e.g. on a common substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/4805Shape
    • H01L2224/4809Loop shape
    • H01L2224/48091Arched
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/48221Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/48225Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/48227Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation connecting the wire to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73265Layer and wire connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/82Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI]
    • H01L2224/82009Pre-treatment of the connector or the bonding area
    • H01L2224/8203Reshaping, e.g. forming vias
    • H01L2224/82035Reshaping, e.g. forming vias by heating means
    • H01L2224/82039Reshaping, e.g. forming vias by heating means using a laser
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L24/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00014Technical content checked by a classifier the subject-matter covered by the group, the symbol of which is combined with the symbol of this group, being disclosed without further technical details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01005Boron [B]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01006Carbon [C]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01047Silver [Ag]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01082Lead [Pb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/014Solder alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/1517Multilayer substrate
    • H01L2924/15192Resurf arrangement of the internal vias
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19041Component type being a capacitor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19042Component type being an inductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19043Component type being a resistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/191Disposition
    • H01L2924/19101Disposition of discrete passive components
    • H01L2924/19107Disposition of discrete passive components off-chip wires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/30105Capacitance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/3025Electromagnetic shielding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/10Details of components or other objects attached to or integrated in a printed circuit board
    • H05K2201/10613Details of electrical connections of non-printed components, e.g. special leads
    • H05K2201/10621Components characterised by their electrical contacts
    • H05K2201/10674Flip chip
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/10Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern
    • H05K3/20Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern by affixing prefabricated conductor pattern
    • H05K3/205Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern by affixing prefabricated conductor pattern using a pattern electroplated or electroformed on a metallic carrier
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/46Manufacturing multilayer circuits
    • H05K3/4644Manufacturing multilayer circuits by building the multilayer layer by layer, i.e. build-up multilayer circuits

Abstract

A semiconductor device is made by forming contact pads on a sacrificial carrier. The contact pads may be formed on a pillar. A semiconductor die is mounted to electrically connect to the contact pads with solder bumps or wire bonds. The semiconductor die is encapsulated with molding compound. The sacrificial carrier is removed. A backside interconnect structure has a first conductive layer formed over the molding compound to electrically connect to the contact pads. A first insulating layer is formed over the first conductive layer. A portion of the first insulating layer is removed to expose the first conductive layer. Solder material is deposited in electrical contact with the first conductive layer. The solder material is reflowed to form a solder bump. A wire bond electrically connects to a contact pad. A front-side interconnect structure can be formed through the molding compound to the contact pads.

Description

    FIELD OF THE INVENTION
  • The present invention relates in general to semiconductor devices and, more particularly, to a semiconductor device and method of forming the device using a sacrificial carrier.
  • BACKGROUND OF THE INVENTION
  • Semiconductor devices are found in many products in the fields of entertainment, communications, networks, computers, and household markets. Semiconductor devices are also found in military, aviation, automotive, industrial controllers, and office equipment. The semiconductor devices perform a variety of electrical functions necessary for each of these applications.
  • The manufacture of semiconductor devices involves formation of a wafer having a plurality of die. Each semiconductor die contains hundreds or thousands of transistors and other active and passive devices performing a variety of electrical functions. For a given wafer, each die from the wafer typically performs the same electrical function. Front-end manufacturing generally refers to formation of the semiconductor devices on the wafer. The finished wafer has an active side containing the transistors and other active and passive components. Back-end manufacturing refers to cutting or singulating the finished wafer into the individual die and then packaging the die for structural support and environmental isolation.
  • One goal of semiconductor manufacturing is to produce a package suitable for faster, reliable, smaller, and higher-density integrated circuits (IC) at lower cost. Flip chip packages or wafer level chip scale packages (WLCSP) are ideally suited for ICs demanding high speed, high density, and greater pin count. Flip chip style packaging involves mounting the active side of the die facedown toward a chip carrier substrate or printed circuit board (PCB). The electrical and mechanical interconnect between the active devices on the die and conduction tracks on the carrier substrate is achieved through a solder bump structure comprising a large number of conductive solder bumps or balls. The solder bumps are formed by a reflow process applied to solder material deposited on contact pads which are disposed on the semiconductor substrate. The solder bumps are then soldered to the carrier substrate. The flip chip semiconductor package provides a short electrical conduction path from the active devices on the die to the carrier substrate in order to reduce signal propagation, lower capacitance, and achieve overall better circuit performance.
  • In many applications, it is desirable to stack WLCSPs. Appropriate electrical interconnect must be provided for complete device integration. The interconnect typically involves formation of redistribution layers (RDL) and other conductive lines and tracks. These metal lines have limited pitch and line spacing due to etching processing. The formation of the interconnect structure requires a high degree of alignment accuracy in attaching the die to the wafer carrier for subsequent encapsulation and further RDL buildup processes.
  • A need exists to form the interconnect structures for WLCSPs while accounting for the interconnect alignment requirements.
  • SUMMARY OF THE INVENTION
  • In one embodiment, the present invention is a method of making a semiconductor device comprising the steps of providing a sacrificial carrier, forming a plurality of contact pads on the sacrificial carrier, mounting a first semiconductor die to electrically connect to the contact pads, encapsulating the first semiconductor die with molding compound, removing the sacrificial carrier, forming a first conductive layer over the molding compound in electrical contact with the contact pads, forming a first insulating layer over the first conductive layer, removing a portion of the first insulating layer to expose the first conductive layer, depositing solder material in electrical contact with the first conductive layer, and reflowing the solder material to form a solder bump.
  • In another embodiment, the present invention is a method of making a semiconductor device comprising the steps of providing a sacrificial carrier, forming a plurality of contact pads on the sacrificial carrier, mounting a first semiconductor die to electrically connect to the contact pads, encapsulating the first semiconductor die with molding compound, forming a first conductive layer over the molding compound in electrical contact with the contact pads, forming a first insulating layer over the first conductive layer, and removing a portion of the first insulating layer to expose the first conductive layer.
  • In another embodiment, the present invention is a method of making a semiconductor package comprising the steps of providing a sacrificial carrier, forming a plurality of contact pads on the sacrificial carrier, mounting a first semiconductor die to electrically connect to the contact pads, encapsulating the first semiconductor die with molding compound, and forming an interconnect structure over the molding compound in electrical contact with the contact pads.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a flip chip semiconductor device with solder bumps providing electrical interconnect between an active area of the die and a chip carrier substrate;
  • FIGS. 2 a-2 f illustrate formation of a semiconductor package using a sacrificial carrier;
  • FIG. 3 illustrates the semiconductor package with solder bumps and wire bonds;
  • FIGS. 4 a-4 c illustrate an alternate formation of the semiconductor package with a sacrificial carrier;
  • FIG. 5 illustrates the semiconductor package with wire bond interconnects to the semiconductor die;
  • FIGS. 6 a-6 b illustrate the semiconductor package with front-side and backside interconnects;
  • FIG. 7 illustrates the semiconductor package with pillars under the contact pads;
  • FIG. 8 illustrates the semiconductor package with solder bump and wire bond interconnects to the die;
  • FIG. 9 illustrates the semiconductor package with underfill material disposed under the semiconductor die;
  • FIG. 10 illustrates the semiconductor package with secondary die mounted to the front-side interconnects;
  • FIG. 11 illustrates the semiconductor package with the sacrificial carrier left intact for heat dissipation; and
  • FIG. 12 illustrates the semiconductor package with photoresist left intact between the contact pads.
  • DETAILED DESCRIPTION OF THE DRAWINGS
  • The present invention is described in one or more embodiments in the following description with reference to the Figures, in which like numerals represent the same or similar elements. While the invention is described in terms of the best mode for achieving the invention's objectives, it will be appreciated by those skilled in the art that it is intended to cover alternatives, modifications, and equivalents as may be included within the spirit and scope of the invention as defined by the appended claims and their equivalents as supported by the following disclosure and drawings.
  • The manufacture of semiconductor devices involves formation of a wafer having a plurality of die. Each die contains hundreds or thousands of transistors and other active and passive devices performing one or more electrical functions. For a given wafer, each die from the wafer typically performs the same electrical function. Front-end manufacturing generally refers to formation of the semiconductor devices on the wafer. The finished wafer has an active side containing the transistors and other active and passive components. Back-end manufacturing refers to cutting or singulating the finished wafer into the individual die and then packaging the die for structural support and/or environmental isolation.
  • A semiconductor wafer generally includes an active surface having semiconductor devices disposed thereon, and a backside surface formed with bulk semiconductor material, e.g., silicon. The active side surface contains a plurality of semiconductor die. The active surface is formed by a variety of semiconductor processes, including layering, patterning, doping, and heat treatment. In the layering process, semiconductor materials are grown or deposited on the substrate by techniques involving thermal oxidation, nitridation, chemical vapor deposition, evaporation, and sputtering. Photolithography involves the masking of areas of the surface and etching away undesired material to form specific structures. The doping process injects concentrations of dopant material by thermal diffusion or ion implantation.
  • Flip chip semiconductor packages and wafer level packages (WLP) are commonly used with integrated circuits (ICs) demanding high speed, high density, and greater pin count. Flip chip style semiconductor device 10 involves mounting an active area 12 of die 14 facedown toward a chip carrier substrate or printed circuit board (PCB) 16, as shown in FIG. 1. Active area 12 contains active and passive devices, conductive layers, and dielectric layers according to the electrical design of the die. Analog circuits may be created by the combination of one or more passive device formed within active area 12 and may be electrically interconnected. For example, an analog circuit may include one or more inductor, capacitor and resistor formed within active area 12. The electrical and mechanical interconnect is achieved through a solder bump structure 20 comprising a large number of individual conductive solder bumps or balls 22. The solder bumps are formed on bump pads or interconnect sites 24, which are disposed on active area 12. The bump pads 24 connect to the active circuits by conduction tracks in active area 12. The solder bumps 22 are electrically and mechanically connected to contact pads or interconnect sites 26 on carrier substrate 16 by a solder reflow process. The flip chip semiconductor device provides a short electrical conduction path from the active devices on die 14 to conduction tracks on carrier substrate 16 in order to reduce signal propagation, lower capacitance, and achieve overall better circuit performance.
  • Further detail of forming a semiconductor package in accordance with semiconductor device 10 is shown in FIGS. 2 a-2 f. In FIG. 2 a, a dummy or sacrificial metal carrier 30 is shown. Metal carrier 30 is made with copper (Cu), aluminum (Al), or other stiff material. Carrier 30 can also be flexible tape. A photoresist layer 32 is deposited on metal carrier 30. A plurality of openings is formed by a photo patterning process to define areas for selective plating. Contact pads 34 are then selectively plated on photoresist defined opening areas. Contact pads 34 can be made with Cu, tin (Sn), nickel (Ni), gold (Au), or silver (Ag). Metal carrier 30 serves as a support member and plating current path for the electroplating process to form wettable metal contact pads 34 on the metal carrier. Part or all of photoresist 32 is removed by a resist stripper. Alternatively, a layer of photoresist 32 may remain between contact pads 34.
  • In FIG. 2 b, semiconductor die 36 and 40 are mounted to contact pads 34 on metal carrier 30 with solder bumps 38 and 42, respectively. Alternatively, discrete components or other semiconductor packages can be mounted to contact pads 34. An optional underfill material can be formed below semiconductor die 36 and 40. A molding compound 44 is formed around semiconductor die 36 and 40 to encapsulate the die, interconnections, and contact pads. The metal carrier is removed by an etching process to expose contact pads 34 as shown in FIG. 2 c.
  • In FIG. 2 d, the semiconductor die are inverted such that contact pads 34 face upward. An optional process carrier 50 is mounted to a backside of the semiconductor die using adhesive layer 48 to support the package. The adhesive layer can be made with thermally or ultraviolet (UV) light releasable temporary adhesive, typically having a glass transition temperature (Tg) of at least 150° C. A conductive layer 46 is sputtered and patterned, or selectively plated, on a surface of molded compound 44 using an adhesion layer, such as titanium (Ti). Conductive layer 46 is made with Cu, Al, Au, or alloys thereof. Conductive layer 46 electrically connects to contact pads 34 according to the electrical function and interconnect requirements of semiconductor die 36 and 40.
  • In FIG. 2 e, an insulating layer 51 is formed over molding compound 44 and conductive layer 46. The insulating layer 51 can be made with single or multiple layers of photosensitive polymer material or other dielectric material having low cure temperature, e.g. less than 200° C. A portion of insulating layer 51 is removed by an etching process, such as photo patterning or chemical etching, to form openings and expose conductive layer 46. A conductive layer 52 is formed over insulating layer 51 to electrically contact conductive layer 46. An insulating layer 54 is formed over conductive layer 52 and insulating layer 51. The insulating layer 54 can be made with single or multiple layers of photosensitive polymer material or other dielectric material having low cure temperature, e.g. less than 200° C. A portion of insulating layer 54 is removed by an etching process, such as photo patterning or chemical etching, to form openings and expose conductive layer 52. Conductive layers 46 and 52 and insulating layers 51 and 54 constitute a portion of an interconnect structure which routes electrical signals between semiconductor die 36 and 40, as well as external to the package. Additional insulating layers and conductive layers can be used in the interconnect structure.
  • In FIG. 2 f, an electrically conductive solder material is deposited over conductive layer 52 through an evaporation, electrolytic plating, electroless plating, ball drop, or screen printing process. The solder material can be any metal or electrically conductive material, e.g., Sn, lead (Pb), Ni, Au, Ag, Cu, bismuthinite (Bi) and alloys thereof. The solder material is reflowed by heating the conductive material above its melting point to form spherical balls or bumps 56. In some applications, solder bumps 56 are reflowed a second time to improve electrical contact to conductive layer 52. An additional under bump metallization can optionally be formed under solder bumps 56. The interconnections can be solder bumps or bond wires.
  • Process carrier 50 and adhesive layer 48 are removed. Alternatively, process carrier 50 and adhesive layer 48 can remain attached to the semiconductor device and operate as a heat sink for thermal dissipation or electromagnetic interference (EMI) barrier.
  • FIG. 3 illustrates the semiconductor device from FIGS. 2 a-2 f with semiconductor device 58 electrically connected to solder bumps 56. In addition, wire bonds 60 are electrically connected to conductive layer 52. Bond wires 62 extend from wire bonds 60 to other semiconductor devices or external electrical connections. Solder bumps 56 and bond wires 62 provide electrical interconnect for semiconductor die 36 and 40.
  • Another embodiment of the initial stages of making the semiconductor device is shown in FIGS. 4 a-4 c. In FIG. 4 a, a dummy or sacrificial metal carrier 70 is shown. Metal carrier or foil 70 can be circular or rectangular and made with Cu or Al. A process carrier 72 is mounted to carrier 70 with adhesive layer 74. A photoresist layer 76 is deposited on metal carrier 70. A plurality of openings is formed by a photo patterning process to define areas for selective plating. Contact pads 78 are then selectively plated on photoresist defined opening areas. Contact pads 78 can be made with Cu, Sn, Ni, Au, or Ag. Metal carrier 70 serves as a support member and plating current path for the electroplating process to form wettable metal contact pads 78 on the metal carrier. Photoresist 76 is removed by a resist stripper.
  • In FIG. 4 b, semiconductor die 80 and 84 are mounted to contact pads 78 on metal carrier 70 with solder bumps 82 and 86, respectively. Alternatively, discrete components or other semiconductor packages can be attached to contact pads 78. An optional underfill material can be formed below semiconductor die 80 and 84. A molding compound 88 is formed all around semiconductor die 80 and 84 to encapsulate the die, interconnections, and contact pads. Process carrier 72 and adhesive 74 are released first, followed by removal of metal carrier 70 by an etching process to expose contact pads 78 as shown in FIG. 4 c.
  • The interconnect structure is then formed using the steps described in FIGS. 2 d-2 f. More specifically, a first conductive layer like 46 is sputtered and patterned, or selectively plated, on a surface of molded compound 88 using an adhesion layer, such as Ti. The first conductive layer electrically connects to contact pads 78 according to the electrical function and interconnect requirements of semiconductor die 80 and 84. A first insulating layer like 51 is formed over molding compound 88 and the first conductive layer. The first insulating layer can be made with single or multiple layers of photosensitive polymer material or other dielectric material having low cure temperature, e.g. less than 200° C. A portion of the first insulating layer is removed by an etching process to form openings and expose the first conductive layer. A second conductive layer like 52 is formed over the first insulating layer to electrically contact the first conductive layer. A second insulating layer like 54 is formed over the first conductive layer and first insulating layer. The second insulating layer can be made with single or multiple layers of photosensitive polymer material or other dielectric material having low cure temperature, e.g. less than 200° C. A portion of the second insulating layer is removed by an etching process to form openings and expose the second conductive layer. Solder bumps like 56 can be formed on the exposed second conductive layer. The first and second conductive layers and first and second insulating layers constitute a portion of an interconnect structure which routes electrical signals between semiconductor die 80 and 84, as well as external to the package. Additional insulating layers and conductive layers can be used in the interconnect structure.
  • FIG. 5 illustrates an embodiment of the semiconductor device. Contact pads 94 are formed using a dummy or sacrificial metal carrier as described in FIG. 2 a. Semiconductor die 90 and 98 are mounted to contact pads 94 on the metal carrier with wire bonds 96 and 100, respectively. A molding compound 101 is formed all around semiconductor die 90 and 98 to encapsulate the die, wire bonds, and contact pads, similar to FIG. 2 b. The metal carrier is removed by an etching process to expose contact pads 94, in the same manner as described in FIG. 2 c.
  • A process carrier is applied to a backside of the semiconductor die using an adhesive layer to support the package. A conductive layer 102 is selectively plated on a surface of molded compound 101 using an adhesion layer, such as Ti. Conductive layer 102 electrically connects to contact pads 94 according to the electrical function and interconnect requirements of semiconductor die 90 and 98.
  • An insulating layer 103 is formed over molding compound 101 and conductive layer 102. The insulating layer 103 can be made with material having dielectric properties. A portion of insulating layer 103 is removed by an etching process to form openings and expose conductive layer 102. A conductive layer 104 is formed over insulating layer 103 to electrically contact conductive layer 102. An insulating layer 106 is formed over conductive layer 104 and insulating layer 103. The insulating layer 106 can be made with material having dielectric properties. A portion of insulating layer 106 is removed by an etching process to form openings and expose conductive layer 104. Conductive layers 104 and 106 and insulating layers 103 and 106 constitute a portion of an interconnect structure to route electrical signals between semiconductor die 90 and 98 as well as external to the package. Additional insulating layers and conductive layers can be used in the interconnect structure.
  • An electrically conductive solder material is deposited over conductive layer 104 through an evaporation, electrolytic plating, electroless plating, ball drop, or screen printing process. The solder material can be any metal or electrically conductive material, e.g., Sn, Pb, Ni, Au, Ag, Cu, Bi, and alloys thereof. The solder material is reflowed by heating the conductive material above its melting point to form spherical balls or bumps 108. In some applications, solder bumps 108 are reflowed a second time to improve electrical contact to conductive layer 104. An additional under bump metallization can optionally be formed under solder bumps 108. The interconnections can be solder bumps or bond wires.
  • FIGS. 6 a-6 b illustrates an embodiment of the semiconductor device using a front-side and backside process carrier. In FIG. 6 a, contact pads 124 are formed using a dummy or sacrificial metal carrier, as described in FIG. 2 a. Semiconductor die 120 and 126 are mounted to contact pads 124 on the metal carrier with solder bumps 122 and 128, respectively. A molding compound 130 is formed around semiconductor die 120 and 126 to encapsulate the die, interconnect, and contact pads, similar to FIG. 2 b. The metal carrier is removed by an etching process to expose contact pads 124, in the same manner as described in FIG. 2 c.
  • A process carrier is applied to a backside of the semiconductor die using an adhesive layer to support the package. A conductive layer 136 is selectively plated on a surface of molded compound 130 using an adhesion layer, such as Ti. Conductive layer 136 electrically connects to contact pads 124 according to the electrical function and interconnect requirements of semiconductor die 120 and 126.
  • An insulating layer 138 is formed over molding compound 130 and conductive layer 136. The insulating layer 138 can be made with materials having dielectric properties. A portion of insulating layer 138 is removed by an etching process to form openings and expose conductive layer 136. A conductive layer 140 is formed over insulating layer 138 to electrically contact conductive layer 136. An insulating layer 142 is formed over conductive layer 140 and insulating layer 138. The insulating layer 142 can be made with material having dielectric properties. A portion of insulating layer 142 is removed by an etching process to form openings and expose conductive layer 140. Conductive layers 136 and 140 and insulating layers 138 and 142 constitute a portion of a front-side interconnect structure which routes electrical signals between semiconductor die 120 and 126, as well as external to the package. Additional insulating layers and conductive layers can be used in the front-side interconnect structure.
  • A front-side process carrier 146 is mounted to conductive layer 140 and insulating layer 142 using adhesive layer 144. The adhesive layer 144 can be made with thermally or UV light releasable temporary adhesive, typically having a Tg of at least 150° C. The front-side process carrier can be flexible tape or stiff material. The backside process carrier is removed. Vias are formed through molding compound 130 using laser drilling or deep reactive ion etch (DRIE). The vias expose contact pads 124. Conductive material 148 is deposited in the vias and electrically connects to contact pads 124. An insulating layer 150 is formed over conductive layer 148 and molding compound 130. The insulating layer 150 can be made with material having dielectric properties. A portion of insulating layer 150 is removed by an etching process to form openings and expose conductive layer 148. Conductive layer 148 and insulating layer 150 constitute a portion of a backside interconnect structure which routes electrical signals between semiconductor die 120 and 126, as well as external to the package. Additional insulating layers and conductive layers can be used in the backside interconnect structure.
  • In FIG. 6 b, an electrically conductive solder material is deposited over conductive layer 140 through an evaporation, electrolytic plating, electroless plating, ball drop, or screen printing process. The solder material can be any metal or electrically conductive material, e.g., Sn, Pb, Ni, Au, Ag, Cu, Bi, and alloys thereof. The solder material is reflowed by heating the conductive material above its melting point to form spherical balls or bumps 152. In some applications, solder bumps 152 are reflowed a second time to improve electrical contact to conductive layer 140. An additional under bump metallization can optionally be formed under solder bumps 152. For the backside interconnects, solder bump or wire bond interconnects are formed on conductive layer 148 or the outermost layer.
  • The semiconductor device in FIG. 7 follows a similar construction as described in FIGS. 6 a-6 b, with the exception that metal pillars 154 are formed by selective etching, using contact pads 124 as etching mask. Pillars 154 are made with Cu, Al, or alloys thereof. Metal pillars 154 facilitate depositing molded underfill material below semiconductor die 120 and 126 due to the elevated interconnect structure. Metal pillars 154 further facilitate the formation of vias by laser drilling or DRIE process as the via depth can be reduced. The semiconductor device experiences less thermal stress or thermal strain with the higher interconnection structure.
  • FIG. 8 shows the semiconductor device of FIG. 7 with contact pads 124 and semiconductor die 120 elevated by metal pillars 154. Semiconductor die 158 is mounted to insulating layer 138 with die attach adhesive 160 and electrically connected to contact pads 124 and metal pillars 154 with wire bonds 162. The die attach adhesive 160 can be made with epoxy based or film based adhesive.
  • In FIG. 9, the semiconductor device of FIG. 6 b has underfill material 164. The underfill material can be made with resin having proper Theological and dielectric properties.
  • In FIG. 10, the semiconductor device of FIG. 6 b has semiconductor die 166 physically mounted to and electrically connected through solder bumps 152. Semiconductor die 168 is physically mounted to insulating layer 142 with die attach material 170 and electrically connected to conductive layer 140 with wire bonds 172. A molding compound 174 is applied over semiconductor die 166 and 168 and associated interconnect structures.
  • FIG. 11 shows the semiconductor device of FIG. 2 f with process carrier 176 and adhesive layer 178 remaining as a heat sink for thermal dissipation or EMI shield.
  • FIG. 12 shows the semiconductor device of FIG. 2 f with a layer of photoresist 180 remaining between contact pads 124.
  • In summary, the semiconductor device employs a copper sheet as a dummy or sacrificial carrier. A plurality of wettable contact pads is patterned on the sacrificial carrier. The individual semiconductor die are mounted to the sacrificial carrier and are electrically connected to the contact pads. The semiconductor die and contact pads are encapsulated with a molding compound. The sacrificial carrier is removed to expose the metal pads. An interconnect build-up layer is formed on the contact pads. The wettable contact pads are selectively plated on the sacrificial metal carrier to provide a highly accurate alignment of the bonding pad positions for the electrical interconnect according to the electrical function of the semiconductor die. By forming contact pads on the sacrificial carrier, a precise placement and alignment for the later formed requisite interconnect structure can be achieved. Accordingly, the semiconductor package has greater interconnect density and lower line pitch for individual traces.
  • While one or more embodiments of the present invention have been illustrated in detail, the skilled artisan will appreciate that modifications and adaptations to those embodiments may be made without departing from the scope of the present invention as set forth in the following claims.

Claims (21)

1. A method of making a semiconductor device, comprising:
providing a first sacrificial metal carrier;
forming a photoresist layer over the first sacrificial metal carrier;
forming openings in the photoresist layer extending to the first sacrificial metal carrier;
forming conductive pillars in the openings of the photoresist layer;
forming a plurality of contact pads over the conductive pillars, the contact pads being selectively electroplated through the openings in the photoresist layer onto the conductive pillars to provide precise alignment of the contact pads for electrical interconnect, the conductive pillars and first sacrificial metal carrier providing a plating current path for electroplating the contact pads;
removing the photoresist layer;
mounting a first semiconductor die to electrically connect to the contact pads;
encapsulating the first semiconductor die, contact pads, and conductive pillars with molding compound;
removing the first sacrificial metal carrier;
mounting a second sacrificial carrier over a first side of the molding compound opposite the contact pads;
forming a first conductive layer over a second side of the molding compound opposite the first side of the molding compound, the first conductive layer being electrically connected to the contact pads;
forming a first insulating layer over the first conductive layer and molding compound;
removing a portion of the first insulating layer to expose the first conductive layer;
forming a second conductive layer over the first conductive layer and first insulating layer;
forming a second insulating layer over the first insulating layer and second conductive layer;
removing a portion of the second insulating layer to expose the second conductive layer; depositing solder material in electrical contact with the second conductive layer;
reflowing the solder material to form a solder bump;
removing the second sacrificial carrier;
forming vias through the first side of the molding compound to the contact pads, the vias having a reduced depth due to the conductive pillars;
forming a third conductive layer over the molding compound and sidewalls of the vias to electrically connect to the contact pads;
forming a third insulating layer over the molding compound and third conductive layer, the third insulating layer extending into the vias to cover the third conductive layer; and
removing a portion of the third insulating layer to expose the third conductive layer.
2. The method of claim 1, further including forming a wire bond electrically connected to one of the plurality of contact pads.
3. The method of claim 1, wherein the first semiconductor die electrically connects to the contact pads with solder bumps or wire bonds.
4-5. (canceled)
6. The method of claim 1, further including:
mounting a second semiconductor die to the solder bump; and
encapsulating the second semiconductor die with molding compound.
7. (canceled)
8. A method of making a semiconductor device, comprising:
providing a sacrificial metal carrier;
forming a photoresist layer over the sacrificial metal carrier;
forming openings in the photoresist layer extending to the sacrificial metal carrier;
forming a plurality of contact pads on the sacrificial carrier, the contact pads being selectively electroplated through the openings in the photoresist layer onto the sacrificial metal carrier to provide precise alignment of the contact pads for electrical interconnect, the sacrificial metal carrier providing a plating current path for electroplating the contact pads;
removing the photoresist layer;
mounting a first semiconductor die to electrically connect to the contact pads;
encapsulating the first semiconductor die with molding compound;
removing the sacrificial metal carrier;
mounting a second sacrificial carrier over a first side of the molding compound opposite the contact pads;
forming a first conductive layer over a second side of the molding compound opposite the first side of the molding compound, the first conductive layer being electrically connected to the contact pads;
forming a first insulating layer over the first conductive layer;
removing the second sacrificial carrier;
forming vias through the first side of the molding compound to the contact pads;
forming a second conductive layer over the molding compound and into the vias to electrically connect to the contact pads; and
forming a second insulating layer over the molding compound and second conductive layer
9. The method of claim 8, further including:
depositing solder material on the first conductive layer; and
reflowing the solder material to form a solder bump.
10. The method of claim 9, further including:
mounting a second semiconductor die to the solder bump; and
encapsulating the second semiconductor die with molding compound.
11. (canceled)
12. The method of claim 8, wherein the first semiconductor die electrically connects to the contact pads with solder bumps or wire bonds.
13-15. (canceled)
16. A method of making a semiconductor package, comprising:
providing a sacrificial metal carrier;
forming a photoresist layer over the sacrificial metal carrier;
forming openings in the photoresist layer extending to the sacrificial metal carrier;
forming a plurality of contact pads on the sacrificial carrier, the contact pads being selectively electroplated through the openings in the photoresist layer onto the sacrificial metal carrier to provide precise alignment of the contact pads for electrical interconnect, the sacrificial metal carrier providing a plating current path for electroplating the contact pads;
mounting a first semiconductor die to electrically connect to the contact pads;
encapsulating the first semiconductor die with molding compound; and
forming an interconnect structure over the molding compound and electrically connected to the contact pads.
17. The method of claim 16, wherein forming the interconnect structure includes:
forming a first conductive layer over the molding compound and electrically connected to the contact pads;
forming a first insulating layer over the first conductive layer; and
removing a portion of the first insulating layer to expose the first conductive layer.
18. The method of claim 17, further including:
forming a second conductive layer over the first insulating layer and electrically connected to the first conductive layer;
forming a second insulating layer over the second conductive layer; and
removing a portion of the second insulating layer to expose the second conductive layer.
19. The method of claim 18, further including mounting a front-side process carrier to the second insulating layer with an adhesive layer.
20. The method of claim 19, further including:
forming vias through the molding compound to the contact pads;
forming a second conductive layer in the vias to electrically connect to the contact pads;
forming a second insulating layer over the second conductive layer; and
removing a portion of the second insulating layer to expose the second conductive layer.
21. The method of claim 17, further including:
depositing solder material on the first conductive layer; and
reflowing the solder material to form a solder bump.
22. The method of claim 16, further including removing the sacrificial carrier.
23. The method of claim 16, wherein the first semiconductor die electrically connects to the contact pads with solder bumps or wire bonds.
24. The method of claim 16, further including forming a pillar under each of the plurality of contact pads.
US11/964,397 2007-12-26 2007-12-26 Semiconductor Device and Method of Forming the Device Using Sacrificial Carrier Abandoned US20090170241A1 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
US11/964,397 US20090170241A1 (en) 2007-12-26 2007-12-26 Semiconductor Device and Method of Forming the Device Using Sacrificial Carrier
TW097140574A TWI463573B (en) 2007-12-26 2008-10-23 Semiconductor device and method of forming the device using sacrificial carrier
SG200807963-4A SG153722A1 (en) 2007-12-26 2008-10-28 Semiconductor device and method of forming the device using sacrificial carrier
KR1020080115303A KR101533459B1 (en) 2007-12-26 2008-11-19 Semiconductor Device and Method of Forming the Device Using Sacrificial Carrier
US12/615,428 US7923295B2 (en) 2007-12-26 2009-11-10 Semiconductor device and method of forming the device using sacrificial carrier
US13/038,843 US20120217634A9 (en) 2007-12-26 2011-03-02 Semiconductor Device and Method of Forming the Device Using Sacrificial Carrier

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/964,397 US20090170241A1 (en) 2007-12-26 2007-12-26 Semiconductor Device and Method of Forming the Device Using Sacrificial Carrier

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/615,428 Continuation US7923295B2 (en) 2007-12-26 2009-11-10 Semiconductor device and method of forming the device using sacrificial carrier

Publications (1)

Publication Number Publication Date
US20090170241A1 true US20090170241A1 (en) 2009-07-02

Family

ID=40798955

Family Applications (3)

Application Number Title Priority Date Filing Date
US11/964,397 Abandoned US20090170241A1 (en) 2007-12-26 2007-12-26 Semiconductor Device and Method of Forming the Device Using Sacrificial Carrier
US12/615,428 Active US7923295B2 (en) 2007-12-26 2009-11-10 Semiconductor device and method of forming the device using sacrificial carrier
US13/038,843 Abandoned US20120217634A9 (en) 2007-12-26 2011-03-02 Semiconductor Device and Method of Forming the Device Using Sacrificial Carrier

Family Applications After (2)

Application Number Title Priority Date Filing Date
US12/615,428 Active US7923295B2 (en) 2007-12-26 2009-11-10 Semiconductor device and method of forming the device using sacrificial carrier
US13/038,843 Abandoned US20120217634A9 (en) 2007-12-26 2011-03-02 Semiconductor Device and Method of Forming the Device Using Sacrificial Carrier

Country Status (4)

Country Link
US (3) US20090170241A1 (en)
KR (1) KR101533459B1 (en)
SG (1) SG153722A1 (en)
TW (1) TWI463573B (en)

Cited By (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100144152A1 (en) * 2008-12-08 2010-06-10 Samsung Electro-Mechanics Co., Ltd. Method of manufacturing semiconductor package
US20100285636A1 (en) * 2009-05-11 2010-11-11 Acsip Technology Inc. Manufacturing method of a packaging structure of electronic components
US20100314746A1 (en) * 2009-06-11 2010-12-16 Chueh-An Hsieh Semiconductor package and manufacturing method thereof
US20110068484A1 (en) * 2009-09-18 2011-03-24 Infineon Technologies Ag Device and manufacturing method
US20110127678A1 (en) * 2008-06-20 2011-06-02 Il Kwon Shim Integrated circuit packaging system with embedded circuitry and post
US20110147925A1 (en) * 2009-12-18 2011-06-23 Nxp B.V. Pre-soldered leadless package
US20110156236A1 (en) * 2009-12-30 2011-06-30 Stmicroelectronics Asia Pacific Pte Ltd. Thermally enhanced expanded wafer level package ball grid array structure and method of making the same
US20110186992A1 (en) * 2010-02-03 2011-08-04 Albert Wu Recessed semiconductor substrates and associated techniques
WO2011103211A1 (en) * 2010-02-16 2011-08-25 Cypress Semiconductor Corporation Panelized packaging with transferred dielectric
US20110221054A1 (en) * 2010-03-15 2011-09-15 Stats Chippac, Ltd. Semiconductor Device and Method of Forming Conductive Vias Through Interconnect Structures and Encapsulant of WLCSP
US20120061849A1 (en) * 2010-09-13 2012-03-15 Stmicroelectronics (Grenoble 2) Sas Semiconductor component and device provided with heat dissipation means
US20120085569A1 (en) * 2008-08-13 2012-04-12 Yi-Chun Liu Embedded structure
US20120098123A1 (en) * 2010-10-26 2012-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Molded Chip Interposer Structure and Methods
US20120181673A1 (en) * 2009-08-21 2012-07-19 Stats Chippac, Ltd. Semiconductor Device and Method of Stacking Die on Leadframe Electrically Connected by Conductive Pillars
US20120187584A1 (en) * 2011-01-21 2012-07-26 Stats Chippac, Ltd. Semiconductor Device and Method for Forming Semiconductor Package Having Build-Up Interconnect Structure Over Semiconductor Die with Different CTE Insulating Layers
US8288201B2 (en) 2010-08-25 2012-10-16 Stats Chippac, Ltd. Semiconductor device and method of forming FO-WLCSP with discrete semiconductor components mounted under and over semiconductor die
US20130015557A1 (en) * 2011-07-13 2013-01-17 Zhiping Yang Semiconductor package including an external circuit element
US20130122658A1 (en) * 2011-11-15 2013-05-16 Cisco Technology, Inc. Manufacturing a semiconductor package including an embedded circuit component within a support structure of the package
US8456018B2 (en) 2010-11-01 2013-06-04 Samsung Electronics Co., Ltd. Semiconductor packages
US8597986B2 (en) * 2011-09-01 2013-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. System in package and method of fabricating same
US8836114B2 (en) 2010-08-16 2014-09-16 Stats Chippac, Ltd. Semiconductor device and method of forming Fo-WLCSP having conductive layers and conductive vias separated by polymer layers
US8975111B2 (en) 2008-03-04 2015-03-10 Stats Chippac, Ltd. Wafer level die integration and method therefor
US20150084213A1 (en) * 2013-09-25 2015-03-26 Stats Chippac, Ltd. Semiconductor Device and Method of Controlling Warpage in Reconstituted Wafer
TWI485815B (en) * 2012-08-10 2015-05-21 矽品精密工業股份有限公司 Semiconductor package and method of fabricating the same
US20150279824A1 (en) * 2014-03-28 2015-10-01 Vijay K. Nair Electronic package and method of forming an electronic package
US20150325557A1 (en) * 2014-05-12 2015-11-12 Xintec Inc. Chip package and method for forming the same
US9240380B2 (en) 2009-08-21 2016-01-19 Stats Chippac, Ltd. Semiconductor device and method of forming interposer frame over semiconductor die to provide vertical interconnect
US9263361B2 (en) 2006-11-10 2016-02-16 Stats Chippac, Ltd. Semiconductor device having a vertical interconnect structure using stud bumps
US9269595B2 (en) 2010-01-29 2016-02-23 Stats Chippac, Ltd. Semiconductor device with thin profile WLCSP with vertical interconnect over package footprint
EP2930742A3 (en) * 2014-03-20 2016-03-09 Kabushiki Kaisha Toshiba Semiconductor device and electronic circuit device
US9385074B2 (en) 2006-11-10 2016-07-05 STATS ChipPAC Pte. Ltd. Semiconductor package with embedded die
US9406658B2 (en) 2010-12-17 2016-08-02 Advanced Semiconductor Engineering, Inc. Embedded component device and manufacturing methods thereof
US9640504B2 (en) 2009-03-17 2017-05-02 STATS ChipPAC Pte. Ltd. Semiconductor device and method of providing z-interconnect conductive pillars with inner polymer core
US20170133335A1 (en) * 2015-11-11 2017-05-11 Nxp B.V. Semiconductor device and method of making a semiconductor device
US20170221863A1 (en) * 2014-06-18 2017-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device and Method
US20170345798A1 (en) * 2015-12-31 2017-11-30 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of manufacturing the same
CN108307661A (en) * 2015-11-20 2018-07-20 德卡科技公司 The micro-miniaturized semiconductor module moulded entirely
US10062649B2 (en) * 2016-01-15 2018-08-28 Phoenix & Corporation Package substrate
US10163747B2 (en) 2013-09-25 2018-12-25 STATS ChipPAC Pte. Ltd. Semiconductor device and method of controlling warpage in reconstituted wafer
US10204879B2 (en) 2011-01-21 2019-02-12 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming wafer-level interconnect structures with advanced dielectric characteristics
US10529680B2 (en) * 2013-07-25 2020-01-07 Cyntec Co., Ltd Encapsulated electronic device mounted on a redistribution layer
USRE48111E1 (en) 2009-08-21 2020-07-21 JCET Semiconductor (Shaoxing) Co. Ltd. Semiconductor device and method of forming interposer frame over semiconductor die to provide vertical interconnect

Families Citing this family (111)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7579681B2 (en) * 2002-06-11 2009-08-25 Micron Technology, Inc. Super high density module with integrated wafer level packages
KR101313391B1 (en) 2004-11-03 2013-10-01 테세라, 인코포레이티드 Stacked packaging improvements
US8058101B2 (en) 2005-12-23 2011-11-15 Tessera, Inc. Microelectronic packages and methods therefor
TWI360207B (en) * 2007-10-22 2012-03-11 Advanced Semiconductor Eng Chip package structure and method of manufacturing
TWI356479B (en) * 2008-03-04 2012-01-11 Advanced Semiconductor Eng Package structure with embedded die and method of
US8093704B2 (en) * 2008-06-03 2012-01-10 Intel Corporation Package on package using a bump-less build up layer (BBUL) package
KR20100112446A (en) * 2009-04-09 2010-10-19 삼성전자주식회사 Stacked semiconductor package and manufacturing method thereof
US8569894B2 (en) 2010-01-13 2013-10-29 Advanced Semiconductor Engineering, Inc. Semiconductor package with single sided substrate design and manufacturing methods thereof
US9576919B2 (en) 2011-12-30 2017-02-21 Deca Technologies Inc. Semiconductor device and method comprising redistribution layers
US8922021B2 (en) 2011-12-30 2014-12-30 Deca Technologies Inc. Die up fully molded fan-out wafer level packaging
US8604600B2 (en) * 2011-12-30 2013-12-10 Deca Technologies Inc. Fully molded fan-out
US9177926B2 (en) 2011-12-30 2015-11-03 Deca Technologies Inc Semiconductor device and method comprising thickened redistribution layers
US10373870B2 (en) 2010-02-16 2019-08-06 Deca Technologies Inc. Semiconductor device and method of packaging
US9385095B2 (en) 2010-02-26 2016-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. 3D semiconductor package interposer with die cavity
TWI411075B (en) 2010-03-22 2013-10-01 Advanced Semiconductor Eng Semiconductor package and manufacturing method thereof
US9159708B2 (en) 2010-07-19 2015-10-13 Tessera, Inc. Stackable molded microelectronic packages with area array unit connectors
US8482111B2 (en) 2010-07-19 2013-07-09 Tessera, Inc. Stackable molded microelectronic packages
US8860079B2 (en) 2010-11-15 2014-10-14 United Test And Assembly Center Ltd. Semiconductor packages and methods of packaging semiconductor devices
KR101390628B1 (en) * 2010-11-15 2014-04-29 유나이티드 테스트 엔드 어셈블리 센터 엘티디 Semiconductor packages and methods of packaging semiconductor devices
KR101075241B1 (en) 2010-11-15 2011-11-01 테세라, 인코포레이티드 Microelectronic package with terminals on dielectric mass
US20120146206A1 (en) 2010-12-13 2012-06-14 Tessera Research Llc Pin attachment
US8835217B2 (en) 2010-12-22 2014-09-16 Intel Corporation Device packaging with substrates having embedded lines and metal defined pads
US8618659B2 (en) 2011-05-03 2013-12-31 Tessera, Inc. Package-on-package assembly with wire bonds to encapsulation surface
KR101128063B1 (en) 2011-05-03 2012-04-23 테세라, 인코포레이티드 Package-on-package assembly with wire bonds to encapsulation surface
JP2013069807A (en) * 2011-09-21 2013-04-18 Shinko Electric Ind Co Ltd Semiconductor package and method for manufacturing the same
US9385009B2 (en) 2011-09-23 2016-07-05 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming stacked vias within interconnect structure for Fo-WLCSP
US8404520B1 (en) 2011-10-17 2013-03-26 Invensas Corporation Package-on-package assembly with wire bond vias
US8552556B1 (en) * 2011-11-22 2013-10-08 Amkor Technology, Inc. Wafer level fan out package
KR20130058340A (en) 2011-11-25 2013-06-04 삼성전기주식회사 Inductor and method for manufacturing the same
KR101332916B1 (en) * 2011-12-29 2013-11-26 주식회사 네패스 Semiconductor package and method of manufacturing the same
KR20130077400A (en) 2011-12-29 2013-07-09 삼성전기주식회사 Thin film type coil component and fabricating method thereof
US9831170B2 (en) 2011-12-30 2017-11-28 Deca Technologies, Inc. Fully molded miniaturized semiconductor module
US9613830B2 (en) 2011-12-30 2017-04-04 Deca Technologies Inc. Fully molded peripheral package on package device
US10050004B2 (en) 2015-11-20 2018-08-14 Deca Technologies Inc. Fully molded peripheral package on package device
US10672624B2 (en) 2011-12-30 2020-06-02 Deca Technologies Inc. Method of making fully molded peripheral package on package device
WO2013102146A1 (en) 2011-12-30 2013-07-04 Deca Technologies, Inc. Die up fully molded fan-out wafer level packaging
US8946757B2 (en) 2012-02-17 2015-02-03 Invensas Corporation Heat spreading substrate with embedded interconnects
US8372741B1 (en) 2012-02-24 2013-02-12 Invensas Corporation Method for package-on-package assembly with wire bonds to encapsulation surface
US9349706B2 (en) 2012-02-24 2016-05-24 Invensas Corporation Method for package-on-package assembly with wire bonds to encapsulation surface
KR101916088B1 (en) * 2012-04-02 2018-11-07 삼성전자주식회사 Semiconductor Package
US8786111B2 (en) * 2012-05-14 2014-07-22 Infineon Technologies Ag Semiconductor packages and methods of formation thereof
US8835228B2 (en) 2012-05-22 2014-09-16 Invensas Corporation Substrate-less stackable package with wire-bond interconnect
KR101947722B1 (en) * 2012-06-07 2019-04-25 삼성전자주식회사 stack semiconductor package and method of manufacturing the same
US9385006B2 (en) 2012-06-21 2016-07-05 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming an embedded SOP fan-out package
US9391008B2 (en) 2012-07-31 2016-07-12 Invensas Corporation Reconstituted wafer-level package DRAM
US8669655B2 (en) * 2012-08-02 2014-03-11 Infineon Technologies Ag Chip package and a method for manufacturing a chip package
US9502390B2 (en) 2012-08-03 2016-11-22 Invensas Corporation BVA interposer
US8975738B2 (en) 2012-11-12 2015-03-10 Invensas Corporation Structure for microelectronic packaging with terminals on dielectric mass
US8878353B2 (en) 2012-12-20 2014-11-04 Invensas Corporation Structure for microelectronic packaging with bond elements to encapsulation surface
US9136254B2 (en) 2013-02-01 2015-09-15 Invensas Corporation Microelectronic package having wire bond vias and stiffening layer
US9318404B2 (en) * 2013-02-05 2016-04-19 Stats Chippac, Ltd. Semiconductor device and method of forming stress relieving vias for improved fan-out WLCSP package
US8916474B2 (en) * 2013-02-18 2014-12-23 Infineon Technologies Ag Semiconductor modules and methods of formation thereof
US9349616B2 (en) * 2013-03-13 2016-05-24 Stats Chippac, Ltd. Semiconductor device and method of forming WLCSP with semiconductor die embedded within interconnect structure
KR20140119522A (en) * 2013-04-01 2014-10-10 삼성전자주식회사 Semiconductor package having package on package structure
US9034696B2 (en) 2013-07-15 2015-05-19 Invensas Corporation Microelectronic assemblies having reinforcing collars on connectors extending through encapsulation
US9023691B2 (en) 2013-07-15 2015-05-05 Invensas Corporation Microelectronic assemblies with stack terminals coupled by connectors extending through encapsulation
US8883563B1 (en) 2013-07-15 2014-11-11 Invensas Corporation Fabrication of microelectronic assemblies having stack terminals coupled by connectors extending through encapsulation
US9167710B2 (en) 2013-08-07 2015-10-20 Invensas Corporation Embedded packaging with preformed vias
US9685365B2 (en) 2013-08-08 2017-06-20 Invensas Corporation Method of forming a wire bond having a free end
US20150076714A1 (en) 2013-09-16 2015-03-19 Invensas Corporation Microelectronic element with bond elements to encapsulation surface
US9082753B2 (en) 2013-11-12 2015-07-14 Invensas Corporation Severing bond wire by kinking and twisting
US9087815B2 (en) 2013-11-12 2015-07-21 Invensas Corporation Off substrate kinking of bond wire
US9379074B2 (en) 2013-11-22 2016-06-28 Invensas Corporation Die stacks with one or more bond via arrays of wire bond wires and with one or more arrays of bump interconnects
US9263394B2 (en) 2013-11-22 2016-02-16 Invensas Corporation Multiple bond via arrays of different wire heights on a same substrate
US9583456B2 (en) 2013-11-22 2017-02-28 Invensas Corporation Multiple bond via arrays of different wire heights on a same substrate
CN103596358B (en) * 2013-12-04 2016-11-23 江苏长电科技股份有限公司 SMT addition high-density packages multilayer circuit board structure and preparation method thereof
CN103607841B (en) * 2013-12-04 2016-06-01 江苏长电科技股份有限公司 SMT subtraction high density packing multilayer circuit board structure and making method thereof
US9583411B2 (en) 2014-01-17 2017-02-28 Invensas Corporation Fine pitch BVA using reconstituted wafer with area array accessible for testing
US9653442B2 (en) 2014-01-17 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and methods of forming same
US9653443B2 (en) 2014-02-14 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal performance structure for semiconductor packages and method of forming same
US10026671B2 (en) 2014-02-14 2018-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate design for semiconductor packages and method of forming same
US9935090B2 (en) 2014-02-14 2018-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate design for semiconductor packages and method of forming same
US10056267B2 (en) 2014-02-14 2018-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate design for semiconductor packages and method of forming same
US9768090B2 (en) 2014-02-14 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate design for semiconductor packages and method of forming same
US9214454B2 (en) 2014-03-31 2015-12-15 Invensas Corporation Batch process fabrication of package-on-package microelectronic assemblies
US10381326B2 (en) 2014-05-28 2019-08-13 Invensas Corporation Structure and method for integrated circuits packaging with increased density
US9646917B2 (en) 2014-05-29 2017-05-09 Invensas Corporation Low CTE component with wire bond interconnects
US9412714B2 (en) * 2014-05-30 2016-08-09 Invensas Corporation Wire bond support structure and microelectronic package including wire bonds therefrom
DE112015003753T5 (en) * 2014-08-14 2017-06-29 Octavo Systems Llc IMPROVED SUBSTRATE FOR SYSTEM-IN-PACKAGE (SIP) DEVICES
US9735084B2 (en) 2014-12-11 2017-08-15 Invensas Corporation Bond via array for thermal conductivity
US9564416B2 (en) * 2015-02-13 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures and methods of forming the same
US9888579B2 (en) 2015-03-05 2018-02-06 Invensas Corporation Pressing of wire bond wire tips to provide bent-over tips
US9502372B1 (en) 2015-04-30 2016-11-22 Invensas Corporation Wafer-level packaging using wire bond wires in place of a redistribution layer
US9761554B2 (en) 2015-05-07 2017-09-12 Invensas Corporation Ball bonding metal wire bond wires to metal pads
EP3345213A4 (en) 2015-09-04 2019-04-24 Octavo Systems LLC Improved system using system in package components
US9490222B1 (en) 2015-10-12 2016-11-08 Invensas Corporation Wire bond wires for interference shielding
US10490528B2 (en) 2015-10-12 2019-11-26 Invensas Corporation Embedded wire bond wires
US10332854B2 (en) 2015-10-23 2019-06-25 Invensas Corporation Anchoring structure of fine pitch bva
US10181457B2 (en) 2015-10-26 2019-01-15 Invensas Corporation Microelectronic package for wafer-level chip scale packaging with fan-out
US9911718B2 (en) 2015-11-17 2018-03-06 Invensas Corporation ‘RDL-First’ packaged microelectronic device for a package-on-package device
US9659848B1 (en) 2015-11-18 2017-05-23 Invensas Corporation Stiffened wires for offset BVA
US9984992B2 (en) 2015-12-30 2018-05-29 Invensas Corporation Embedded wire bond wires for vertical integration with separate surface mount and wire bond mounting surfaces
US9659911B1 (en) * 2016-04-20 2017-05-23 Powertech Technology Inc. Package structure and manufacturing method thereof
US9935075B2 (en) 2016-07-29 2018-04-03 Invensas Corporation Wire bonding method and apparatus for electromagnetic interference shielding
US11502030B2 (en) 2016-09-02 2022-11-15 Octavo Systems Llc System and method of assembling a system
TWI602277B (en) * 2016-11-04 2017-10-11 恆勁科技股份有限公司 Package substrate and its fabrication method
US10299368B2 (en) 2016-12-21 2019-05-21 Invensas Corporation Surface integrated waveguides and circuit structures therefor
US10470294B2 (en) 2017-05-01 2019-11-05 Octavo Systems Llc Reduction of passive components in system-in-package devices
US11032910B2 (en) 2017-05-01 2021-06-08 Octavo Systems Llc System-in-Package device ball map and layout optimization
US11416050B2 (en) 2017-05-08 2022-08-16 Octavo Systems Llc Component communications in system-in-package systems
US10714430B2 (en) 2017-07-21 2020-07-14 Octavo Systems Llc EMI shield for molded packages
US10903136B2 (en) * 2017-11-07 2021-01-26 Tdk Taiwan Corp. Package structure having a plurality of insulating layers
US10566261B2 (en) * 2017-11-15 2020-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out packages with embedded heat dissipation structure
US11735570B2 (en) * 2018-04-04 2023-08-22 Intel Corporation Fan out packaging pop mechanical attach method
US10340249B1 (en) * 2018-06-25 2019-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
CN108872756A (en) * 2018-09-13 2018-11-23 武汉精立电子技术有限公司 A kind of display panel automatic turning conducting structure and test fixture
JP7161904B2 (en) 2018-10-11 2022-10-27 新光電気工業株式会社 Semiconductor device manufacturing method
KR102555814B1 (en) * 2018-11-05 2023-07-14 삼성전자주식회사 Semiconductor package
US11056453B2 (en) 2019-06-18 2021-07-06 Deca Technologies Usa, Inc. Stackable fully molded semiconductor structure with vertical interconnects
US20210125906A1 (en) * 2019-10-28 2021-04-29 Semiconductor Components Industries, Llc Metal on mold compound in fan-out wafer-level packaging of integrated circuits
KR20220007254A (en) * 2020-07-10 2022-01-18 삼성전자주식회사 Semiconductor package and method for manufacturing semiconductor package

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6344401B1 (en) * 2000-03-09 2002-02-05 Atmel Corporation Method of forming a stacked-die integrated circuit chip package on a water level
US6396153B2 (en) * 1999-10-04 2002-05-28 General Electric Company Circuit chip package and fabrication method
US20040106288A1 (en) * 2002-09-26 2004-06-03 Yusuke Igarashi Method for manufacturing circuit devices
US20040262735A1 (en) * 1999-12-16 2004-12-30 Mitsutoshi Higashi Semiconductor device and production method thereof
US6838776B2 (en) * 2003-04-18 2005-01-04 Freescale Semiconductor, Inc. Circuit device with at least partial packaging and method for forming
US6867471B2 (en) * 2002-08-29 2005-03-15 Infineon Technologies Ag Universal package for an electronic component with a semiconductor chip and method for producing the universal package
US6902950B2 (en) * 2000-10-18 2005-06-07 Intel Corporation Method to protect an encapsulated die package during back grinding with a solder metallization layer and devices formed thereby
US6921975B2 (en) * 2003-04-18 2005-07-26 Freescale Semiconductor, Inc. Circuit device with at least partial packaging, exposed active surface and a voltage reference plane
US20050184377A1 (en) * 2004-01-30 2005-08-25 Shinko Electric Industries Co., Ltd. Semiconductor device and method of manufacturing the same
US20050287703A1 (en) * 2004-06-28 2005-12-29 Semiconductor Components Industries, Llc. Multi-chip semiconductor connector assembly method
US7045391B2 (en) * 2003-12-09 2006-05-16 Advanced Semiconductor Engineering, Inc. Multi-chips bumpless assembly package and manufacturing method thereof
US20060208356A1 (en) * 2005-03-15 2006-09-21 Shinko Electric Industries Co., Ltd. Wiring board and method of manufacturing the same
US20080138935A1 (en) * 2006-12-12 2008-06-12 Siliconware Precision Industries Co., Ltd. Chip scale package structure and method for fabricating the same

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5250843A (en) 1991-03-27 1993-10-05 Integrated System Assemblies Corp. Multichip integrated circuit modules
US5353498A (en) 1993-02-08 1994-10-11 General Electric Company Method for fabricating an integrated circuit module
US5841193A (en) 1996-05-20 1998-11-24 Epic Technologies, Inc. Single chip modules, repairable multichip modules, and methods of fabrication thereof
TWI260060B (en) * 2005-01-21 2006-08-11 Phoenix Prec Technology Corp Chip electrical connection structure and fabrication method thereof
KR100685177B1 (en) * 2006-03-10 2007-02-22 삼성전기주식회사 Board on chip package and manufacturing method thereof
KR100764164B1 (en) * 2006-04-04 2007-10-09 엘지전자 주식회사 Printed circuit board, package using the printed circuit board and making method of the same
KR100771467B1 (en) * 2006-10-30 2007-10-30 삼성전기주식회사 Circuit board and method for manufacturing there of
US7619901B2 (en) 2007-06-25 2009-11-17 Epic Technologies, Inc. Integrated structures and fabrication methods thereof implementing a cell phone or other electronic system

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6396153B2 (en) * 1999-10-04 2002-05-28 General Electric Company Circuit chip package and fabrication method
US20040262735A1 (en) * 1999-12-16 2004-12-30 Mitsutoshi Higashi Semiconductor device and production method thereof
US6344401B1 (en) * 2000-03-09 2002-02-05 Atmel Corporation Method of forming a stacked-die integrated circuit chip package on a water level
US6902950B2 (en) * 2000-10-18 2005-06-07 Intel Corporation Method to protect an encapsulated die package during back grinding with a solder metallization layer and devices formed thereby
US6867471B2 (en) * 2002-08-29 2005-03-15 Infineon Technologies Ag Universal package for an electronic component with a semiconductor chip and method for producing the universal package
US20040106288A1 (en) * 2002-09-26 2004-06-03 Yusuke Igarashi Method for manufacturing circuit devices
US6838776B2 (en) * 2003-04-18 2005-01-04 Freescale Semiconductor, Inc. Circuit device with at least partial packaging and method for forming
US6921975B2 (en) * 2003-04-18 2005-07-26 Freescale Semiconductor, Inc. Circuit device with at least partial packaging, exposed active surface and a voltage reference plane
US7045391B2 (en) * 2003-12-09 2006-05-16 Advanced Semiconductor Engineering, Inc. Multi-chips bumpless assembly package and manufacturing method thereof
US20050184377A1 (en) * 2004-01-30 2005-08-25 Shinko Electric Industries Co., Ltd. Semiconductor device and method of manufacturing the same
US20050287703A1 (en) * 2004-06-28 2005-12-29 Semiconductor Components Industries, Llc. Multi-chip semiconductor connector assembly method
US20060208356A1 (en) * 2005-03-15 2006-09-21 Shinko Electric Industries Co., Ltd. Wiring board and method of manufacturing the same
US20080138935A1 (en) * 2006-12-12 2008-06-12 Siliconware Precision Industries Co., Ltd. Chip scale package structure and method for fabricating the same

Cited By (85)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9263361B2 (en) 2006-11-10 2016-02-16 Stats Chippac, Ltd. Semiconductor device having a vertical interconnect structure using stud bumps
US9385074B2 (en) 2006-11-10 2016-07-05 STATS ChipPAC Pte. Ltd. Semiconductor package with embedded die
US8975111B2 (en) 2008-03-04 2015-03-10 Stats Chippac, Ltd. Wafer level die integration and method therefor
US8957530B2 (en) * 2008-06-20 2015-02-17 Stats Chippac Ltd. Integrated circuit packaging system with embedded circuitry and post
US20110127678A1 (en) * 2008-06-20 2011-06-02 Il Kwon Shim Integrated circuit packaging system with embedded circuitry and post
US8729397B2 (en) * 2008-08-13 2014-05-20 Unimicron Technology Corp. Embedded structure
US20120085569A1 (en) * 2008-08-13 2012-04-12 Yi-Chun Liu Embedded structure
US20100144152A1 (en) * 2008-12-08 2010-06-10 Samsung Electro-Mechanics Co., Ltd. Method of manufacturing semiconductor package
US8143099B2 (en) * 2008-12-08 2012-03-27 Samsung Electro-Mechanics Co., Ltd. Method of manufacturing semiconductor package by etching a metal layer to form a rearrangement wiring layer
US9640504B2 (en) 2009-03-17 2017-05-02 STATS ChipPAC Pte. Ltd. Semiconductor device and method of providing z-interconnect conductive pillars with inner polymer core
US20100285636A1 (en) * 2009-05-11 2010-11-11 Acsip Technology Inc. Manufacturing method of a packaging structure of electronic components
US20100314746A1 (en) * 2009-06-11 2010-12-16 Chueh-An Hsieh Semiconductor package and manufacturing method thereof
US9177901B2 (en) * 2009-08-21 2015-11-03 Stats Chippac, Ltd. Semiconductor device and method of stacking die on leadframe electrically connected by conductive pillars
USRE48111E1 (en) 2009-08-21 2020-07-21 JCET Semiconductor (Shaoxing) Co. Ltd. Semiconductor device and method of forming interposer frame over semiconductor die to provide vertical interconnect
US20120181673A1 (en) * 2009-08-21 2012-07-19 Stats Chippac, Ltd. Semiconductor Device and Method of Stacking Die on Leadframe Electrically Connected by Conductive Pillars
USRE48408E1 (en) 2009-08-21 2021-01-26 Jcet Semiconductor (Shaoxing) Co., Ltd. Semiconductor device and method of forming interposer frame over semiconductor die to provide vertical interconnect
US9240380B2 (en) 2009-08-21 2016-01-19 Stats Chippac, Ltd. Semiconductor device and method of forming interposer frame over semiconductor die to provide vertical interconnect
US9893045B2 (en) 2009-08-21 2018-02-13 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming interposer frame over semiconductor die to provide vertical interconnect
CN102054812A (en) * 2009-09-18 2011-05-11 英飞凌科技股份有限公司 Device and manufacturing method
US8003515B2 (en) * 2009-09-18 2011-08-23 Infineon Technologies Ag Device and manufacturing method
DE102010037292B4 (en) 2009-09-18 2023-12-28 Infineon Technologies Ag Manufacturing process for semiconductor devices
US20110068484A1 (en) * 2009-09-18 2011-03-24 Infineon Technologies Ag Device and manufacturing method
US8728929B2 (en) * 2009-12-18 2014-05-20 Nxp B.V. Pre-soldered leadless package
US9153529B2 (en) 2009-12-18 2015-10-06 Nxp B.V. Pre-soldered leadless package
US20110147925A1 (en) * 2009-12-18 2011-06-23 Nxp B.V. Pre-soldered leadless package
US8497587B2 (en) * 2009-12-30 2013-07-30 Stmicroelectronics Pte Ltd. Thermally enhanced expanded wafer level package ball grid array structure and method of making the same
US20110156236A1 (en) * 2009-12-30 2011-06-30 Stmicroelectronics Asia Pacific Pte Ltd. Thermally enhanced expanded wafer level package ball grid array structure and method of making the same
US9269595B2 (en) 2010-01-29 2016-02-23 Stats Chippac, Ltd. Semiconductor device with thin profile WLCSP with vertical interconnect over package footprint
US9558965B2 (en) 2010-01-29 2017-01-31 STATS ChipPAC Pte. Ltd. Semiconductor device with thin profile WLCSP with vertical interconnect over package footprint
US9034730B2 (en) * 2010-02-03 2015-05-19 Marvell World Trade Ltd. Recessed semiconductor substrates and associated techniques
US9391045B2 (en) 2010-02-03 2016-07-12 Marvell World Trade Ltd. Recessed semiconductor substrates and associated techniques
US20110186992A1 (en) * 2010-02-03 2011-08-04 Albert Wu Recessed semiconductor substrates and associated techniques
WO2011103211A1 (en) * 2010-02-16 2011-08-25 Cypress Semiconductor Corporation Panelized packaging with transferred dielectric
US20110221054A1 (en) * 2010-03-15 2011-09-15 Stats Chippac, Ltd. Semiconductor Device and Method of Forming Conductive Vias Through Interconnect Structures and Encapsulant of WLCSP
US8951839B2 (en) 2010-03-15 2015-02-10 Stats Chippac, Ltd. Semiconductor device and method of forming conductive vias through interconnect structures and encapsulant of WLCSP
US10141222B2 (en) 2010-03-15 2018-11-27 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming conductive vias through interconnect structures and encapsulant of WLCSP
US8836114B2 (en) 2010-08-16 2014-09-16 Stats Chippac, Ltd. Semiconductor device and method of forming Fo-WLCSP having conductive layers and conductive vias separated by polymer layers
US9263301B2 (en) * 2010-08-25 2016-02-16 STARS ChipPAC, Ltd. Semiconductor device and method of forming Fo-WLCSP with discrete semiconductor components mounted under and over semiconductor die
US8288201B2 (en) 2010-08-25 2012-10-16 Stats Chippac, Ltd. Semiconductor device and method of forming FO-WLCSP with discrete semiconductor components mounted under and over semiconductor die
US20130001771A1 (en) * 2010-08-25 2013-01-03 Stats Chippac, Ltd. Semiconductor Device and Method of Forming FO-WLCSP with Discrete Semiconductor Components Mounted Under and Over Semiconductor Die
US8928148B2 (en) * 2010-09-13 2015-01-06 Stmicroelectronics (Grenoble 2) Sas Semiconductor component and device provided with heat dissipation means
FR2964790A1 (en) * 2010-09-13 2012-03-16 St Microelectronics Grenoble 2 COMPONENT AND SEMICONDUCTOR DEVICE WITH MEANS OF HEAT DISSIPATION MEANS
US20120061849A1 (en) * 2010-09-13 2012-03-15 Stmicroelectronics (Grenoble 2) Sas Semiconductor component and device provided with heat dissipation means
US8338945B2 (en) * 2010-10-26 2012-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Molded chip interposer structure and methods
US20120098123A1 (en) * 2010-10-26 2012-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Molded Chip Interposer Structure and Methods
US8581402B2 (en) 2010-10-26 2013-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Molded chip interposer structure and methods
US8921163B2 (en) 2010-11-01 2014-12-30 Samsung Electronics Co., Ltd. Semiconductor packages and methods of fabricating the same
US8456018B2 (en) 2010-11-01 2013-06-04 Samsung Electronics Co., Ltd. Semiconductor packages
US9406658B2 (en) 2010-12-17 2016-08-02 Advanced Semiconductor Engineering, Inc. Embedded component device and manufacturing methods thereof
US9875973B2 (en) 2011-01-21 2018-01-23 STATS ChipPAC Pte. Ltd. Semiconductor device and method for forming semiconductor package having build-up interconnect structure over semiconductor die with different CTE insulating layers
US8492203B2 (en) * 2011-01-21 2013-07-23 Stats Chippac, Ltd. Semiconductor device and method for forming semiconductor package having build-up interconnect structure over semiconductor die with different CTE insulating layers
US20120187584A1 (en) * 2011-01-21 2012-07-26 Stats Chippac, Ltd. Semiconductor Device and Method for Forming Semiconductor Package Having Build-Up Interconnect Structure Over Semiconductor Die with Different CTE Insulating Layers
US10204879B2 (en) 2011-01-21 2019-02-12 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming wafer-level interconnect structures with advanced dielectric characteristics
TWI553811B (en) * 2011-01-21 2016-10-11 史達晶片有限公司 Semiconductor device and method for forming semiconductor package having build-up interconnect structure over semiconductor die with different cte insulating layers
US9520365B2 (en) 2011-01-21 2016-12-13 STATS ChipPAC Pte. Ltd. Semiconductor device and method for forming semiconductor package having build-up interconnect structure over semiconductor die with different CTE insulating layers
US20130015557A1 (en) * 2011-07-13 2013-01-17 Zhiping Yang Semiconductor package including an external circuit element
US8597986B2 (en) * 2011-09-01 2013-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. System in package and method of fabricating same
US10515931B2 (en) 2011-09-01 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. System in package and method of fabricating same
US9414497B2 (en) 2011-11-15 2016-08-09 Cisco Technology, Inc. Semiconductor package including an embedded circuit component within a support structure of the package
US9129908B2 (en) * 2011-11-15 2015-09-08 Cisco Technology, Inc. Manufacturing a semiconductor package including an embedded circuit component within a support structure of the package
US20130122658A1 (en) * 2011-11-15 2013-05-16 Cisco Technology, Inc. Manufacturing a semiconductor package including an embedded circuit component within a support structure of the package
TWI485815B (en) * 2012-08-10 2015-05-21 矽品精密工業股份有限公司 Semiconductor package and method of fabricating the same
US10529680B2 (en) * 2013-07-25 2020-01-07 Cyntec Co., Ltd Encapsulated electronic device mounted on a redistribution layer
US10163747B2 (en) 2013-09-25 2018-12-25 STATS ChipPAC Pte. Ltd. Semiconductor device and method of controlling warpage in reconstituted wafer
US10297556B2 (en) 2013-09-25 2019-05-21 STATS ChipPAC Pte. Ltd. Semiconductor device and method of controlling warpage in reconstituted wafer
US20150084213A1 (en) * 2013-09-25 2015-03-26 Stats Chippac, Ltd. Semiconductor Device and Method of Controlling Warpage in Reconstituted Wafer
US9607965B2 (en) * 2013-09-25 2017-03-28 STATS ChipPAC Pte. Ltd. Semiconductor device and method of controlling warpage in reconstituted wafer
US9607949B2 (en) 2014-03-20 2017-03-28 Kabushiki Kaisha Toshiba Semiconductor device having semiconductor chips in resin and electronic circuit device with the semiconductor device
EP2930742A3 (en) * 2014-03-20 2016-03-09 Kabushiki Kaisha Toshiba Semiconductor device and electronic circuit device
TWI613765B (en) * 2014-03-20 2018-02-01 東芝股份有限公司 Semiconductor device and electronic circuit device
US20150279824A1 (en) * 2014-03-28 2015-10-01 Vijay K. Nair Electronic package and method of forming an electronic package
US20150325557A1 (en) * 2014-05-12 2015-11-12 Xintec Inc. Chip package and method for forming the same
US10157875B2 (en) * 2014-05-12 2018-12-18 Xintec Inc. Chip package and method for forming the same
US10262974B2 (en) * 2014-06-18 2019-04-16 Taiwan Semiconductor Manufacturing Company Method of manufacturing a semiconductor device
US20170221863A1 (en) * 2014-06-18 2017-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device and Method
US10002854B2 (en) * 2014-06-18 2018-06-19 Taiwan Semiconductor Manufacturing Company Semiconductor device and method
US9741688B2 (en) * 2014-06-18 2017-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
US10535638B2 (en) * 2014-06-18 2020-01-14 Taiwan Semiconductor Manufacturing Company Semiconductor device
US10861830B2 (en) 2014-06-18 2020-12-08 Taiwan Semiconductor Manufacturing Company Semiconductor device
US9947632B2 (en) * 2015-11-11 2018-04-17 Nexperia B.V. Semiconductor device and method of making a semiconductor device
US20170133335A1 (en) * 2015-11-11 2017-05-11 Nxp B.V. Semiconductor device and method of making a semiconductor device
CN108307661A (en) * 2015-11-20 2018-07-20 德卡科技公司 The micro-miniaturized semiconductor module moulded entirely
US10157890B2 (en) * 2015-12-31 2018-12-18 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of manufacturing the same
US20170345798A1 (en) * 2015-12-31 2017-11-30 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of manufacturing the same
US10062649B2 (en) * 2016-01-15 2018-08-28 Phoenix & Corporation Package substrate

Also Published As

Publication number Publication date
TWI463573B (en) 2014-12-01
KR20090071365A (en) 2009-07-01
US20120217634A9 (en) 2012-08-30
US20100052135A1 (en) 2010-03-04
SG153722A1 (en) 2009-07-29
KR101533459B1 (en) 2015-07-02
US20110147926A1 (en) 2011-06-23
US7923295B2 (en) 2011-04-12
TW200929388A (en) 2009-07-01

Similar Documents

Publication Publication Date Title
US7923295B2 (en) Semiconductor device and method of forming the device using sacrificial carrier
US9559029B2 (en) Semiconductor device and method of forming interconnect structure for encapsulated die having pre-applied protective layer
CN106505045B (en) Semiconductor package with routable encapsulated conductive substrate and method
EP1356519B1 (en) Integrated core microelectronic package
TWI614859B (en) Semiconductor device and method of forming extended semiconductor device with fan-out interconnect structure to reduce complexity of substrate
US9117812B2 (en) Semiconductor device and method of forming non-linear interconnect layer with extended length for joint reliability
KR101533460B1 (en) A semiconductor device and a method of making a semiconductor device
US20020070443A1 (en) Microelectronic package having an integrated heat sink and build-up layers
US20230187410A1 (en) Semiconductor devices and methods of manufacturing semiconductor devices
CN111834304A (en) Semiconductor device and method for manufacturing semiconductor device
TW202121608A (en) Semiconductor device and method of manufacturing semiconductor device
US20230110213A1 (en) Semiconductor devices and methods of manufacturing semiconductor devices
CN113690197A (en) Semiconductor device and method for manufacturing semiconductor device
US8048776B2 (en) Semiconductor device and method of supporting a wafer during backgrinding and reflow of solder bumps
US20100144093A1 (en) Integrated Circuit Device and Method of Manufacturing Thereof
TW202114092A (en) Semiconductor device and method of manufacturing a semiconductor device
US11908761B2 (en) Electronic devices with a redistribution layer and methods of manufacturing electronic devices with a redistribution layer
US20240038606A1 (en) Semiconductor devices and methods of manufacturing semiconductor devices
CN117810172A (en) Electronic device and method for manufacturing the same
CN112216674A (en) Semiconductor device and method for manufacturing semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: STATS CHIPPAC, LTD., SINGAPORE

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SHIM, IL KWON;LIN, YAOJIAN;CHOW, SENG GUAN;REEL/FRAME:020289/0981

Effective date: 20071221

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: CITICORP INTERNATIONAL LIMITED, AS COMMON SECURITY AGENT, HONG KONG

Free format text: SECURITY INTEREST;ASSIGNORS:STATS CHIPPAC, INC.;STATS CHIPPAC LTD.;REEL/FRAME:036288/0748

Effective date: 20150806

Owner name: CITICORP INTERNATIONAL LIMITED, AS COMMON SECURITY

Free format text: SECURITY INTEREST;ASSIGNORS:STATS CHIPPAC, INC.;STATS CHIPPAC LTD.;REEL/FRAME:036288/0748

Effective date: 20150806

AS Assignment

Owner name: STATS CHIPPAC PTE. LTE., SINGAPORE

Free format text: CHANGE OF NAME;ASSIGNOR:STATS CHIPPAC LD.;REEL/FRAME:038378/0442

Effective date: 20160329

AS Assignment

Owner name: STATS CHIPPAC PTE. LTD., SINGAPORE

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE ASSIGNOR NAME PREVIOUSLY RECORDED AT REEL: 039514 FRAME: 0451. ASSIGNOR(S) HEREBY CONFIRMS THE CHANGE OF NAME;ASSIGNOR:STATS CHIPPAC LTD.;REEL/FRAME:039980/0838

Effective date: 20160329

AS Assignment

Owner name: STATS CHIPPAC PTE. LTD. FORMERLY KNOWN AS STATS CHIPPAC LTD., SINGAPORE

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:CITICORP INTERNATIONAL LIMITED, AS COMMON SECURITY AGENT;REEL/FRAME:053476/0094

Effective date: 20190503

Owner name: STATS CHIPPAC, INC., CALIFORNIA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:CITICORP INTERNATIONAL LIMITED, AS COMMON SECURITY AGENT;REEL/FRAME:053476/0094

Effective date: 20190503