US20090124073A1 - Semiconductor device with bonding pad - Google Patents

Semiconductor device with bonding pad Download PDF

Info

Publication number
US20090124073A1
US20090124073A1 US12/354,171 US35417109A US2009124073A1 US 20090124073 A1 US20090124073 A1 US 20090124073A1 US 35417109 A US35417109 A US 35417109A US 2009124073 A1 US2009124073 A1 US 2009124073A1
Authority
US
United States
Prior art keywords
substrate
forming
metal
interconnect structure
bonding
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US12/354,171
Other versions
US7883917B2 (en
Inventor
Ming-Chyi Liu
Yuan-Hung Liu
Gwo-Yuh Shiau
Yuan-Chih Hsieh
Chi-Hsin Lo
Chia-Shiung Tsai
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US12/354,171 priority Critical patent/US7883917B2/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LO, CHI-HSIN, HSIEH, YUAN-CHIH, LIU, MING-CHYI, LIU, YUAN-HUNG, SHIAU, GWO-YUH, TSAI, CHIA-SHIUNG
Publication of US20090124073A1 publication Critical patent/US20090124073A1/en
Application granted granted Critical
Publication of US7883917B2 publication Critical patent/US7883917B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L24/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14636Interconnect structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/0212Auxiliary members for bonding areas, e.g. spacers
    • H01L2224/02122Auxiliary members for bonding areas, e.g. spacers being formed on the semiconductor or solid-state body
    • H01L2224/02163Auxiliary members for bonding areas, e.g. spacers being formed on the semiconductor or solid-state body on the bonding area
    • H01L2224/02165Reinforcing structures
    • H01L2224/02166Collar structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04042Bonding areas specifically adapted for wire connectors, e.g. wirebond pads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05075Plural internal layers
    • H01L2224/0508Plural internal layers being stacked
    • H01L2224/05085Plural internal layers being stacked with additional elements, e.g. vias arrays, interposed between the stacked layers
    • H01L2224/05089Disposition of the additional element
    • H01L2224/05093Disposition of the additional element of a plurality of vias
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05075Plural internal layers
    • H01L2224/0508Plural internal layers being stacked
    • H01L2224/05085Plural internal layers being stacked with additional elements, e.g. vias arrays, interposed between the stacked layers
    • H01L2224/05089Disposition of the additional element
    • H01L2224/05093Disposition of the additional element of a plurality of vias
    • H01L2224/05096Uniform arrangement, i.e. array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05556Shape in side view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05556Shape in side view
    • H01L2224/05558Shape in side view conformal layer on a patterned surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05617Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05624Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/45001Core members of the connector
    • H01L2224/45099Material
    • H01L2224/451Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/45138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/45144Gold (Au) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/45001Core members of the connector
    • H01L2224/45099Material
    • H01L2224/451Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/45138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/45147Copper (Cu) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/45001Core members of the connector
    • H01L2224/45099Material
    • H01L2224/451Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/45138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/45155Nickel (Ni) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/45001Core members of the connector
    • H01L2224/45099Material
    • H01L2224/451Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/45163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/45166Titanium (Ti) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/4805Shape
    • H01L2224/4807Shape of bonding interfaces, e.g. interlocking features
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/484Connecting portions
    • H01L2224/4845Details of ball bonds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/484Connecting portions
    • H01L2224/48463Connecting portions the connecting portion on the bonding area of the semiconductor or solid-state body being a ball bond
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/485Material
    • H01L2224/48505Material at the bonding interface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/485Material
    • H01L2224/48505Material at the bonding interface
    • H01L2224/48599Principal constituent of the connecting portion of the wire connector being Gold (Au)
    • H01L2224/486Principal constituent of the connecting portion of the wire connector being Gold (Au) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/48617Principal constituent of the connecting portion of the wire connector being Gold (Au) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950 °C
    • H01L2224/48624Aluminium (Al) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/485Material
    • H01L2224/48505Material at the bonding interface
    • H01L2224/48799Principal constituent of the connecting portion of the wire connector being Copper (Cu)
    • H01L2224/488Principal constituent of the connecting portion of the wire connector being Copper (Cu) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/48817Principal constituent of the connecting portion of the wire connector being Copper (Cu) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950 °C
    • H01L2224/48824Aluminium (Al) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L24/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14643Photodiode arrays; MOS imagers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01005Boron [B]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01007Nitrogen [N]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01014Silicon [Si]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01015Phosphorus [P]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01019Potassium [K]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01022Titanium [Ti]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01027Cobalt [Co]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01028Nickel [Ni]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01032Germanium [Ge]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01072Hafnium [Hf]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01082Lead [Pb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/0132Binary Alloys
    • H01L2924/01327Intermediate phases, i.e. intermetallics compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/050414th Group
    • H01L2924/05042Si3N4
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/095Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00 with a principal constituent of the material being a combination of two or more materials provided in the groups H01L2924/013 - H01L2924/0715
    • H01L2924/097Glass-ceramics, e.g. devitrified glass
    • H01L2924/09701Low temperature co-fired ceramic [LTCC]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/10251Elemental semiconductors, i.e. Group IV
    • H01L2924/10253Silicon [Si]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits

Definitions

  • the invention relates to semiconductor fabrication, and more particularly to a semiconductor device such as a complementary metal-oxide-semiconductor (CMOS) image sensor with a bonding pad.
  • CMOS complementary metal-oxide-semiconductor
  • CMOS image sensor is illuminated from the front (or top) side of the silicon die. Due to processing features (metallization, polysilicon, diffusions, etc.), the pixel area is partially obscured, resulting in a loss of photons reaching the sensitive area and a reduction in the area in which photons are effectively collected. This results in a reduction of the overall sensitivity of the sensor. If photons were collected from the backside of the pixel area, these obstacles could be overcome.
  • Backside illumination can, however, be difficult because of the thickness of the bulk silicon and the packaging technology that allows the backside to be exposed to the illumination source.
  • the thickness of a typical silicon wafer must be thinned considerably in order to absorb the photons in the sensitive area.
  • Prior approaches have utilized methods for bonding the die from a bonding pad in packages. The packages provide support to the thin die while providing unobstructed ports for illumination from the backside
  • U.S. Pat. No. 6,169,319 to Malinovich et al. disclose a method for producing a back-illuminated CMOS image sensor including a matrix of pixels (e.g., CMOS APS cells) that are fabricated on a semiconductor substrate.
  • the semiconductor substrate is secured to a protective substrate by an adhesive such that the processed (front side) surface of the semiconductor substrate faces the protective substrate.
  • the protective substrate providing structural support, the exposed backside surface of the semiconductor substrate is then subjected to grinding and/or chemical etching, followed by optional chemical/mechanical processing, to thin the semiconductor substrate to a range of 10 to 15 microns.
  • a transparent substrate e.g., glass
  • a semiconductor device such as a backside illuminated CMOS image sensor with a bonding pad.
  • the semiconductor device comprises: a first substrate having a device area and a bonding area, wherein the first substrate has an upper surface and a bottom surface; semiconductor elements disposed on the upper surface of the first substrate in the device area; a first inter-metal dielectric layer on the upper surface of the substrate in the bonding area; a lowermost metal pattern disposed in the first inter-metal dielectric layer, wherein the lowermost metal pattern serves as the bonding pad, and an opening through the first substrate exposing the lowermost metal pattern.
  • the semiconductor device comprises: a first substrate having a device area and a bonding area, wherein the first substrate has an upper surface and a bottom surface; semiconductor elements disposed on the upper surface in the device area; at least one inter-metal dielectric layer on the upper surface in the bonding area; an interconnect structure inlaid in the at least one inter-metal dielectric layer; an opening in the first substrate exposing the interconnect structure, and a conductive pattern disposed in the opening and connected to the interconnect structure, wherein the conductive pattern serves as the bonding pad.
  • FIG. 1 a is a schematic diagram showing an embodiment of a semiconductor device with a bonding pad
  • FIG. 1 b is a schematic diagram showing the semiconductor device with a bonding pad of FIG. 1 a and a bonding wire attached to the bonding pad;
  • FIG. 2 a is a schematic diagram showing another embodiment of a semiconductor device with a bonding pad
  • FIG. 2 b is a schematic diagram showing the semiconductor device with a bonding pad of FIG. 2 a and a bonding wire attached to the bonding pad;
  • FIG. 3 a is a schematic diagram showing yet another embodiment of a semiconductor device with a bonding pad
  • FIG. 3 b is a schematic diagram showing the semiconductor device with a bonding pad of FIG. 3 a and a bonding wire attached to the bonding pad;
  • FIG. 4 a is a schematic diagram showing yet another embodiment of a semiconductor device with a bonding pad.
  • FIG. 4 b is a schematic diagram showing the semiconductor device with a bonding pad of FIG. 4 a and a bonding wire attached to the bonding pad.
  • a first substrate 100 a semiconductor substrate such as silicon substrate, silicon germanium substrate or silicon-on-insulator (SOI) substrate is provided.
  • the first substrate 100 includes a device area 10 , a bonding area 20 and a scribe line area 30 adjacent to the bonding area 20 .
  • the first substrate 100 has an upper surface 6 for forming integrated circuits thereon from the front side and a bottom surface 5 from the back side.
  • the first substrate 100 may have shallow trench isolation (STI) 102 comprising silicon oxide to define the active area of the first substrate 100 .
  • STI shallow trench isolation
  • Semiconductor elements 104 are disposed on the upper surface 6 of the first substrate 100 in the device area 10 .
  • the semiconductor elements 104 may comprise complementary metal-oxide-semiconductor (CMOS) image sensors including CMOS transistors, photo diodes and other integrated circuits.
  • CMOS complementary metal-oxide-semiconductor
  • An insulating layer 106 such as silicon oxide, silicon nitride, silicon oxynitride, borophosphosilicate glass (BPSG), or borosilicate glass (BSG) is formed on the upper surface 6 of the first substrate 100 .
  • the insulating layer 106 covers the semiconductor elements 104 , shallow trench isolation 102 and the first substrate 100 by spin coating or chemical vapor deposition (CVD) such as low pressure chemical vapor deposition (LPCVD), plasma enhanced chemical vapor deposition (PECVD), high density plasma chemical vapor deposition (HDPCVD), or atomic layer chemical vapor deposition (ALCVD.
  • CVD chemical vapor deposition
  • LPCVD low pressure chemical vapor deposition
  • PECVD plasma enhanced chemical vapor deposition
  • HDPCVD high density plasma chemical vapor deposition
  • ACVD atomic layer chemical vapor deposition
  • a first inter-metal dielectric layer 118 is formed on the insulating layer 106 over the upper surface 6 of the first substrate 100 at least in the bonding area 20 by depositing a low dielectric constant material (having a k value less than 3.0) by chemical vapor deposition (CVD) such as low pressure chemical vapor deposition (LPCVD), plasma enhanced chemical vapor deposition (PECVD), high density plasma chemical vapor deposition (HDPCVD), or atomic layer chemical vapor deposition (ALCVD) or spin coating.
  • CVD chemical vapor deposition
  • LPCVD low pressure chemical vapor deposition
  • PECVD plasma enhanced chemical vapor deposition
  • HDPCVD high density plasma chemical vapor deposition
  • ACVD atomic layer chemical vapor deposition
  • a wide variety of low-k materials may be employed in accordance with embodiments of the invention, for example, spin-on inorganic dielectrics, spin-on organic dielectrics, porous dielectric materials, organic polymer or organic silica glass.
  • FSG SiOF series material
  • porous HSQ porous MSQ material or porous organic series material
  • a lowermost metal pattern 112 serving as the bonding pad, is disposed in the first inter-metal dielectric layer 118 in bonding area 20 .
  • a plurality of first via plugs 114 b are inlaid in the first inter-metal dielectric layer 118 and on the lowermost metal pattern 112 in the bonding area 20 while the first via plug 114 a and first via plugs 114 c through first inter-metal dielectric layer 118 and the insulating layer 106 are formed in the device area 10 and the scribe line area 30 respectively.
  • the lowermost metal pattern 112 and the first via plugs 114 a ⁇ 114 c are formed by a damascene technique including a series of photolithography and etching of the first inter-metal dielectric layer 118 and/or insulating layer 106 followed by electroplating a metal layer (not shown) such as copper or a alloy thereof and planarization of the metal layer by chemical mechanical polishing (CMP).
  • a metal layer such as copper or a alloy thereof
  • CMP chemical mechanical polishing
  • the lowermost metal pattern 112 is formed in the insulating layer 106 .
  • the lowermost metal pattern 112 may alternatively by formed by depositing a metal layer (not shown) such as an aluminum layer or a alloy thereof by physical vapor deposition (PVD) using a metal target followed by patterning the metal layer by photolithography and reactive ion etching (RIE) prior to formation of the first inter-metal dielectric layer 118 .
  • a metal layer such as an aluminum layer or a alloy thereof by physical vapor deposition (PVD) using a metal target followed by patterning the metal layer by photolithography and reactive ion etching (RIE) prior to formation of the first inter-metal dielectric layer 118 .
  • PVD physical vapor deposition
  • RIE reactive ion etching
  • lowermost metal pattern 112 may be made of a titanium-free copper-aluminum alloy layer to enhance bonding quality, such as adhesion, between the bonding pad and a subsequently formed bonding wire, thus bonding wire peeling off the bonding pad can be prevented.
  • a second inter-metal dielectric layer 122 is formed on the lowermost metal pattern 112 and the via plugs 114 a ⁇ 114 c.
  • the second inter-metal dielectric layer 122 is blanketly formed, which may be formed of substantially the same materials and using substantially the same methods as the first inter-metal dielectric layer 118 .
  • An intermediate metal pattern 120 b is disposed in the second inter-metal dielectric layer 122 in the bonding area 20 , thus, the lowermost metal pattern 112 and the intermediate metal pattern 120 b are electrically connected via plugs 114 b.
  • the intermediate metal pattern 120 b may be formed of substantially the same materials and using substantially the same methods as the lowermost metal pattern 112 .
  • the intermediate metal patterns 120 a and 120 c may be formed simultaneously in the device area 10 and the scribe line area 30 respectively and thus comprise the same materials as the intermediate metal pattern 120 b.
  • a plurality of second via plugs 121 b are inlaid in the second inter-metal dielectric layer 122 and on the intermediate metal pattern 120 b in the bonding area 20 while the second via plug 121 a and second via plugs 121 c through the second inter-metal dielectric layer 122 are respectively formed in the device area 10 and the scribe line area 30 .
  • the intermediate metal patterns and the via plugs in the second inter-metal dielectric layer 122 mentioned above can be formed using a damascene technique including a series of photolithography and etching of the second inter-metal dielectric layer 122 followed by electroplating a metal layer (not shown) such as copper or a alloy thereof and planarization of the metal layer by chemical mechanical polishing (CMP).
  • CMP chemical mechanical polishing
  • An additional inter-metal dielectric layer 128 is formed on the second inter-metal dielectric layer 122 and covering intermediate metal pattern 120 b and the via plugs 121 a ⁇ 121 c.
  • the additional inter-metal dielectric layer 128 is blanketly formed, which may be formed of substantially the same materials and using substantially the same methods as the first inter-metal dielectric layer 118 .
  • An intermediate metal pattern 124 a is disposed in the additional inter-metal dielectric layer 128 extending the bonding area 20 and the device area 10 so that the intermediate metal pattern 120 b and 124 a electrically connect each other through via plugs 121 b.
  • the intermediate metal pattern 124 a may be formed of substantially the same materials and using substantially the same methods as the lowermost metal pattern 112 .
  • the intermediate metal patterns 124 c may be formed simultaneously in the scribe line area 30 and thus comprise the same materials as the intermediate metal pattern 124 a.
  • a plurality of third via plugs 126 a and third via plugs 126 c are inlaid in the additional inter-metal dielectric layer 128 in the bonding area 20 and scribe line area 30 respectively.
  • a third inter-metal dielectric layer 132 is formed on the additional inter-metal dielectric layer 128 and covering the intermediate metal pattern 124 a, the via plugs 126 a and via plugs 126 c.
  • the third inter-metal dielectric layer 132 is blanketly formed, and may be formed of substantially the same materials and using substantially the same methods as the first inter-metal dielectric layer 118 .
  • An uppermost metal pattern 130 a is disposed in the third inter-metal dielectric layer 132 in the bonding area 20 while the uppermost metal pattern 130 c is simultaneously formed in the scribe line area 30 .
  • a interconnect structure comprising the lowermost metal pattern, intermediate metal pattern, uppermost metal pattern and via plugs mentioned above is created.
  • the lowermost metal pattern 112 is electrically connected to semiconductor elements 104 by the interconnect structure.
  • FIG. 1 b is a schematic diagram showing a semiconductor device 500 a such as a backside illuminated CMOS image sensor with the lowermost metal pattern 112 serving as the bonding pad of FIG. 1 a and a bonding wire attached to the bonding pad.
  • the semiconductor device as shown in FIG. 1 a is rotated 180° along the horizontal axis x so that the bottom surface 5 of the first substrate 100 faces upward.
  • An opening 198 exposing the lowermost metal pattern 112 is formed through the first substrate 100 by etching the first substrate 100 and the shallow trench isolation 102 .
  • the first substrate 100 may be ground and thinned from the back side.
  • the first inter-metal dielectric layer 118 and lowermost metal pattern 112 may be removed slightly during formation of the opening 198 .
  • a bonding wire 300 comprising a metal such as copper, gold, nickel, titanium or an alloy thereof is attached to the lowermost metal pattern 112 through the opening 198 by a wire bonding technique.
  • a second substrate 200 serving as a carrier substrate, is attached to the first substrate 100 so that the semiconductor elements 104 , the lowermost metal pattern 112 and the uppermost metal pattern 130 a are interposed therebetween.
  • the second substrate 200 is attached to the third inter-metal dielectric layer 132 .
  • the second substrate 200 may be made of substantially the same materials as first substrate 100 .
  • the second substrate 200 may comprise glass, plastic material, ceramic material or other suitable materials.
  • FIG. 2 a and FIG. 2 b Another embodiment of a semiconductor device with a bonding pad is shown as FIG. 2 a and FIG. 2 b, in which the semiconductor device 500 b as shown in FIG. 2 b is rotated 180° of that of FIG. 2 a, thus the bottom surface 5 of the first substrate 100 faces upward and the upper surface 6 of the first substrate 100 faces downward.
  • the semiconductor device of FIG. 2 a is substantially the same as that of FIG. 1 a except that an etching stop layer 107 is formed between the lowermost metal pattern 112 and the insulating layer 106 over the upper surface 6 of the first substrate 100 .
  • the etching stop layer 107 may comprise silicon nitride, silicon oxynitride, silicon carbide or combination thereof. In one embodiment, the etching stop layer 107 may have an etching selectivity of about 2 to about 20 with respect to the insulating layer 106 .
  • the first inter-metal dielectric layer 118 and the lowermost metal pattern 112 can be protected by the etching stop layer 107 during the formation of the opening 198 by the etching step, thus over-etching of the first inter-metal dielectric layer 118 and lowermost metal pattern 112 can be prevented and adhesion quality between the bonding pad and bonding wire can further be prevented.
  • the etching stop layer 107 is then removed from the opening 198 to expose the lowermost metal pattern 112 before forming the bonding wire 300 as shown in FIG. 2 b.
  • FIG. 3 a and FIG. 3 b Yet another embodiment of a semiconductor device such as a backside illuminated CMOS image sensor with a bonding pad is shown in FIG. 3 a and FIG. 3 b .
  • the semiconductor device 500 c as shown in FIG. 3 b is rotated by 180° from that of FIG. 3 a, thus the bottom surface 5 of the first substrate 100 faces upward and the upper surface 6 of the first substrate 100 faces downward.
  • the semiconductor device of FIG. 3 a is substantially the same as that of FIG. 1 a except that lowermost metal pattern 112 is relatively thicker and intermediate metal pattern 120 b is not formed so that the lowermost metal pattern 112 is connected to intermediate metal pattern 124 a through via plugs 14 b.
  • adhesion quality may be ensured if the lowermost metal pattern 112 is over-etched.
  • FIG. 4 a and FIG. 4 b Another embodiment of a semiconductor device such as backside illuminated CMOS image sensor with a bonding pad is shown in FIG. 4 a and FIG. 4 b.
  • semiconductor device 500 d shown in FIG. 4 b is by rotated 180° from that of FIG. 4 a, thus the bottom surface 5 of the first substrate 100 faces upward and the upper surface 6 of the first substrate 100 faces downward.
  • the semiconductor device of FIG. 4 a is substantially the same as that of FIG. 1 a except that insulating layer 106 , the lowermost metal pattern 112 and the intermediate metal pattern 120 b are not formed so that deeper via plugs 214 are formed through the first inter-metal dielectric layer 218 and second inter-metal dielectric layer 122 .
  • An interconnect structure 207 including via plugs 214 , 126 a, intermediate metal pattern 124 a and uppermost metal pattern 130 a is created to communicate with the semiconductor elements 104 .
  • an opening 198 exposing the interconnect structure 207 is formed through the first substrate 100 by etching the first substrate 100 and the shallow trench isolation 102 using wet etching with an etchant containing KOH and/or HF solution.
  • the first substrate 100 may be ground and thinned from the back side of the first substrate 100 .
  • a part of shallow trench isolation 102 a may remain after forming the opening 198 .
  • a conductive pattern 298 serving as the bonding pad is formed on the interconnect structure 207 and the remaining shallow trench isolation 102 a in the opening 198 .
  • the conductive pattern 298 is electrically connected to the semiconductor elements 104 by the interconnect structure 207 .
  • the conductive pattern 298 may be formed by depositing a metal layer such as copper, aluminum or an alloy thereof by physical vapor deposition (PVD) or sputtering deposition using a metal target.
  • a patterned photoresist layer is then formed by photolithography comprising photoresist spin coating, soft baking, exposing, developing, and hard baking.
  • the metal layer is anisotropically etched by reactive ion etching (RIE) not covered by the patterned photoresist layer to form the conductive pattern 298 .
  • the conductive pattern 298 may be made of a titanium-free copper-aluminum alloy layer to enhance bonding quality, such as adhesion, between the bonding pad and a subsequently formed bonding wire.
  • a bonding wire 300 comprising a metal such as copper, gold or an alloy thereof is then attached to the conductive pattern 298 through the opening 198 by wire bonding technique.

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Solid State Image Pick-Up Elements (AREA)

Abstract

A method for forming a semiconductor device with a bonding pad is disclosed. A first substrate having a device area and a bonding area is provided, wherein the first substrate has an upper surface and a bottom surface. Semiconductor elements are formed on the upper surface of the first substrate in the device area. A first inter-metal dielectric layer is formed on the upper surface of the substrate in the bonding area. A lowermost metal pattern is formed in the first inter-metal dielectric layer, wherein the lowermost metal pattern serves as the bonding pad. An opening through the first substrate is formed to expose the lowermost metal pattern.

Description

  • This application is a continuation of pending U.S. patent application Ser. No. 11/696,296, filed Apr. 4, 2007 and entitled “SEMICONDUCTOR DEVICE WITH BONDING PAD”, which is hereby incorporated by reference.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The invention relates to semiconductor fabrication, and more particularly to a semiconductor device such as a complementary metal-oxide-semiconductor (CMOS) image sensor with a bonding pad.
  • 2. Description of the Related Art
  • Typically, a CMOS image sensor is illuminated from the front (or top) side of the silicon die. Due to processing features (metallization, polysilicon, diffusions, etc.), the pixel area is partially obscured, resulting in a loss of photons reaching the sensitive area and a reduction in the area in which photons are effectively collected. This results in a reduction of the overall sensitivity of the sensor. If photons were collected from the backside of the pixel area, these obstacles could be overcome.
  • Backside illumination can, however, be difficult because of the thickness of the bulk silicon and the packaging technology that allows the backside to be exposed to the illumination source. The thickness of a typical silicon wafer must be thinned considerably in order to absorb the photons in the sensitive area. Prior approaches have utilized methods for bonding the die from a bonding pad in packages. The packages provide support to the thin die while providing unobstructed ports for illumination from the backside
  • U.S. Pat. No. 6,169,319 to Malinovich et al. disclose a method for producing a back-illuminated CMOS image sensor including a matrix of pixels (e.g., CMOS APS cells) that are fabricated on a semiconductor substrate. The semiconductor substrate is secured to a protective substrate by an adhesive such that the processed (front side) surface of the semiconductor substrate faces the protective substrate. With the protective substrate providing structural support, the exposed backside surface of the semiconductor substrate is then subjected to grinding and/or chemical etching, followed by optional chemical/mechanical processing, to thin the semiconductor substrate to a range of 10 to 15 microns. A transparent substrate (e.g., glass) is then secured to the backside surface of the semiconductor substrate, thereby sandwiching the semiconductor substrate between the transparent substrate and the protective substrate
  • There are, however, still some problems regarding the bonding quality between the bonding pad of CMOS image sensor and the bonding wire.
  • BRIEF SUMMARY OF THE INVENTION
  • A need to develop an improved semiconductor device with a bonding pad to eliminate the aforementioned problems thus exists.
  • A semiconductor device such as a backside illuminated CMOS image sensor with a bonding pad is provided. The semiconductor device comprises: a first substrate having a device area and a bonding area, wherein the first substrate has an upper surface and a bottom surface; semiconductor elements disposed on the upper surface of the first substrate in the device area; a first inter-metal dielectric layer on the upper surface of the substrate in the bonding area; a lowermost metal pattern disposed in the first inter-metal dielectric layer, wherein the lowermost metal pattern serves as the bonding pad, and an opening through the first substrate exposing the lowermost metal pattern.
  • Another semiconductor device such as a backside illuminated CMOS image sensor with a bonding pad is provided. The semiconductor device comprises: a first substrate having a device area and a bonding area, wherein the first substrate has an upper surface and a bottom surface; semiconductor elements disposed on the upper surface in the device area; at least one inter-metal dielectric layer on the upper surface in the bonding area; an interconnect structure inlaid in the at least one inter-metal dielectric layer; an opening in the first substrate exposing the interconnect structure, and a conductive pattern disposed in the opening and connected to the interconnect structure, wherein the conductive pattern serves as the bonding pad.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The invention can be more fully understood by reading the subsequent detailed description and examples with references made to the accompanying drawings, wherein:
  • FIG. 1 a is a schematic diagram showing an embodiment of a semiconductor device with a bonding pad;
  • FIG. 1 b is a schematic diagram showing the semiconductor device with a bonding pad of FIG. 1 a and a bonding wire attached to the bonding pad;
  • FIG. 2 a is a schematic diagram showing another embodiment of a semiconductor device with a bonding pad;
  • FIG. 2 b is a schematic diagram showing the semiconductor device with a bonding pad of FIG. 2 a and a bonding wire attached to the bonding pad;
  • FIG. 3 a is a schematic diagram showing yet another embodiment of a semiconductor device with a bonding pad;
  • FIG. 3 b is a schematic diagram showing the semiconductor device with a bonding pad of FIG. 3 a and a bonding wire attached to the bonding pad;
  • FIG. 4 a is a schematic diagram showing yet another embodiment of a semiconductor device with a bonding pad; and
  • FIG. 4 b is a schematic diagram showing the semiconductor device with a bonding pad of FIG. 4 a and a bonding wire attached to the bonding pad.
  • DETAILED DESCRIPTION OF THE INVENTION
  • The following description is of the best-contemplated mode of carrying out the invention. This description is made for the purpose of illustrating the general principles of the invention and should not be taken in a limiting sense. The scope of the invention is best determined by reference to the appended claims.
  • As shown in FIG. 1 a, a first substrate 100, a semiconductor substrate such as silicon substrate, silicon germanium substrate or silicon-on-insulator (SOI) substrate is provided. The first substrate 100 includes a device area 10, a bonding area 20 and a scribe line area 30 adjacent to the bonding area 20. The first substrate 100 has an upper surface 6 for forming integrated circuits thereon from the front side and a bottom surface 5 from the back side. The first substrate 100 may have shallow trench isolation (STI) 102 comprising silicon oxide to define the active area of the first substrate 100. Semiconductor elements 104 are disposed on the upper surface 6 of the first substrate 100 in the device area 10. The semiconductor elements 104 may comprise complementary metal-oxide-semiconductor (CMOS) image sensors including CMOS transistors, photo diodes and other integrated circuits. An insulating layer 106 such as silicon oxide, silicon nitride, silicon oxynitride, borophosphosilicate glass (BPSG), or borosilicate glass (BSG) is formed on the upper surface 6 of the first substrate 100. The insulating layer 106 covers the semiconductor elements 104, shallow trench isolation 102 and the first substrate 100 by spin coating or chemical vapor deposition (CVD) such as low pressure chemical vapor deposition (LPCVD), plasma enhanced chemical vapor deposition (PECVD), high density plasma chemical vapor deposition (HDPCVD), or atomic layer chemical vapor deposition (ALCVD. A first inter-metal dielectric layer 118 is formed on the insulating layer 106 over the upper surface 6 of the first substrate 100 at least in the bonding area 20 by depositing a low dielectric constant material (having a k value less than 3.0) by chemical vapor deposition (CVD) such as low pressure chemical vapor deposition (LPCVD), plasma enhanced chemical vapor deposition (PECVD), high density plasma chemical vapor deposition (HDPCVD), or atomic layer chemical vapor deposition (ALCVD) or spin coating. A wide variety of low-k materials may be employed in accordance with embodiments of the invention, for example, spin-on inorganic dielectrics, spin-on organic dielectrics, porous dielectric materials, organic polymer or organic silica glass. For example, SiLK (manufactured by The Dow Chemical Co. in the U.S.A., k=2.7) or FLARE of a polyallyl ether (PAE) series material (manufactured by Honeywell Electronic Materials Co., k=2.8), Black Diamond (manufactured by Applied Materials Inc. in the U.S.A., k=3.0˜2.4). FSG (SiOF series material), HSQ (hydrogen silsesquioxane, k=2.8˜3.0), MSQ (methyl silsesquioxane, k=2.5˜2.7), porous HSQ, porous MSQ material or porous organic series material may also be used.
  • A lowermost metal pattern 112, serving as the bonding pad, is disposed in the first inter-metal dielectric layer 118 in bonding area 20. A plurality of first via plugs 114 b are inlaid in the first inter-metal dielectric layer 118 and on the lowermost metal pattern 112 in the bonding area 20 while the first via plug 114 a and first via plugs 114 c through first inter-metal dielectric layer 118 and the insulating layer 106 are formed in the device area 10 and the scribe line area 30 respectively. In one embodiment of the invention, the lowermost metal pattern 112 and the first via plugs 114 a˜114 c are formed by a damascene technique including a series of photolithography and etching of the first inter-metal dielectric layer 118 and/or insulating layer 106 followed by electroplating a metal layer (not shown) such as copper or a alloy thereof and planarization of the metal layer by chemical mechanical polishing (CMP). In an alternative embodiment, the lowermost metal pattern 112 is formed in the insulating layer 106.
  • The lowermost metal pattern 112 may alternatively by formed by depositing a metal layer (not shown) such as an aluminum layer or a alloy thereof by physical vapor deposition (PVD) using a metal target followed by patterning the metal layer by photolithography and reactive ion etching (RIE) prior to formation of the first inter-metal dielectric layer 118. Next, the first via plugs 114 a˜114 c are formed in the first inter-metal dielectric layer 118 and/or the insulating layer 106. It is noted that lowermost metal pattern 112 may be made of a titanium-free copper-aluminum alloy layer to enhance bonding quality, such as adhesion, between the bonding pad and a subsequently formed bonding wire, thus bonding wire peeling off the bonding pad can be prevented.
  • A second inter-metal dielectric layer 122 is formed on the lowermost metal pattern 112 and the via plugs 114 a˜114 c. The second inter-metal dielectric layer 122 is blanketly formed, which may be formed of substantially the same materials and using substantially the same methods as the first inter-metal dielectric layer 118. An intermediate metal pattern 120 b is disposed in the second inter-metal dielectric layer 122 in the bonding area 20, thus, the lowermost metal pattern 112 and the intermediate metal pattern 120 b are electrically connected via plugs 114 b. The intermediate metal pattern 120 b may be formed of substantially the same materials and using substantially the same methods as the lowermost metal pattern 112. In the step of forming the intermediate metal pattern 120 b, the intermediate metal patterns 120 a and 120 c may be formed simultaneously in the device area 10 and the scribe line area 30 respectively and thus comprise the same materials as the intermediate metal pattern 120 b.
  • A plurality of second via plugs 121 b are inlaid in the second inter-metal dielectric layer 122 and on the intermediate metal pattern 120 b in the bonding area 20 while the second via plug 121 a and second via plugs 121 c through the second inter-metal dielectric layer 122 are respectively formed in the device area 10 and the scribe line area 30. The intermediate metal patterns and the via plugs in the second inter-metal dielectric layer 122 mentioned above can be formed using a damascene technique including a series of photolithography and etching of the second inter-metal dielectric layer 122 followed by electroplating a metal layer (not shown) such as copper or a alloy thereof and planarization of the metal layer by chemical mechanical polishing (CMP).
  • An additional inter-metal dielectric layer 128 is formed on the second inter-metal dielectric layer 122 and covering intermediate metal pattern 120 b and the via plugs 121 a˜121 c. The additional inter-metal dielectric layer 128 is blanketly formed, which may be formed of substantially the same materials and using substantially the same methods as the first inter-metal dielectric layer 118. An intermediate metal pattern 124 a is disposed in the additional inter-metal dielectric layer 128 extending the bonding area 20 and the device area 10 so that the intermediate metal pattern 120 b and 124 a electrically connect each other through via plugs 121 b. The intermediate metal pattern 124 a may be formed of substantially the same materials and using substantially the same methods as the lowermost metal pattern 112. In the step of forming the intermediate metal pattern 124 a, the intermediate metal patterns 124 c may be formed simultaneously in the scribe line area 30 and thus comprise the same materials as the intermediate metal pattern 124 a.
  • A plurality of third via plugs 126 a and third via plugs 126 c are inlaid in the additional inter-metal dielectric layer 128 in the bonding area 20 and scribe line area 30 respectively. A third inter-metal dielectric layer 132 is formed on the additional inter-metal dielectric layer 128 and covering the intermediate metal pattern 124 a, the via plugs 126 a and via plugs 126 c. The third inter-metal dielectric layer 132 is blanketly formed, and may be formed of substantially the same materials and using substantially the same methods as the first inter-metal dielectric layer 118. An uppermost metal pattern 130 a is disposed in the third inter-metal dielectric layer 132 in the bonding area 20 while the uppermost metal pattern 130 c is simultaneously formed in the scribe line area 30.
  • A interconnect structure comprising the lowermost metal pattern, intermediate metal pattern, uppermost metal pattern and via plugs mentioned above is created. The lowermost metal pattern 112 is electrically connected to semiconductor elements 104 by the interconnect structure.
  • FIG. 1 b is a schematic diagram showing a semiconductor device 500 a such as a backside illuminated CMOS image sensor with the lowermost metal pattern 112 serving as the bonding pad of FIG. 1 a and a bonding wire attached to the bonding pad. The semiconductor device as shown in FIG. 1 a is rotated 180° along the horizontal axis x so that the bottom surface 5 of the first substrate 100 faces upward. An opening 198 exposing the lowermost metal pattern 112 is formed through the first substrate 100 by etching the first substrate 100 and the shallow trench isolation 102. Before forming the opening 198, the first substrate 100 may be ground and thinned from the back side. The first inter-metal dielectric layer 118 and lowermost metal pattern 112 may be removed slightly during formation of the opening 198.
  • A bonding wire 300 comprising a metal such as copper, gold, nickel, titanium or an alloy thereof is attached to the lowermost metal pattern 112 through the opening 198 by a wire bonding technique. Furthermore, a second substrate 200, serving as a carrier substrate, is attached to the first substrate 100 so that the semiconductor elements 104, the lowermost metal pattern 112 and the uppermost metal pattern 130 a are interposed therebetween. In an exemplary embodiment of the invention, the second substrate 200 is attached to the third inter-metal dielectric layer 132. The second substrate 200 may be made of substantially the same materials as first substrate 100. Alternatively, the second substrate 200 may comprise glass, plastic material, ceramic material or other suitable materials.
  • Another embodiment of a semiconductor device with a bonding pad is shown as FIG. 2 a and FIG. 2 b, in which the semiconductor device 500 b as shown in FIG. 2 b is rotated 180° of that of FIG. 2 a, thus the bottom surface 5 of the first substrate 100 faces upward and the upper surface 6 of the first substrate 100 faces downward.
  • The semiconductor device of FIG. 2 a is substantially the same as that of FIG. 1 a except that an etching stop layer 107 is formed between the lowermost metal pattern 112 and the insulating layer 106 over the upper surface 6 of the first substrate 100. The etching stop layer 107 may comprise silicon nitride, silicon oxynitride, silicon carbide or combination thereof. In one embodiment, the etching stop layer 107 may have an etching selectivity of about 2 to about 20 with respect to the insulating layer 106. The first inter-metal dielectric layer 118 and the lowermost metal pattern 112 can be protected by the etching stop layer 107 during the formation of the opening 198 by the etching step, thus over-etching of the first inter-metal dielectric layer 118 and lowermost metal pattern 112 can be prevented and adhesion quality between the bonding pad and bonding wire can further be prevented. The etching stop layer 107 is then removed from the opening 198 to expose the lowermost metal pattern 112 before forming the bonding wire 300 as shown in FIG. 2 b.
  • Yet another embodiment of a semiconductor device such as a backside illuminated CMOS image sensor with a bonding pad is shown in FIG. 3 a and FIG. 3 b. In the figures the semiconductor device 500 c as shown in FIG. 3 b is rotated by 180° from that of FIG. 3 a, thus the bottom surface 5 of the first substrate 100 faces upward and the upper surface 6 of the first substrate 100 faces downward. The semiconductor device of FIG. 3 a is substantially the same as that of FIG. 1 a except that lowermost metal pattern 112 is relatively thicker and intermediate metal pattern 120 b is not formed so that the lowermost metal pattern 112 is connected to intermediate metal pattern 124 a through via plugs 14 b. In the exemplary semiconductor device 500 c having relatively thicker lowermost metal pattern 112, adhesion quality may be ensured if the lowermost metal pattern 112 is over-etched.
  • Another embodiment of a semiconductor device such as backside illuminated CMOS image sensor with a bonding pad is shown in FIG. 4 a and FIG. 4 b. In the figures, semiconductor device 500 d shown in FIG. 4 b is by rotated 180° from that of FIG. 4 a, thus the bottom surface 5 of the first substrate 100 faces upward and the upper surface 6 of the first substrate 100 faces downward. The semiconductor device of FIG. 4 a is substantially the same as that of FIG. 1 a except that insulating layer 106, the lowermost metal pattern 112 and the intermediate metal pattern 120 b are not formed so that deeper via plugs 214 are formed through the first inter-metal dielectric layer 218 and second inter-metal dielectric layer 122. An interconnect structure 207 including via plugs 214, 126 a, intermediate metal pattern 124 a and uppermost metal pattern 130 a is created to communicate with the semiconductor elements 104.
  • As shown in FIG. 4 b, an opening 198 exposing the interconnect structure 207 is formed through the first substrate 100 by etching the first substrate 100 and the shallow trench isolation 102 using wet etching with an etchant containing KOH and/or HF solution. Before forming the opening 198, the first substrate 100 may be ground and thinned from the back side of the first substrate 100. In one embodiment, a part of shallow trench isolation 102 a may remain after forming the opening 198. Also, a conductive pattern 298 serving as the bonding pad is formed on the interconnect structure 207 and the remaining shallow trench isolation 102 a in the opening 198. The conductive pattern 298 is electrically connected to the semiconductor elements 104 by the interconnect structure 207. The conductive pattern 298 may be formed by depositing a metal layer such as copper, aluminum or an alloy thereof by physical vapor deposition (PVD) or sputtering deposition using a metal target. A patterned photoresist layer is then formed by photolithography comprising photoresist spin coating, soft baking, exposing, developing, and hard baking. The metal layer is anisotropically etched by reactive ion etching (RIE) not covered by the patterned photoresist layer to form the conductive pattern 298. In an exemplary embodiment of the invention, the conductive pattern 298 may be made of a titanium-free copper-aluminum alloy layer to enhance bonding quality, such as adhesion, between the bonding pad and a subsequently formed bonding wire. A bonding wire 300 comprising a metal such as copper, gold or an alloy thereof is then attached to the conductive pattern 298 through the opening 198 by wire bonding technique.
  • While the invention has been described by way of example and in terms of the preferred embodiments, it is to be understood that the invention is not limited to the disclosed embodiments. To the contrary, it is intended to cover various modifications and similar arrangements (as would be apparent to those skilled in the art). Therefore, the scope of the appended claims should be accorded the broadest interpretation so as to encompass all such modifications and similar arrangements.

Claims (21)

1-20. (canceled)
21. A method for forming a semiconductor device with a bonding pad, the method comprising:
providing a first substrate having an upper surface and a bottom surface, the upper surface comprising a device area and a bonding area;
forming semiconductor elements in the device area;
forming an interconnect structure over the bonding area and the device area, wherein the interconnect structure comprises a plurality of inter-metal dielectric layers, wherein the interconnect structure further comprises via plugs and metal patterns encased within the inter-metal dielectric layers, and wherein a metal pattern disposed over the bonding area defines a bond pad; and
forming an opening through the first substrate to expose the bond pad.
22. The method of claim 21, further comprising a step of thinning the first substrate before the step of forming an opening through the first substrate.
23. The method of claim 21, further comprising a step of attaching a second substrate to the interconnect structure so that the interconnect structure is interposed between the first substrate and the second substrate.
24. The method of claim 23, wherein the second substrate comprises a material selected from the group of glass, plastic material, and ceramic material.
25. The method of claim 21, wherein the step of forming an opening through the first substrate comprises wet etching the first substrate with an etchant containing a KOH or HF solution.
26. The method of claim 21, further comprising a step of attaching a bonding wire to the exposed bond pad.
27. The method of claim 21, wherein the via plugs and metal patterns encased within the inter-metal dielectric layers electrically connect the semiconductor elements to the bond pad.
28. The method of claim 21, further comprising a step of depositing an etch stop layer over the bonding area and the device area, wherein the etch stop layer is interposed between the upper surface of the first substrate and the interconnect structure.
29. The of claim 21, further comprising a step of forming a shallow trench isolation structure in the bonding region.
30. The of claim 21, wherein the semiconductor elements comprise CMOS image sensors.
31. A method for forming a semiconductor device with a bonding pad, the method comprising:
providing a first substrate having an upper surface and a bottom surface, the upper surface comprising a device area and a bonding area;
forming semiconductor elements in the device area;
forming an interconnect structure over the bonding area and the device area, wherein the interconnect structure comprises a plurality of inter-metal dielectric layers, and wherein the interconnect structure further comprises via plugs and metal patterns encased within the inter-metal dielectric layers;
forming an opening through the first substrate to expose a portion of the interconnect structure over the bonding area; and
forming a bond pad by depositing a layer of metal onto the exposed portion of the interconnect structure.
32. The method of claim 31, further comprising a step of thinning the first substrate before forming an opening through the first substrate.
33. The method of claim 31, further comprising a step of attaching a second substrate to the interconnect structure so that the interconnect structure is interposed between the first substrate and the second substrate.
34. The method of claim 33, wherein the second substrate comprises a material selected from the group of glass, plastic material, and ceramic material.
35. The method of claim 31, wherein the step of forming an opening through the first substrate comprises wet etching the first substrate with an etchant containing a KOH or HF solution.
36. The method of claim 31, further comprising a step of attaching a bonding wire to the bond pad.
37. The method of claim 31, wherein the step of forming an opening through the first substrate exposes via plugs in the interconnect structure, and wherein the exposed via plugs electrically connect to the bond pad.
38. The method of claim 31, further comprising a step of forming a shallow trench isolation structure in the bonding region.
39. The method of claim 31, wherein the step of depositing a layer of metal comprises depositing a metal layer by physical vapor deposition, patterning a layer of photoresist over the metal layer so that portions of the metal layer are covered by photoresist, and removing the portions of the metal layer not covered by photoresist.
40. The method of claim 31, wherein the layer of metal comprises copper or aluminum.
US12/354,171 2007-04-04 2009-01-15 Semiconductor device with bonding pad Active US7883917B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/354,171 US7883917B2 (en) 2007-04-04 2009-01-15 Semiconductor device with bonding pad

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/696,296 US20080246152A1 (en) 2007-04-04 2007-04-04 Semiconductor device with bonding pad
US12/354,171 US7883917B2 (en) 2007-04-04 2009-01-15 Semiconductor device with bonding pad

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/696,296 Continuation US20080246152A1 (en) 2007-04-04 2007-04-04 Semiconductor device with bonding pad

Publications (2)

Publication Number Publication Date
US20090124073A1 true US20090124073A1 (en) 2009-05-14
US7883917B2 US7883917B2 (en) 2011-02-08

Family

ID=39826239

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/696,296 Abandoned US20080246152A1 (en) 2007-04-04 2007-04-04 Semiconductor device with bonding pad
US12/354,171 Active US7883917B2 (en) 2007-04-04 2009-01-15 Semiconductor device with bonding pad

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/696,296 Abandoned US20080246152A1 (en) 2007-04-04 2007-04-04 Semiconductor device with bonding pad

Country Status (2)

Country Link
US (2) US20080246152A1 (en)
CN (1) CN100590857C (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100279489A1 (en) * 2009-05-01 2010-11-04 Power Gold LLC Semiconductor bond pad patterns and method of formation
US20120248606A1 (en) * 2011-03-31 2012-10-04 Novatek Microelectronics Corp. Integrated circuit device
US20120292730A1 (en) * 2011-05-20 2012-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device Having a Bonding Pad and Method of Manufacturing The Same
TWI612648B (en) * 2009-07-29 2018-01-21 台灣積體電路製造股份有限公司 Front side illuminated image sensors
US11139329B2 (en) * 2016-07-15 2021-10-05 Sony Corporation Solid-state imaging element, manufacturing method, and electronic apparatus
US11626366B2 (en) 2021-06-22 2023-04-11 Silicon Laboratories Inc. Shielding using layers with staggered trenches
US11749712B2 (en) 2019-12-24 2023-09-05 Skyworks Solutions, Inc. High dielectric constant material at locations of high fields

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100602131B1 (en) * 2004-12-30 2006-07-19 동부일렉트로닉스 주식회사 Semiconductor device and method for fabricating the same
KR100824637B1 (en) * 2007-06-26 2008-04-25 주식회사 동부하이텍 Nor flash device and method for fabricating the device
US7659595B2 (en) * 2007-07-16 2010-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded bonding pad for backside illuminated image sensor
FR2930840B1 (en) * 2008-04-30 2010-08-13 St Microelectronics Crolles 2 METHOD FOR RECOVERING CONTACT ON A REAR-FACING LIGHT CIRCUIT
JP4655137B2 (en) * 2008-10-30 2011-03-23 ソニー株式会社 Semiconductor device
US8513119B2 (en) * 2008-12-10 2013-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming bump structure having tapered sidewalls for stacked dies
TWI458056B (en) * 2009-01-12 2014-10-21 Vanguard Int Semiconduct Corp Contact pad supporting structure and integrated circuit
US8227288B2 (en) * 2009-03-30 2012-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Image sensor and method of fabricating same
TWI402985B (en) * 2009-06-02 2013-07-21 Anpec Electronics Corp Integrated structure of igbt and diode and method of forming the same
JP5331891B2 (en) * 2009-09-21 2013-10-30 株式会社東芝 Semiconductor device
US8384214B2 (en) * 2009-10-13 2013-02-26 United Microelectronics Corp. Semiconductor structure, pad structure and protection structure
JP5442394B2 (en) * 2009-10-29 2014-03-12 ソニー株式会社 SOLID-STATE IMAGING DEVICE, ITS MANUFACTURING METHOD, AND ELECTRONIC DEVICE
US8247852B2 (en) * 2009-11-17 2012-08-21 Omnivision Technologies, Inc. Backside illuminated imaging sensor with reinforced pad structure
JP5582879B2 (en) * 2010-06-09 2014-09-03 株式会社東芝 Semiconductor device and manufacturing method thereof
US9165970B2 (en) 2011-02-16 2015-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Back side illuminated image sensor having isolated bonding pads
US8378490B2 (en) * 2011-03-15 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor apparatus including a metal alloy between a first contact and a second contact
US8441131B2 (en) * 2011-09-12 2013-05-14 Globalfoundries Inc. Strain-compensating fill patterns for controlling semiconductor chip package interactions
US9064707B2 (en) * 2011-09-14 2015-06-23 Micronas Gmbh Bonding contact area on a semiconductor substrate
US9041204B2 (en) 2012-03-30 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding pad structure with dense via array
US8710607B2 (en) * 2012-07-12 2014-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for image sensor packaging
US9041206B2 (en) * 2013-03-12 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method
US9653381B2 (en) 2014-06-17 2017-05-16 Micron Technology, Inc. Semiconductor structures and die assemblies including conductive vias and thermally conductive elements and methods of forming such structures
US9881884B2 (en) 2015-08-14 2018-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US9929114B1 (en) * 2016-11-02 2018-03-27 Vanguard International Semiconductor Corporation Bonding pad structure having island portions and method for manufacturing the same
CN108269776A (en) * 2016-12-30 2018-07-10 应广科技股份有限公司 Circuit structure and its manufacturing method under weld pad
DE102018105462A1 (en) 2018-03-09 2019-09-12 Infineon Technologies Ag SEMICONDUCTOR DEVICE CONTAINING A BONDPAD AND A BONDED WIRE OR CLIP
US10811365B2 (en) 2018-12-28 2020-10-20 Micron Technology, Inc. Semiconductor devices having crack-inhibiting structures
US10784212B2 (en) * 2018-12-28 2020-09-22 Micron Technology, Inc. Semiconductor devices having crack-inhibiting structures
CN109980345B (en) * 2019-03-22 2021-04-09 中国电子科技集团公司第三十八研究所 On-chip antenna and antenna array
JP2021158320A (en) * 2020-03-30 2021-10-07 キヤノン株式会社 Semiconductor apparatus and manufacturing method thereof, and device
US20210366852A1 (en) * 2020-05-25 2021-11-25 Nanya Technology Corporation Semiconductor structure and method of forming the same

Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5956569A (en) * 1997-10-24 1999-09-21 Taiwan Semiconductor Manufacturing Company Ltd. Integrated thermoelectric cooler formed on the backside of a substrate
US6251724B1 (en) * 1999-11-01 2001-06-26 Taiwan Semiconductor Manufacturing Company Method to increase the clear ration of capacitor silicon nitride to improve the threshold voltage uniformity
US6297563B1 (en) * 1998-10-01 2001-10-02 Yamaha Corporation Bonding pad structure of semiconductor device
US20010030332A1 (en) * 2000-04-14 2001-10-18 Fujitsu Limited. CMOS image sensor and manufacturing method of the same
US20020030277A1 (en) * 2000-04-03 2002-03-14 Taiwan Semiconductor Manufacturing Company Novel self-aligned, low contact resistance, via fabrication process
US20040014308A1 (en) * 2002-02-06 2004-01-22 Kellar Scot A. Barrier structure against corrosion and contamination in three-dimensional (3-D) wafer-to-wafer vertical stack
US20050001318A1 (en) * 2003-07-01 2005-01-06 Won Seok-Jun Electrical interconnection, method of forming the electrical interconnection, image sensor having the electrical interconnection and method of manufacturing the image sensor
US20050090035A1 (en) * 2003-10-24 2005-04-28 Mangnachip Semiconductor, Ltd. Method for fabricating CMOS image sensor protecting low temperature oxide delamination
US6921976B2 (en) * 2001-02-28 2005-07-26 Sanyo Electric Co., Ltd. Semiconductor device including an island-like dielectric member embedded in a conductive pattern
US20050176174A1 (en) * 1992-04-08 2005-08-11 Elm Technology Corporation Methodof making an integrated circuit
US6943442B2 (en) * 2002-12-03 2005-09-13 Shinko Electric Industries Co., Ltd. Electronic parts packaging structure having mutually connected electronic parts that are buried in a insulating film
US20050230847A1 (en) * 2004-04-14 2005-10-20 Jian-Hsing Lee Bonding pad structure and method of forming the same
US20060180938A1 (en) * 2005-02-14 2006-08-17 Fujitsu Limited Semiconductor device, method of manufacturing the same, capacitor structure, and method of manufacturing the same
US20060202348A1 (en) * 2005-03-10 2006-09-14 Sanyo Electric Co., Ltd. Semiconductor device and manufacturing method thereof
US7161222B2 (en) * 2003-02-18 2007-01-09 Oki Electric Industry Co., Ltd. Semiconductor device and semiconductor device fabrication method
US20070052053A1 (en) * 2005-08-29 2007-03-08 Chiu-Te Lee Complementary metal oxide semiconductor image sensor and fabricating method thereof
US20070123021A1 (en) * 2005-11-25 2007-05-31 Hung-Der Su Circuit under pad structure and bonding pad process

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3591524B2 (en) 2002-05-27 2004-11-24 日本電気株式会社 Semiconductor device mounting board, method of manufacturing the same, board inspection method thereof, and semiconductor package

Patent Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050176174A1 (en) * 1992-04-08 2005-08-11 Elm Technology Corporation Methodof making an integrated circuit
US5956569A (en) * 1997-10-24 1999-09-21 Taiwan Semiconductor Manufacturing Company Ltd. Integrated thermoelectric cooler formed on the backside of a substrate
US6297563B1 (en) * 1998-10-01 2001-10-02 Yamaha Corporation Bonding pad structure of semiconductor device
US6251724B1 (en) * 1999-11-01 2001-06-26 Taiwan Semiconductor Manufacturing Company Method to increase the clear ration of capacitor silicon nitride to improve the threshold voltage uniformity
US20020030277A1 (en) * 2000-04-03 2002-03-14 Taiwan Semiconductor Manufacturing Company Novel self-aligned, low contact resistance, via fabrication process
US20010030332A1 (en) * 2000-04-14 2001-10-18 Fujitsu Limited. CMOS image sensor and manufacturing method of the same
US6921976B2 (en) * 2001-02-28 2005-07-26 Sanyo Electric Co., Ltd. Semiconductor device including an island-like dielectric member embedded in a conductive pattern
US20040014308A1 (en) * 2002-02-06 2004-01-22 Kellar Scot A. Barrier structure against corrosion and contamination in three-dimensional (3-D) wafer-to-wafer vertical stack
US6943442B2 (en) * 2002-12-03 2005-09-13 Shinko Electric Industries Co., Ltd. Electronic parts packaging structure having mutually connected electronic parts that are buried in a insulating film
US7161222B2 (en) * 2003-02-18 2007-01-09 Oki Electric Industry Co., Ltd. Semiconductor device and semiconductor device fabrication method
US20050001318A1 (en) * 2003-07-01 2005-01-06 Won Seok-Jun Electrical interconnection, method of forming the electrical interconnection, image sensor having the electrical interconnection and method of manufacturing the image sensor
US20050090035A1 (en) * 2003-10-24 2005-04-28 Mangnachip Semiconductor, Ltd. Method for fabricating CMOS image sensor protecting low temperature oxide delamination
US20050230847A1 (en) * 2004-04-14 2005-10-20 Jian-Hsing Lee Bonding pad structure and method of forming the same
US20060180938A1 (en) * 2005-02-14 2006-08-17 Fujitsu Limited Semiconductor device, method of manufacturing the same, capacitor structure, and method of manufacturing the same
US20060202348A1 (en) * 2005-03-10 2006-09-14 Sanyo Electric Co., Ltd. Semiconductor device and manufacturing method thereof
US20070052053A1 (en) * 2005-08-29 2007-03-08 Chiu-Te Lee Complementary metal oxide semiconductor image sensor and fabricating method thereof
US20070123021A1 (en) * 2005-11-25 2007-05-31 Hung-Der Su Circuit under pad structure and bonding pad process

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100279489A1 (en) * 2009-05-01 2010-11-04 Power Gold LLC Semiconductor bond pad patterns and method of formation
US8153510B2 (en) * 2009-05-01 2012-04-10 Power Gold LLC Semiconductor bond pad patterns and method of formation
TWI612648B (en) * 2009-07-29 2018-01-21 台灣積體電路製造股份有限公司 Front side illuminated image sensors
US20120248606A1 (en) * 2011-03-31 2012-10-04 Novatek Microelectronics Corp. Integrated circuit device
US8618660B2 (en) * 2011-03-31 2013-12-31 Novatek Microelectronics Corp. Integrated circuit device
US20120292730A1 (en) * 2011-05-20 2012-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device Having a Bonding Pad and Method of Manufacturing The Same
US8664736B2 (en) * 2011-05-20 2014-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding pad structure for a backside illuminated image sensor device and method of manufacturing the same
US11139329B2 (en) * 2016-07-15 2021-10-05 Sony Corporation Solid-state imaging element, manufacturing method, and electronic apparatus
US11749712B2 (en) 2019-12-24 2023-09-05 Skyworks Solutions, Inc. High dielectric constant material at locations of high fields
US11626366B2 (en) 2021-06-22 2023-04-11 Silicon Laboratories Inc. Shielding using layers with staggered trenches

Also Published As

Publication number Publication date
CN101281891A (en) 2008-10-08
US7883917B2 (en) 2011-02-08
CN100590857C (en) 2010-02-17
US20080246152A1 (en) 2008-10-09

Similar Documents

Publication Publication Date Title
US7883917B2 (en) Semiconductor device with bonding pad
US7759712B2 (en) Solid-state imaging device, solid-state imaging apparatus and methods for manufacturing the same
CN102074564B (en) Bonding process for CMOS image sensor
JP5543992B2 (en) Integrated circuit structure and backside illuminated image sensor device
KR100687102B1 (en) Image sensor and method of manufacturing the same
JP4384454B2 (en) Image element
US8003433B2 (en) Process for fabricating a high-integration-density image sensor
US20090283871A1 (en) System, Structure, and Method of Manufacturing a Semiconductor Substrate Stack
CN104425453A (en) 3DIC interconnect apparatus and method
US9059180B2 (en) Thick bond pad for chip with cavity package
US20180122844A1 (en) Selective deposition and planarization for a cmos image sensor
US7755120B2 (en) Semiconductor device
CN103456681A (en) Method and apparatus for back end of line semiconductor device processing
US20110024864A1 (en) Semiconductor device and method for manufacturing the same
US11705474B2 (en) Metal reflector grounding for noise reduction in light detector
KR100938951B1 (en) Backside illuminated image sensor and method for manufacturing the same
US20080054387A1 (en) Image Sensor and Method for Manufacturing the Same
TWI806300B (en) Method of forming metal grid, backside-illuminated image sensor and method of forming the same
US11901390B2 (en) Semiconductor device
US20240136383A1 (en) Semiconductor device
US20230378225A1 (en) Bond pad structure for bonding improvement
KR20090058762A (en) Backside illuminated image sensor and method for manufacturing the same
KR20100080235A (en) Cmos image sensor and method of manufacturing the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD., TAIW

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LIU, MING-CHYI;LIU, YUAN-HUNG;SHIAU, GWO-YUH;AND OTHERS;REEL/FRAME:022112/0932;SIGNING DATES FROM 20070306 TO 20070326

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD., TAIW

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LIU, MING-CHYI;LIU, YUAN-HUNG;SHIAU, GWO-YUH;AND OTHERS;SIGNING DATES FROM 20070306 TO 20070326;REEL/FRAME:022112/0932

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552)

Year of fee payment: 8

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 12