US20090065032A1 - Apparatus and method for removing photoresist from a substrate - Google Patents

Apparatus and method for removing photoresist from a substrate Download PDF

Info

Publication number
US20090065032A1
US20090065032A1 US12/230,293 US23029308A US2009065032A1 US 20090065032 A1 US20090065032 A1 US 20090065032A1 US 23029308 A US23029308 A US 23029308A US 2009065032 A1 US2009065032 A1 US 2009065032A1
Authority
US
United States
Prior art keywords
photoresist
reactant
carbon dioxide
ozone
scco
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/230,293
Inventor
Donggyun Han
Woosung Han
Changki Hong
Sangjun Choi
Hyungho Ko
Hyosan Lee
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US12/230,293 priority Critical patent/US20090065032A1/en
Publication of US20090065032A1 publication Critical patent/US20090065032A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/906Cleaning of wafer as interim step

Definitions

  • Photoresist is an organic polymer which becomes soluble when exposed to light. Photoresist is used in many applications within various industries, such as the semiconductor, biomedical engineering, holographic, electronics, and nanofabrication industries. As an example, photoresist is used to help define circuit patterns during chip fabrication in the semiconductor industry. The use of photoresist prevents etching or plating in the area the photoresist covers (this is also know as resist).
  • FIG. 1A illustrates a cross-sectional view
  • FIG. 1B illustrates a top view of a photoresist exhibiting a hard outer crust 40 ′ caused by ion implantation.
  • the hard outer 40 ′ crust may be on the order of 200 to 300 ⁇ thick.
  • FIG. 2 is a cross-sectional view illustrating the ion implantation step.
  • FIG. 2 illustrates a substrate 110 , a gate electrode 10 , an insulation film 11 , and n-region of a source/drain region 20 , a spacer 30 , a photoresist pattern 40 , and a well 50 .
  • a hard outer crust 40 ′ is formed on the photoresist pattern 40 .
  • FIG. 3A illustrates a cross-section view
  • FIG. 3B illustrates a top view of a photoresist exhibiting residue after an etching process or a chemical mechanical polishing (CMP) process.
  • FIG. 3A illustrates a substrate 110 , an etched player 60 , a photoresist pattern 70 , and a hard outer crust 70 ′, which is formed when the photoresist pattern 70 is exposed to ion implantation 75
  • FIGS. 3A and 3B illustrate residue 80 and an organic defect 90 .
  • photoresist has been removed by a plasma ashing process followed by a stripping process.
  • the plasma ashing process utilizes O 2 plasma which may cause damage to the sublayer and thereby degrade the electrical performance of the underlying semiconductor device.
  • the stripping process requires high quantities of toxic and/or corrosive chemicals to remove photoreactive polymers or photoresist from chip surfaces.
  • a second method for removing photoresist or other organic material from a substrate such as a semiconductor wafer includes partially immersing the substrate in a solvent, for example, deionized water, in a reaction chamber, injecting an oxidizing gas, for example, ozone, into the reaction chamber and rotating or otherwise moving the substrate through the solvent to coat a thick film of solvent over the organic component on the substrate surface and expose the solvent-coated component to the ozone gas to remove the organic material from the surface.
  • the resist removal techniques utilizing ozone are incapable of dissolving a hard outer crust caused by an ion implantation step.
  • FIG. 4 illustrates a failure of a resist removal techniques using ozone to remove a hard outer crust of the photoresist caused by ion implantation with a dose of 3 ⁇ 10 15 ions/cm 2 or higher.
  • the present invention is directed to a method of removing photoresist from a substrate, which includes treating the photoresist with a first reactant to cause swelling, cracking or delamination of the photoresist, treating the photoresist with a second reactant to chemically alter the photoresist, and subsequently removing the chemically altered photoresist with a third reactant.
  • the present invention is directed to a method of removing photoresist from a substrate, which includes treating the photoresist with supercritical carbon dioxide (SCCO 2 ), treating the photoresist with an ozone-based reactant, and removing the photoresist with deionized water.
  • SCCO 2 supercritical carbon dioxide
  • the present invention is directed to a method of removing photoresist from a substrate, which includes loading the substrate into a chamber, injecting a first reactant into the chamber and converting the first reactant to supercritical condition, maintaining contact between the substrate and the supercritical first reactant, depressurizing the chamber, injecting a second reactant into the chamber, maintaining contact between the substrate and the second reactant, purging the chamber and unloading the substrate, removing the photoresist, and drying the substrate.
  • the present invention is directed to an apparatus for removing photoresist from a substrate, which includes at least one chamber for treating the photoresist with a first reactant to cause swelling, cracking or delamination of the photoresist, for treating the photoresist with a second reactant to chemically alter the photoresist, for rinsing the substrate, for drying the substrate and for holding the substrate and a transfer device for transferring the substrate between chambers.
  • the present invention may also be used to remove normal photoresist in addition to the hard outer crust. Still further, exemplary embodiments of the present invention do not damage the underlying photoresist. Still further, exemplary embodiments of the present invention do not use organic contaminants or leave an organic residue.
  • FIG. 1A illustrates a cross-sectional view
  • FIG. 1B illustrates a top view of a photoresist exhibiting a hard outer crust 40 ′ caused by ion implantation.
  • FIG. 2 is a cross-sectional view illustrating a conventional ion implantation step.
  • FIG. 3A illustrates a cross-section view
  • FIG. 3B illustrates a top view of a photoresist exhibiting residue after a conventional etching process or a conventional chemical mechanical polishing (CMP) process.
  • CMP chemical mechanical polishing
  • FIG. 4 illustrates the failure of conventional resist removal techniques using ozone to remove a hard outer crust of the photoresist caused by ion implantation with a dose of 3 ⁇ 10 15 ions/cm 2 or higher.
  • FIG. 5 illustrates an apparatus for removing photoresist from a substrate in accordance with an exemplary embodiment of the present invention.
  • FIG. 6 illustrates an SCCO 2 treatment chamber of FIG. 1 and associated elements in accordance with an exemplary embodiment of the present invention.
  • FIG. 7 illustrates the ozone vapor treatment chamber of FIG. 5 in an exemplary embodiment of the present invention.
  • FIG. 8A illustrates a flow chart of an exemplary method of the present invention
  • FIG. 8B illustrates an exemplary pressure versus time graph for the flowchart of FIG. 8A .
  • FIG. 9A illustrates a flow chart of an exemplary embodiment of the present invention taking place in a monolithic chamber and FIG. 9B illustrates the corresponding pressure versus time plot.
  • FIG. 10 illustrates a phase diagram for CO 2 , illustrating the pressure versus temperature region at which CO 2 becomes supercritical.
  • FIG. 11 illustrates a method of the present invention in accordance with another exemplary embodiment.
  • FIG. 5 illustrates an apparatus for removing photoresist from a substrate in accordance with an exemplary embodiment of the present invention.
  • the apparatus includes at least one chamber 100 .
  • At least one substrate is provided in at least one chamber 100 .
  • the substrate 110 may be provided via a cassette 120 .
  • the apparatus may also include a transfer chamber 200 , an SCCO 2 treatment chamber 300 , an ozone vapor treatment chamber 400 , a rinse (or bath) chamber 500 , and drying chamber 600 .
  • the substrate 110 may be moved from chambers 100 to 600 via a mechanical or electromechanical device, such as robotic arm 210 .
  • FIG. 6 illustrates the SCCO 2 treatment chamber 300 of FIG. 5 and associated elements in accordance with an exemplary embodiment of the present invention.
  • FIG. 6 illustrates the SCCO 2 treatment chamber 300 , a wafer plate 301 , a heater jacket 305 , a CO 2 cylinder 310 , a CO 2 inlet conduit 312 , a CO 2 pressure pump 314 , and a CO 2 heater 316 .
  • FIG. 6 also illustrates an SCCO 2 generator 317 , one or more CO 2 control valves 318 , 328 , 338 , 348 , an exhausted CO 2 reservoir 320 , an exhausted CO 2 outlet conduit 322 , a circulation conduit 332 , a circulation pump 334 , and a CO 2 return 342 .
  • FIG. 7 illustrates the ozone vapor treatment chamber 400 of FIG. 5 in an exemplary embodiment of the present invention.
  • FIG. 7 illustrates the ozone vapor treatment chamber 400 , a wafer plate 401 , a heater jacket 405 , an ozone gas generator 410 , an ozone gas inlet conduit 412 , and an ozone control valve 418 .
  • FIG. 7 further illustrates a vapor generator 420 , a vapor inlet conduit 422 , and a vapor control valve 428 .
  • the ozone vapor treatment chamber 400 further includes an exhausted gas reservoir 430 , an exhausted gas outlet conduit 432 , and an exhausted gas control valve 438 .
  • FIG. 8A illustrates a flow chart of an exemplary method of the present invention
  • FIG. 8B illustrates a pressure versus time graph for the flowchart of FIG. 8A
  • a substrate 110 is loaded in the SCCO 2 treatment chamber 300 .
  • CO 2 is injected into the SCCO 2 treatment chamber 300 and CO 2 is converted to SCCO 2 .
  • the SCCO 2 is maintained in contact with the substrate 110 .
  • the SCCO 2 treatment chamber 300 is depressurized and the wafer 110 is removed.
  • the substrate 110 is loaded into the ozone vapor treatment chamber 400 and at step 50 , ozone vapor is injected into the ozone vapor treatment 400 under desired conditions.
  • the ozone vapor is maintained in contact with the substrate 110 .
  • the ozone vapor chamber 400 is purged and the substrate 110 is removed.
  • the substrate 110 is moved to a rinse or bath chamber 500 for rinsing and at step 60 , the substrate 110 is moved to the drying chamber 600 for drying.
  • FIG. 5 of the present application illustrates a multi-chamber apparatus
  • teachings of the present invention may also be applied to a monolithic chamber apparatus.
  • FIG. 9A illustrates a flow chart of an exemplary embodiment of the present invention taking place in a monolithic chamber and FIG. 9B illustrates the corresponding pressure versus time plot.
  • step 62 the substrate 110 is loaded into the monolithic chamber.
  • step 64 CO 2 is injected into the monolithic chamber and converted to SCCO 2 .
  • step 66 the SCCO 2 is maintained in contact with the substrate 110 .
  • step 68 the monolithic chamber is depressurized and at step 70 , ozone vapor is injected.
  • step 72 the ozone vapor is maintained in contact with the substrate 110 and in step 74 , the monolithic chamber is purged and the substrate 110 is unloaded. Subsequently, as indicated in step 76 and 78 , the substrate 110 may be rinsed and dried outside the monolithic chamber.
  • FIG. 10 illustrates a phase diagram for CO 2 , illustrating the pressure versus temperature region at which CO 2 becomes supercritical.
  • FIG. 11 illustrates a method of the present invention in accordance with another exemplary embodiment.
  • a substrate 110 is placed in the pressure chamber.
  • the pressure chamber is sealed.
  • the pressure chamber is pressurized with CO 2 and at step 808 , the CO 2 is converted to SCCO 2 by increasing the pressure and temperature.
  • the pressure must be above 73 bar and the temperature above 31° C., as illustrated in FIG. 10 .
  • the SCCO 2 is maintained in contact with the substrate 110 .
  • Step 810 causes swelling, cracking and/or delamination of the photoresist on the substrate 110 .
  • the temperature is maintained about 100° C.
  • the chamber is depressurized to normal atmospheric pressure and vented.
  • the substrate 110 is transferred to a second pressure chamber and at step 816 that pressure chamber is sealed.
  • the second pressure chamber is pressurized to elevated pressure. In an exemplary embodiment, the pressure is above 60 kPa.
  • ozone gas and water vapor are provided at elevated temperature.
  • the ozone gas is provided at a temperature of about 105° C. and water vapor is provided at a temperature of about 115° C.
  • the reaction is maintained until the photoresist is converted into a water-soluble product and at step 822 , the second chamber is depressurized to normal atmosphere and vented.
  • the substrate is rinsed and the water-soluble product removed.
  • An exemplary embodiment of the method of the present invention includes three steps.
  • the first step is a treatment with a first reactant, to cause swelling, cracking, or delamination of a photoresist
  • the second step is treatment with a second reactant to chemically alter the photoresist
  • the third step is removing the chemically altered photoresist with a third reactant.
  • the first reactant is SCCO 2
  • the second reactant is an ozone-based reactant
  • the third reactant is deionized water.
  • the ozone-based reactant is ozone vapor, in another exemplary embodiment, highly concentrated ozone vapor.
  • the ozone vapor has a concentration equal to or greater than 90,000 ppm.
  • the ozone-based reactant is ozone gas mixed with water vapor
  • the first step is a treatment with SCCO 2
  • the second step is treatment with an ozone-based reactant
  • the third step is a rinsing step.
  • exemplary process conditions may be maintained.
  • the temperature within the chamber may be maintained between 100 and 150° C. and the pressure between 150 and 200 bars.
  • the temperature of the chamber may be maintained at 105° C. and the temperature of the vapor at 115° C.
  • a temperature gap between the chamber and the vapor is in the range of about 10° C. to 15° C.
  • a pressure gap is between 60 kPa and 80 kPa. It is noted that a pressure higher than 80 kPa may be maintained, as long as proper safety precautions are observed.
  • concentration of the ozone gas in an exemplary embodiment, the concentration is 90,000 ppm or greater at the ozone generator.
  • FIGS. 5-7 the arrangement of the apparatuses illustrated in FIGS. 5-7 is exemplary, and could be modified, to add, replace, or delete elements, as would be known to one of ordinary skill in the art. It is further noted that the methods illustrated in FIGS. 8A , 9 A, and 11 are also exemplary, and various steps could be added, replaced, or deleted, as would also be known to one of ordinary skill in the art.

Abstract

An apparatus and method for removing photoresist from a substrate, which includes treating the photoresist with a first reactant to cause swelling, cracking or delamination of the photoresist, treating the photoresist with a second reactant to chemically alter the photoresist, and subsequently removing the chemically altered photoresist with a third reactant. In one example, the first reactant is supercritical carbon dioxide (SCCO2), the second reactant is ozone vapor, and the third reactant is deionized water.

Description

    BACKGROUND OF THE INVENTION
  • Photoresist is an organic polymer which becomes soluble when exposed to light. Photoresist is used in many applications within various industries, such as the semiconductor, biomedical engineering, holographic, electronics, and nanofabrication industries. As an example, photoresist is used to help define circuit patterns during chip fabrication in the semiconductor industry. The use of photoresist prevents etching or plating in the area the photoresist covers (this is also know as resist).
  • The removal of photoresist, commonly known as “stripping” is preceded by plasma ashing, etching, or other manufacturing steps. These steps can degrade or carbonize the photoresist and leave a photoresist reside that is difficult to remove by current stripping methods. In particular, ion implantation with a dose of 3×1015 ions/cm2 or higher creates a photoresist exhibiting a hard outer crust covering a soft core. FIG. 1A illustrates a cross-sectional view and FIG. 1B illustrates a top view of a photoresist exhibiting a hard outer crust 40′ caused by ion implantation. As illustrated in FIGS. 1A and 1B, the hard outer 40′ crust may be on the order of 200 to 300 Å thick.
  • FIG. 2 is a cross-sectional view illustrating the ion implantation step. FIG. 2 illustrates a substrate 110, a gate electrode 10, an insulation film 11, and n-region of a source/drain region 20, a spacer 30, a photoresist pattern 40, and a well 50. When the photoresist pattern 40 is exposed to ion implantation 45, a hard outer crust 40′ is formed on the photoresist pattern 40.
  • Residue may also be a problem. FIG. 3A illustrates a cross-section view and FIG. 3B illustrates a top view of a photoresist exhibiting residue after an etching process or a chemical mechanical polishing (CMP) process. FIG. 3A illustrates a substrate 110, an etched player 60, a photoresist pattern 70, and a hard outer crust 70′, which is formed when the photoresist pattern 70 is exposed to ion implantation 75, FIGS. 3A and 3B illustrate residue 80 and an organic defect 90.
  • Conventionally, photoresist has been removed by a plasma ashing process followed by a stripping process. The plasma ashing process utilizes O2 plasma which may cause damage to the sublayer and thereby degrade the electrical performance of the underlying semiconductor device. The stripping process requires high quantities of toxic and/or corrosive chemicals to remove photoreactive polymers or photoresist from chip surfaces.
  • In order to overcome these problems, other stripping methods have been developed including organic and/or inorganic stripping solvents with supercritical carbon dioxide (SCCO2) or ozone (O3) gas. Techniques which remove resist using SCCO2 utilize a densified CO2 cleaning composition which includes CO2 and at least one cosolvent such as a surfactant, alcohol, or amine. However, the methods utilizing SCCO2 and a cosolvent are incapable of dissolving a hard outer crust of a photoresist caused by ion implantation.
  • A second method for removing photoresist or other organic material from a substrate such as a semiconductor wafer includes partially immersing the substrate in a solvent, for example, deionized water, in a reaction chamber, injecting an oxidizing gas, for example, ozone, into the reaction chamber and rotating or otherwise moving the substrate through the solvent to coat a thick film of solvent over the organic component on the substrate surface and expose the solvent-coated component to the ozone gas to remove the organic material from the surface. Again, the resist removal techniques utilizing ozone are incapable of dissolving a hard outer crust caused by an ion implantation step. FIG. 4 illustrates a failure of a resist removal techniques using ozone to remove a hard outer crust of the photoresist caused by ion implantation with a dose of 3×1015 ions/cm2 or higher.
  • SUMMARY OF THE INVENTION
  • In exemplary embodiments, the present invention is directed to a method of removing photoresist from a substrate, which includes treating the photoresist with a first reactant to cause swelling, cracking or delamination of the photoresist, treating the photoresist with a second reactant to chemically alter the photoresist, and subsequently removing the chemically altered photoresist with a third reactant.
  • In exemplary embodiments, the present invention is directed to a method of removing photoresist from a substrate, which includes treating the photoresist with supercritical carbon dioxide (SCCO2), treating the photoresist with an ozone-based reactant, and removing the photoresist with deionized water.
  • In exemplary embodiments, the present invention is directed to a method of removing photoresist from a substrate, which includes loading the substrate into a chamber, injecting a first reactant into the chamber and converting the first reactant to supercritical condition, maintaining contact between the substrate and the supercritical first reactant, depressurizing the chamber, injecting a second reactant into the chamber, maintaining contact between the substrate and the second reactant, purging the chamber and unloading the substrate, removing the photoresist, and drying the substrate.
  • In exemplary embodiments, the present invention is directed to an apparatus for removing photoresist from a substrate, which includes at least one chamber for treating the photoresist with a first reactant to cause swelling, cracking or delamination of the photoresist, for treating the photoresist with a second reactant to chemically alter the photoresist, for rinsing the substrate, for drying the substrate and for holding the substrate and a transfer device for transferring the substrate between chambers.
  • In exemplary embodiments, the present invention may also be used to remove normal photoresist in addition to the hard outer crust. Still further, exemplary embodiments of the present invention do not damage the underlying photoresist. Still further, exemplary embodiments of the present invention do not use organic contaminants or leave an organic residue.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The present invention will become more fully understood from the detailed description given below and the accompanying drawings, which are given for purposes of illustration only, and thus do not limit the invention.
  • FIG. 1A illustrates a cross-sectional view and FIG. 1B illustrates a top view of a photoresist exhibiting a hard outer crust 40′ caused by ion implantation.
  • FIG. 2 is a cross-sectional view illustrating a conventional ion implantation step.
  • FIG. 3A illustrates a cross-section view and FIG. 3B illustrates a top view of a photoresist exhibiting residue after a conventional etching process or a conventional chemical mechanical polishing (CMP) process.
  • FIG. 4 illustrates the failure of conventional resist removal techniques using ozone to remove a hard outer crust of the photoresist caused by ion implantation with a dose of 3×1015 ions/cm2 or higher.
  • FIG. 5 illustrates an apparatus for removing photoresist from a substrate in accordance with an exemplary embodiment of the present invention.
  • FIG. 6 illustrates an SCCO2 treatment chamber of FIG. 1 and associated elements in accordance with an exemplary embodiment of the present invention.
  • FIG. 7 illustrates the ozone vapor treatment chamber of FIG. 5 in an exemplary embodiment of the present invention.
  • FIG. 8A illustrates a flow chart of an exemplary method of the present invention and FIG. 8B illustrates an exemplary pressure versus time graph for the flowchart of FIG. 8A.
  • FIG. 9A illustrates a flow chart of an exemplary embodiment of the present invention taking place in a monolithic chamber and FIG. 9B illustrates the corresponding pressure versus time plot.
  • FIG. 10 illustrates a phase diagram for CO2, illustrating the pressure versus temperature region at which CO2 becomes supercritical.
  • FIG. 11 illustrates a method of the present invention in accordance with another exemplary embodiment.
  • DETAILED DESCRIPTION OF THE EXEMPLARY EMBODIMENTS
  • FIG. 5 illustrates an apparatus for removing photoresist from a substrate in accordance with an exemplary embodiment of the present invention. As illustrated in FIG. 5, the apparatus includes at least one chamber 100. At least one substrate is provided in at least one chamber 100. The substrate 110 may be provided via a cassette 120. The apparatus may also include a transfer chamber 200, an SCCO2 treatment chamber 300, an ozone vapor treatment chamber 400, a rinse (or bath) chamber 500, and drying chamber 600. The substrate 110 may be moved from chambers 100 to 600 via a mechanical or electromechanical device, such as robotic arm 210.
  • FIG. 6 illustrates the SCCO2 treatment chamber 300 of FIG. 5 and associated elements in accordance with an exemplary embodiment of the present invention. FIG. 6 illustrates the SCCO2 treatment chamber 300, a wafer plate 301, a heater jacket 305, a CO2 cylinder 310, a CO2 inlet conduit 312, a CO2 pressure pump 314, and a CO2 heater 316. FIG. 6 also illustrates an SCCO2 generator 317, one or more CO2 control valves 318, 328, 338, 348, an exhausted CO2 reservoir 320, an exhausted CO2 outlet conduit 322, a circulation conduit 332, a circulation pump 334, and a CO2 return 342.
  • FIG. 7 illustrates the ozone vapor treatment chamber 400 of FIG. 5 in an exemplary embodiment of the present invention. FIG. 7 illustrates the ozone vapor treatment chamber 400, a wafer plate 401, a heater jacket 405, an ozone gas generator 410, an ozone gas inlet conduit 412, and an ozone control valve 418. FIG. 7 further illustrates a vapor generator 420, a vapor inlet conduit 422, and a vapor control valve 428. The ozone vapor treatment chamber 400 further includes an exhausted gas reservoir 430, an exhausted gas outlet conduit 432, and an exhausted gas control valve 438.
  • FIG. 8A illustrates a flow chart of an exemplary method of the present invention and FIG. 8B illustrates a pressure versus time graph for the flowchart of FIG. 8A. At step 42, a substrate 110 is loaded in the SCCO2 treatment chamber 300. At step 44, CO2 is injected into the SCCO2 treatment chamber 300 and CO2 is converted to SCCO2. At step 46, the SCCO2 is maintained in contact with the substrate 110. At step 48, the SCCO2 treatment chamber 300 is depressurized and the wafer 110 is removed. At step 50, the substrate 110 is loaded into the ozone vapor treatment chamber 400 and at step 50, ozone vapor is injected into the ozone vapor treatment 400 under desired conditions. At step 54, the ozone vapor is maintained in contact with the substrate 110. In step 56, the ozone vapor chamber 400 is purged and the substrate 110 is removed. At step 58, the substrate 110 is moved to a rinse or bath chamber 500 for rinsing and at step 60, the substrate 110 is moved to the drying chamber 600 for drying.
  • Although FIG. 5 of the present application illustrates a multi-chamber apparatus, the teachings of the present invention may also be applied to a monolithic chamber apparatus.
  • FIG. 9A illustrates a flow chart of an exemplary embodiment of the present invention taking place in a monolithic chamber and FIG. 9B illustrates the corresponding pressure versus time plot.
  • As shown in FIG. 9A, in step 62, the substrate 110 is loaded into the monolithic chamber. In step 64, CO2 is injected into the monolithic chamber and converted to SCCO2. At step 66, the SCCO2 is maintained in contact with the substrate 110. At step 68, the monolithic chamber is depressurized and at step 70, ozone vapor is injected. At step 72, the ozone vapor is maintained in contact with the substrate 110 and in step 74, the monolithic chamber is purged and the substrate 110 is unloaded. Subsequently, as indicated in step 76 and 78, the substrate 110 may be rinsed and dried outside the monolithic chamber.
  • FIG. 10 illustrates a phase diagram for CO2, illustrating the pressure versus temperature region at which CO2 becomes supercritical.
  • FIG. 11 illustrates a method of the present invention in accordance with another exemplary embodiment. As illustrated at step 802, a substrate 110 is placed in the pressure chamber. At step 804, the pressure chamber is sealed. At step 806, the pressure chamber is pressurized with CO2 and at step 808, the CO2 is converted to SCCO2 by increasing the pressure and temperature. For CO2 to become critical, the pressure must be above 73 bar and the temperature above 31° C., as illustrated in FIG. 10. At step 810, the SCCO2 is maintained in contact with the substrate 110. Step 810 causes swelling, cracking and/or delamination of the photoresist on the substrate 110. In an exemplary embodiment, the temperature is maintained about 100° C. and the pressure is maintained about 150 bar. At step 812, the chamber is depressurized to normal atmospheric pressure and vented. At step 814, the substrate 110 is transferred to a second pressure chamber and at step 816 that pressure chamber is sealed. At step 818, the second pressure chamber is pressurized to elevated pressure. In an exemplary embodiment, the pressure is above 60 kPa.
  • Further, at step 818, ozone gas and water vapor are provided at elevated temperature. In an exemplary embodiment, the ozone gas is provided at a temperature of about 105° C. and water vapor is provided at a temperature of about 115° C. At step 820, the reaction is maintained until the photoresist is converted into a water-soluble product and at step 822, the second chamber is depressurized to normal atmosphere and vented. At step 824, the substrate is rinsed and the water-soluble product removed.
  • An exemplary embodiment of the method of the present invention includes three steps. The first step is a treatment with a first reactant, to cause swelling, cracking, or delamination of a photoresist, the second step is treatment with a second reactant to chemically alter the photoresist, and the third step is removing the chemically altered photoresist with a third reactant. In an exemplary embodiment, the first reactant is SCCO2, the second reactant is an ozone-based reactant, and the third reactant is deionized water. In other exemplary embodiments, the ozone-based reactant is ozone vapor, in another exemplary embodiment, highly concentrated ozone vapor. In other exemplary embodiments, the ozone vapor has a concentration equal to or greater than 90,000 ppm. In other exemplary embodiments, the ozone-based reactant is ozone gas mixed with water vapor
  • Another exemplary embodiment of the method of the present invention includes three steps. The first step is a treatment with SCCO2, the second step is treatment with an ozone-based reactant, and the third step is a rinsing step. For each of these three steps, exemplary process conditions may be maintained. With respect to the SCCO2 treatment step, the temperature within the chamber may be maintained between 100 and 150° C. and the pressure between 150 and 200 bars. With respect to the highly saturated ozone vapor treatment statement, the temperature of the chamber may be maintained at 105° C. and the temperature of the vapor at 115° C. In an exemplary embodiment, a temperature gap between the chamber and the vapor is in the range of about 10° C. to 15° C. and a pressure gap is between 60 kPa and 80 kPa. It is noted that a pressure higher than 80 kPa may be maintained, as long as proper safety precautions are observed. With respect to the concentration of the ozone gas, in an exemplary embodiment, the concentration is 90,000 ppm or greater at the ozone generator.
  • It is noted that the arrangement of the apparatuses illustrated in FIGS. 5-7 is exemplary, and could be modified, to add, replace, or delete elements, as would be known to one of ordinary skill in the art. It is further noted that the methods illustrated in FIGS. 8A, 9A, and 11 are also exemplary, and various steps could be added, replaced, or deleted, as would also be known to one of ordinary skill in the art.
  • The invention being thus described, it will be obvious that the same may be varied in many ways. Such variations are not to be regarded as a departure from the spirit and scope of the invention, and all such modifications as would be obvious to one skilled in the art are intended to be included within the scope of the following claims.

Claims (21)

1.-30. (canceled)
31. An apparatus for removing photoresist from a substrate, comprising:
at least one chamber for treating the photoresist with a first reactant to cause swelling, cracking or delamination of the photoresist, for treating the photoresist with a second reactant to chemically alter the photoresist, for rinsing the substrate, for drying the substrate and for holding the substrate; and
transfer means for transferring the substrate between chambers.
32. The apparatus of claim 31, said apparatus including a single chamber for treating the photoresist with the first reactant to cause swelling, cracking or delamination of the photoresist, and for treating the photoresist with the second reactant to chemically alter the photoresist.
33. The apparatus of claim 31, said apparatus including a separate chamber for treating the photoresist with the first reactant to cause swelling, cracking or delamination of the photoresist, and for treating the photoresist with the second reactant to chemically alter the photoresist.
34. The apparatus of claim 31, said apparatus including a separate chamber for each operation.
35. The apparatus of claim 31, said transfer means including a robotic arm.
36. The apparatus of claim 31, wherein the photoresist is formed by ion implantation.
37. The apparatus of claim 36, wherein the ion implantation was performed at a dose of 3×1015 ions/cm2 or higher.
38. The apparatus of claim 31, wherein the first reactant is supercritical carbon dioxide (SCCO2).
39. The apparatus of claim 38, wherein the supercritical carbon dioxide (SCCO2) is at a temperature of 100-150° C. and a pressure of 150-200 bar.
40. The apparatus of claim 31, wherein the second reactant is an ozone-based reactant.
41. The apparatus of claim 40, wherein the ozone-based reactant is ozone vapor.
42. The apparatus of claim 41, wherein the ozone vapor is at a temperature of 105-115° C. and a pressure of 60-80 kPa.
43. The apparatus of claim 41, wherein the concentration of the ozone in an ozone generator is 90,000 ppm or greater.
44. The apparatus of claim 31, wherein the rinse is a deionized water rinse.
45. The apparatus of claim 31, wherein the first reactant is supercritical carbon dioxide (SCCO2) and the second reactant is ozone, said single chamber including a heater jacket, a carbon dioxide (CO2) source, a supercritical carbon dioxide (SCCO2) generator, a supercritical carbon dioxide (SCCO2) circulator, a carbon dioxide (CO2) feedback, an ozone gas generator, a vapor generator, and an exhaust.
46. The apparatus of claim 45, wherein the supercritical carbon dioxide (SCCO2) generator includes a carbon dioxide (CO2) pressure pump and a carbon dioxide (CO2) heater.
47. The apparatus of claim 31, wherein the first reactant is supercritical carbon dioxide (SCCO2) and a first of the separate chambers includes a heater jacket, a carbon dioxide (CO2) source, a supercritical carbon dioxide (SCCO2) generator, a supercritical carbon dioxide (SCCO2) circulator, and a carbon dioxide (CO2) feedback.
48. The apparatus of claim 47, wherein the supercritical carbon dioxide (SCCO2) generator includes a carbon dioxide (CO2) pressure pump and a carbon dioxide (CO2) heater.
49. The apparatus of claim 47, wherein the second reactant is an ozone-based reactant, and a first of the separate chambers includes a heater jacket, an ozone gas generator, a vapor generator, and an exhaust.
50. The apparatus of claim 49, wherein the ozone-based reactant is ozone vapor.
US12/230,293 2003-06-26 2008-08-27 Apparatus and method for removing photoresist from a substrate Abandoned US20090065032A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/230,293 US20090065032A1 (en) 2003-06-26 2008-08-27 Apparatus and method for removing photoresist from a substrate

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
KR2003-0042133 2003-06-26
KR10-2003-0042133A KR100505693B1 (en) 2003-06-26 2003-06-26 Cleaning method of photoresist or organic material from microelectronic device substrate
US10/712,775 US7431855B2 (en) 2003-06-26 2003-11-14 Apparatus and method for removing photoresist from a substrate
US12/230,293 US20090065032A1 (en) 2003-06-26 2008-08-27 Apparatus and method for removing photoresist from a substrate

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/712,775 Division US7431855B2 (en) 2003-06-26 2003-11-14 Apparatus and method for removing photoresist from a substrate

Publications (1)

Publication Number Publication Date
US20090065032A1 true US20090065032A1 (en) 2009-03-12

Family

ID=33536298

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/712,775 Expired - Lifetime US7431855B2 (en) 2003-06-26 2003-11-14 Apparatus and method for removing photoresist from a substrate
US12/230,293 Abandoned US20090065032A1 (en) 2003-06-26 2008-08-27 Apparatus and method for removing photoresist from a substrate

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/712,775 Expired - Lifetime US7431855B2 (en) 2003-06-26 2003-11-14 Apparatus and method for removing photoresist from a substrate

Country Status (2)

Country Link
US (2) US7431855B2 (en)
KR (1) KR100505693B1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090101811A1 (en) * 2007-08-24 2009-04-23 Samsung Electronics Co., Ltd. Method of and apparatus for analyzing ions adsorbed on surface of mask
US20110212610A1 (en) * 2005-12-22 2011-09-01 Hynix Semiconductor Inc. Methods of forming dual gate of semiconductor device
US10290511B2 (en) 2012-08-08 2019-05-14 SCREEN Holdings Co., Ltd Substrate treatment apparatus and substrate treatment method

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006076005A1 (en) * 2005-01-12 2006-07-20 Boc, Inc. System for cleaning a surface using cryogenic aerosol and fluid reactant
KR100839349B1 (en) 2005-06-28 2008-06-19 삼성전자주식회사 Method of treating photoresist layer and method of removing photoresist layer
US20070089761A1 (en) * 2005-10-21 2007-04-26 Souvik Banerjee Non-plasma method of removing photoresist from a substrate
US8084367B2 (en) * 2006-05-24 2011-12-27 Samsung Electronics Co., Ltd Etching, cleaning and drying methods using supercritical fluid and chamber systems using these methods
KR100761764B1 (en) * 2006-06-27 2007-09-28 삼성전자주식회사 Method of removing a photoresist pattern, method of forming a dual polysilico layer ausing the same nd method of manufcaturing a semiconductor device using the same
KR100807234B1 (en) * 2006-11-16 2008-02-28 삼성전자주식회사 Method of removing photoresist and method of manufacturing a semiconductor device
TW200834662A (en) * 2006-12-12 2008-08-16 Applied Materials Inc Wet photoresist stripping process and apparatus
KR100889307B1 (en) * 2007-08-14 2009-03-18 세메스 주식회사 Process chamber and facililty for treating substrate with the porcess chamber, and method for treating substrate with the facility
JP2009170554A (en) * 2008-01-11 2009-07-30 Panasonic Corp Production process of semiconductor device
CN102346381A (en) * 2010-07-30 2012-02-08 中国科学院微电子研究所 Apparatus and method for peeling photoresist by high temperature and high pressure water assisted supercritical carbon dioxide
JP5843277B2 (en) 2011-07-19 2016-01-13 株式会社東芝 Method and apparatus for supercritical drying of semiconductor substrate
US10553720B2 (en) 2016-11-29 2020-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of removing an etch mask

Citations (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5672239A (en) * 1995-05-10 1997-09-30 Tegal Corporation Integrated semiconductor wafer processing system
US5908510A (en) * 1996-10-16 1999-06-01 International Business Machines Corporation Residue removal by supercritical fluids
US6306564B1 (en) * 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
US20020014257A1 (en) * 1999-08-05 2002-02-07 Mohan Chandra Supercritical fluid cleaning process for precision surfaces
US20020134409A1 (en) * 2000-10-16 2002-09-26 Micron Technology, Inc. Removal of photoresist through the use of hot deionized water bath, water vapor and ozone gas
US20020179126A1 (en) * 2001-02-15 2002-12-05 Deyoung James P. Methods for the control of contaminants following carbon dioxide cleaning of microelectronic structures
US6500605B1 (en) * 1997-05-27 2002-12-31 Tokyo Electron Limited Removal of photoresist and residue from substrate using supercritical carbon dioxide process
US20030045117A1 (en) * 2001-09-04 2003-03-06 International Business Machines Corporation Liquid or supercritical carbon dioxide composition and process of removing residue from a precision surface using same
US20040002224A1 (en) * 2002-06-26 2004-01-01 Tokyo Electron Limited Substrate processing system and substrate processing method
US6715498B1 (en) * 2002-09-06 2004-04-06 Novellus Systems, Inc. Method and apparatus for radiation enhanced supercritical fluid processing
US6764552B1 (en) * 2002-04-18 2004-07-20 Novellus Systems, Inc. Supercritical solutions for cleaning photoresist and post-etch residue from low-k materials
US6770626B2 (en) * 1998-09-25 2004-08-03 Children's Medical Center Corporation Tissue remodeling
US6800142B1 (en) * 2002-05-30 2004-10-05 Novellus Systems, Inc. Method for removing photoresist and post-etch residue using activated peroxide followed by supercritical fluid treatment
US20040198627A1 (en) * 2001-02-09 2004-10-07 Kobe Steel, Ltd. Process and apparatus for removing residues from the microstructure of an object
US6871656B2 (en) * 1997-05-27 2005-03-29 Tokyo Electron Limited Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process
US6926012B2 (en) * 1999-11-02 2005-08-09 Tokyo Electron Limited Method for supercritical processing of multiple workpieces
US20060081273A1 (en) * 2004-10-20 2006-04-20 Mcdermott Wayne T Dense fluid compositions and processes using same for article treatment and residue removal
US20060102590A1 (en) * 2004-11-12 2006-05-18 Tokyo Electron Limited Method for treating a substrate with a high pressure fluid using a preoxide-based process chemistry
US20060102208A1 (en) * 2004-11-12 2006-05-18 Tokyo Electron Limited System for removing a residue from a substrate using supercritical carbon dioxide processing
US20060102204A1 (en) * 2004-11-12 2006-05-18 Tokyo Electron Limited Method for removing a residue from a substrate using supercritical carbon dioxide processing
US7060422B2 (en) * 1999-11-02 2006-06-13 Tokyo Electron Limited Method of supercritical processing of a workpiece

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6242165B1 (en) * 1998-08-28 2001-06-05 Micron Technology, Inc. Supercritical compositions for removal of organic material and methods of using same
JP4566468B2 (en) * 2000-07-04 2010-10-20 株式会社リコー Electrophotographic photosensitive member, process cartridge having the same, and electrophotographic apparatus
WO2002011191A2 (en) 2000-07-31 2002-02-07 The Deflex Llc Near critical and supercritical ozone substrate treatment and apparatus for same

Patent Citations (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5672239A (en) * 1995-05-10 1997-09-30 Tegal Corporation Integrated semiconductor wafer processing system
US5908510A (en) * 1996-10-16 1999-06-01 International Business Machines Corporation Residue removal by supercritical fluids
US6509141B2 (en) * 1997-05-27 2003-01-21 Tokyo Electron Limited Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process
US6306564B1 (en) * 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
US6871656B2 (en) * 1997-05-27 2005-03-29 Tokyo Electron Limited Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process
US6500605B1 (en) * 1997-05-27 2002-12-31 Tokyo Electron Limited Removal of photoresist and residue from substrate using supercritical carbon dioxide process
US6770626B2 (en) * 1998-09-25 2004-08-03 Children's Medical Center Corporation Tissue remodeling
US20020014257A1 (en) * 1999-08-05 2002-02-07 Mohan Chandra Supercritical fluid cleaning process for precision surfaces
US7060422B2 (en) * 1999-11-02 2006-06-13 Tokyo Electron Limited Method of supercritical processing of a workpiece
US6926012B2 (en) * 1999-11-02 2005-08-09 Tokyo Electron Limited Method for supercritical processing of multiple workpieces
US6558477B1 (en) * 2000-10-16 2003-05-06 Micron Technology, Inc. Removal of photoresist through the use of hot deionized water bath, water vapor and ozone gas
US20020134409A1 (en) * 2000-10-16 2002-09-26 Micron Technology, Inc. Removal of photoresist through the use of hot deionized water bath, water vapor and ozone gas
US20040198627A1 (en) * 2001-02-09 2004-10-07 Kobe Steel, Ltd. Process and apparatus for removing residues from the microstructure of an object
US20020179126A1 (en) * 2001-02-15 2002-12-05 Deyoung James P. Methods for the control of contaminants following carbon dioxide cleaning of microelectronic structures
US20030045117A1 (en) * 2001-09-04 2003-03-06 International Business Machines Corporation Liquid or supercritical carbon dioxide composition and process of removing residue from a precision surface using same
US6764552B1 (en) * 2002-04-18 2004-07-20 Novellus Systems, Inc. Supercritical solutions for cleaning photoresist and post-etch residue from low-k materials
US6800142B1 (en) * 2002-05-30 2004-10-05 Novellus Systems, Inc. Method for removing photoresist and post-etch residue using activated peroxide followed by supercritical fluid treatment
US20040002224A1 (en) * 2002-06-26 2004-01-01 Tokyo Electron Limited Substrate processing system and substrate processing method
US6715498B1 (en) * 2002-09-06 2004-04-06 Novellus Systems, Inc. Method and apparatus for radiation enhanced supercritical fluid processing
US20060081273A1 (en) * 2004-10-20 2006-04-20 Mcdermott Wayne T Dense fluid compositions and processes using same for article treatment and residue removal
US20060102590A1 (en) * 2004-11-12 2006-05-18 Tokyo Electron Limited Method for treating a substrate with a high pressure fluid using a preoxide-based process chemistry
US20060102208A1 (en) * 2004-11-12 2006-05-18 Tokyo Electron Limited System for removing a residue from a substrate using supercritical carbon dioxide processing
US20060102204A1 (en) * 2004-11-12 2006-05-18 Tokyo Electron Limited Method for removing a residue from a substrate using supercritical carbon dioxide processing

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110212610A1 (en) * 2005-12-22 2011-09-01 Hynix Semiconductor Inc. Methods of forming dual gate of semiconductor device
US20110212611A1 (en) * 2005-12-22 2011-09-01 Hynix Semiconductor Inc. Methods of forming dual gate of semiconductor device
US20090101811A1 (en) * 2007-08-24 2009-04-23 Samsung Electronics Co., Ltd. Method of and apparatus for analyzing ions adsorbed on surface of mask
US7842916B2 (en) * 2007-08-24 2010-11-30 Samsung Electronics Co., Ltd. Method of and apparatus for analyzing ions adsorbed on surface of mask
US10290511B2 (en) 2012-08-08 2019-05-14 SCREEN Holdings Co., Ltd Substrate treatment apparatus and substrate treatment method

Also Published As

Publication number Publication date
US20040266205A1 (en) 2004-12-30
US7431855B2 (en) 2008-10-07
KR20050001797A (en) 2005-01-07
KR100505693B1 (en) 2005-08-03

Similar Documents

Publication Publication Date Title
US20090065032A1 (en) Apparatus and method for removing photoresist from a substrate
US5589422A (en) Controlled, gas phase process for removal of trace metal contamination and for removal of a semiconductor layer
JP4621400B2 (en) Semiconductor substrate processing equipment
US20060102208A1 (en) System for removing a residue from a substrate using supercritical carbon dioxide processing
US20070089761A1 (en) Non-plasma method of removing photoresist from a substrate
JP6995997B2 (en) Semiconductor device manufacturing method, substrate processing device, program and substrate processing method
US20060102204A1 (en) Method for removing a residue from a substrate using supercritical carbon dioxide processing
US6325861B1 (en) Method for etching and cleaning a substrate
US7524383B2 (en) Method and system for passivating a processing chamber
JP4031440B2 (en) Contaminant removal using supercritical processing
JP4810076B2 (en) Substrate processing method and chemical used therefor
JP4489513B2 (en) Apparatus and method for removing photoresist from a substrate
US20040018732A1 (en) Method for protecting a wafer backside from etching damage
KR20040111507A (en) Method of treatment of porous dielectric films to reduce damage during cleaning
US8017568B2 (en) Cleaning residues from semiconductor structures
US20070000519A1 (en) Removal of residues for low-k dielectric materials in wafer processing
US6887793B2 (en) Method for plasma etching a wafer after backside grinding
US6652666B2 (en) Wet dip method for photoresist and polymer stripping without buffer treatment step
JP2003035962A (en) Substrate treatment method and system
WO2021212330A1 (en) Method and apparatus for removing particles or photoresist on substrates
KR20080062014A (en) Cleaning equipmemt of wafer and cleaning method using of the same
JP2005109030A (en) Manufacturing method for electronic device
KR100633686B1 (en) Method for cleaning semiconductor wafer
JP2971130B2 (en) Dry etching method
KR100752202B1 (en) Method for cleaning semiconductor wafer after etching metal layer

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION