US20090024969A1 - Semiconductor chip design having thermal awareness across multiple sub-system domains - Google Patents

Semiconductor chip design having thermal awareness across multiple sub-system domains Download PDF

Info

Publication number
US20090024969A1
US20090024969A1 US11/317,668 US31766805A US2009024969A1 US 20090024969 A1 US20090024969 A1 US 20090024969A1 US 31766805 A US31766805 A US 31766805A US 2009024969 A1 US2009024969 A1 US 2009024969A1
Authority
US
United States
Prior art keywords
change
analysis
region
chip
design
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US11/317,668
Other versions
US7472363B1 (en
Inventor
Rajit Chandra
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Keysight Technologies Inc
Original Assignee
Gradient Design Automation Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/979,957 external-priority patent/US7194711B2/en
Priority claimed from US11/039,737 external-priority patent/US7203920B2/en
Priority claimed from US11/078,047 external-priority patent/US7191413B2/en
Priority claimed from US11/180,353 external-priority patent/US7401304B2/en
Priority claimed from US11/198,467 external-priority patent/US7383520B2/en
Priority claimed from US11/198,470 external-priority patent/US7353471B1/en
Priority claimed from US11/215,783 external-priority patent/US7458052B1/en
Priority to US11/317,668 priority Critical patent/US7472363B1/en
Application filed by Gradient Design Automation Inc filed Critical Gradient Design Automation Inc
Priority to PCT/US2006/062184 priority patent/WO2007070879A1/en
Priority to EP06846646A priority patent/EP1960921A1/en
Assigned to GRADIENT DESIGN AUTOMATION, INC. reassignment GRADIENT DESIGN AUTOMATION, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHANDRA, RAJIT
Priority to US12/131,821 priority patent/US8286111B2/en
Priority to US12/140,188 priority patent/US7823102B2/en
Priority to US12/193,752 priority patent/US20090077508A1/en
Publication of US7472363B1 publication Critical patent/US7472363B1/en
Application granted granted Critical
Publication of US20090024969A1 publication Critical patent/US20090024969A1/en
Assigned to KEYSIGHT TECHNOLOGIES, INC reassignment KEYSIGHT TECHNOLOGIES, INC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GRADIENT DESIGN AUTOMATION
Expired - Fee Related legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • G06F30/23Design optimisation, verification or simulation using finite element methods [FEM] or finite difference methods [FDM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/367Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/06Power analysis or power optimisation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/08Thermal analysis or thermal optimisation

Definitions

  • the invention can be implemented in numerous ways, including as a process, an article of manufacture, an apparatus, a system, a composition of matter, and a computer readable medium such as a computer readable storage medium or a computer network wherein program instructions are sent over optical or electronic communication links.
  • these implementations, or any other form that the invention may take, may be referred to as techniques.
  • the order of the steps of disclosed processes may be altered within the scope of the invention.
  • the Detailed Description provides an exposition of one or more embodiments of the invention that enable improvements in performance, efficiency, and utility of use in the field identified above.
  • the invention encompasses all possible modifications and variations within the scope of the issued claims, which are appended to the very end of the issued patent.
  • a thermally aware design automation suite is taught herein for integrating system-level thermal awareness into the design of semiconductor chips.
  • a thermal analysis engine performs fine-grain thermal simulations of the semiconductor chip based on thermal models and boundary conditions for all thermally significant structures in the chip and the adjacent system that impact the temperature of the semiconductor chip.
  • the thermally aware design automation suite uses the simulations of the thermal analysis engine to repair or otherwise modify the thermally significant structures to equalize temperature variations across the chip, impose specified design assertions on selected portions of the chip, and verify overall chip performance and reliability over designated operating ranges and manufacturing variations.
  • FIGS. 1A and 1B illustrate a system in which the design of semiconductor devices is improved using thermally aware design automation to determine interconnect characteristics, including the width of selected wires and the density of selected wire bonds.
  • FIGS. 2A through 2C illustrate a system in which the design of semiconductor devices is improved using thermally aware design automation to determine system boundary condition characteristics, including the density of selected C 4 Pads and the configuration of selected portions of the heat sink.
  • FIGS. 3A through 3C illustrate a semiconductor device improved using thermally aware design automation to determine interconnect characteristics, including the configuration of selected vias and the material property of selected wires.
  • FIG. 4A illustrates selected components of a thermally ware design automation suite system and its related inputs, data, and output.
  • FIG. 4B illustrates the system environment associated with the thermally aware design automation suite of FIG. 4A .
  • FIG. 4C illustrates a simplified view of a thermal model used by the thermally aware design automation suite of FIGS. 4A and 4B , in conjunction with the modeling of the semiconductor devices of FIGS. 3A through 3C , and in particular in the system contexts of FIGS. 2A through 2C .
  • FIG. 4D is a grayscale rendition of a colorized three-dimensional surface representing the temperature profile across a die, including considering package characteristics as boundary conditions.
  • FIG. 5 illustrates integrating thermal awareness into existing design flows.
  • FIG. 6 illustrates detail of the thermal analysis engine of FIG. 5 .
  • FIG. 7 illustrates temperature aware power analysis
  • FIG. 8 illustrates temperature aware voltage drop analysis
  • FIG. 9 illustrates temperature aware timing analysis.
  • FIG. 10 illustrates an example flow for temperature aware cell placement.
  • FIG. 11 illustrates another example flow for temperature aware cell placement.
  • FIG. 12 illustrates an embodiment of a flow diagram for improving electronic component design by incorporating thermally aware analysis.
  • FIG. 13 illustrates an example of a hold time problem made apparent by thermally aware analysis.
  • FIG. 14 illustrates an example repair techniques for the hold time problem of FIG. 13 , as provided by thermally aware design improvement.
  • FIG. 15 illustrates an example of performance or reliability problems caused by high operational temperatures as recognized by thermally aware analysis.
  • FIG. 16 illustrates an example repair techniques for the performance and reliability problems of FIG. 15 , as provided by thermally aware design improvement.
  • FIG. 17A illustrates an example of a noise problem brought about in part by a steep thermal gradient that is recognized by thermally aware analysis.
  • FIG. 17B illustrates an example improvement technique for the noise problem of FIG. 17A , as provided by thermally aware design improvement.
  • Thermal-aware design automation methods and functional subsystems as taught herein use three dimensional thermal analysis to develop simulated three dimensional chip temperature distributions to guide design changes to improve the temperature distribution (to meet chip design goals and avoid latent defects), to improve chip performance, and to improve chip reliability.
  • One use of the thermal-aware methods is to guide the selection between otherwise functionally interchangeable components, structures, or other design resources that are differentiated by their thermal conductance, and thus can be substituted to alter the chip temperature distribution to accomplish an intended result.
  • Thermal improvements reduce, equalize, or smooth, temperatures in particular localized regions. Unimproved designs can suffer from poor performance, poor reliability, or both. Unanticipated localized heating can problematically change circuit behavior due to increased IR-drops, slower transitions, and longer delays. Undesirable temperature distributions can unbalance otherwise balanced circuits and create signal integrity problems. Excessive temperature concentrations (hot spots) can exacerbate electromigration failure mechanisms.
  • Thermal improvements are achieved by the introduction or modification of thermally significant structures via for example, a change in number, a change in location, or a change in material properties.
  • Thermally significant structural changes which are contemplated include (but are not limited to): solder bump (e.g. C 4 -bump) location and density; solder-bump-related underfill composition; thermal via location, density, and metal composition; heat sink configuration including fin location, density, and height; metal fill location and density; wire location, width, and metal composition; and bond wire location and density.
  • Fabrication process steps are contemplated to expand the available chip design resources (structure, components) to include otherwise equivalent components that are differentiated by their thermal conductance.
  • process steps enable at least some regions on at least one layer to be a selected one of a plurality of metallization types having respectively different elemental compositions.
  • a high heat conduction metal such as Ti
  • Such special metallization can be used for vias, metal layers, or both. Vias (whether enhanced by special metallization or not) may be employed to reduce local heating (for any of the reasons cited previously), to electrically couple circuitry on proximate metal layers, or both.
  • Particular System-level Thermally Aware Improvements may be employed to reduce local heating (for any of the reasons cited previously), to electrically couple circuitry on proximate metal layers, or both.
  • FIGS. 1A and 1B illustrate a system in which the design of semiconductor devices is improved using thermally aware design to analyze and automatically improve the thermal characteristics of interconnect, including the width of selected wires and the density of selected wire bonds.
  • FIG. 1A illustrates system 150 SYS, including board 150 BD having unimproved package 150 CS-A with unimproved semiconductor devices 150 C-A and 150 C-B, having respective digital and mixed signal electronics as shown.
  • FIG. 2A illustrates system 150 SYS, including board 150 BD having improved package 150 CS-B with improved semiconductor devices 150 C-C and 150 C-D.
  • FIGS. 1A and 1B attention is first drawn to the wire widths of the outputs of flip-flops 170 , 171 , 172 , and 174 .
  • FIG. 1A respectively these are 170 -OW 1 , 171 -OW 1 , 172 -OW 1 , and 174 -OW 1 , where OW 1 signifies “output width 1”.
  • FIG. 1B respectively these are 170 -OW 2 , 171 -OW 2 , 172 -OW 2 , and 174 -OW 2 , where OW 2 signifies “output width 2”.
  • FIGS. 1A respectively these are 170 -OW 1 , 171 -OW 1 , 172 -OW 1 , and 174 -OW 1 , where OW 1 signifies “output width 1”.
  • FIG. 1B respectively these are 170 -OW 2 , 171 -OW 2 , 172 -OW 2 , and 174 -OW 2 , where OW 2 signifies
  • wire bond density the number of wire bonds (the wire bond density) used as interconnect between the two semiconductor devices and the package for various nodes.
  • Exemplary wire bond couplings in FIG. 1A are identified as UBWD-A, UBWD-B, UBWD-C, and UBWD-D, where UBWD signifies “unimproved wire bond density”.
  • Corresponding wire bond couplings in FIG. 2A are identified as IBWD-A, IBWD-B, IBWD-C, and IBWD-D, where IBWD signifies “improved wire bond density”.
  • wire thermal characteristics can be altered by one or more of the following exemplary but not limiting approaches: changing wire routing, changing the width of one or more wires, changing the resistivity of one or more wires, and changing the elemental composition of one or more wires.
  • wire includes but is not limited to patterned chip interconnect (such as patterned source/drain, poly, or metal), patterned package interconnect, and wire bonds. While metallization and vias are also forms of interconnect than can be similarly modified, they are treated separately below.
  • FIGS. 2A through 2C illustrate a system in which the design of semiconductor devices is improved using thermally aware design automation to improve system boundary condition characteristics (with respect to the semiconductor device), including changes in the density of selected C 4 Pads and the configuration of selected portions of the heat sink.
  • FIGS. 2A-2C the “-A” and “-B” suffixes corresponding to the left and right chips, respectively.
  • Components common in these three views include printed-circuit board 150 BD, ball grid array solder joints 150 B, ceramic substrate 150 CS, C 4 bumps (a.k.a. solder bumps) and underfill (a.k.a.
  • system thermal boundary characteristics can be altered by one or more of the following exemplary but not limiting approaches: changing the configuration of die-to-package attachment, package design, and heat dissipater design.
  • altering the thermal characteristics of the die-to-package attachment can be accomplished by one or more of the following exemplary but not limiting approaches: changing the configuration of solder bumps, final metal attachment pads (for solder bumps or wire bonds), and underfill (an optional encapsulant between the solder bumps).
  • Altering the thermal characteristics of the solder bumps can be accomplished by one or more of the following exemplary but not limiting approaches: changing the number, placement, density, and elemental composition of one or more solder bumps in at least one region.
  • Altering the thermal characteristics of final metal attachment pads can be accomplished by one or more of the following exemplary but not limiting approaches: changing the number, placement, density, distribution, and elemental composition of one or more final metal attachment pads in at least one region.
  • Altering the thermal characteristics of the underfill can be accomplished by one or more of the following exemplary but not limiting approaches: changing the application and elemental composition of the underfill in at least one region.
  • Altering the thermal characteristics of the package design can be accomplished by one or more of the following exemplary but not limiting approaches: changing the package interconnect, metallization, and vias.
  • Altering the thermal characteristics of the heat dissipater design can be accomplished by one or more of the following exemplary but not limiting approaches: changing the number, placement, orientation, geometry, height, density, and elemental composition of one or more heat sink fins in at least one region.
  • FIGS. 3A through 3C illustrate a semiconductor device improved using thermally aware design automation to determine interconnect characteristics, including the configuration of selected vias and the material property of selected wires.
  • Components common in these three views include: heat dissipater 150 HD (representing one or more of the thermal interface material, heat spreader, and heat sink of FIGS.
  • semiconductor bulk 104 B semiconductor bulk 104 B; source/drain region 104 SD; field effect transistor 104 FET; dielectric insulations layers 106 D- 1 , 106 D- 2 , 106 D- 3 , 106 D- 4 , and 106 D-N; local wire (conducting) layer 106 W-A, intermediate scope wire layers 106 W-B and 106 W-C, semi-global wire 106 W-D, and global wire 106 W-N; and via 106 V.
  • FIG. 3B draws attention to improved via density IVD-A and IVD-B between multiple layers of interconnect.
  • This is illustrative of but one of many types of improvements or repairs that can be made to vias to selectively alter the temperature profile of a chip in one or more selected regions.
  • vias can be altered by one or more of the following exemplary but not limiting approaches: changing the number, placement, density, arrangement, cross section, resistivity, and elemental composition of one or more vias in at least one region.
  • FIG. 3C draws attention to improved metallization 1061 M in a selected portion of conducting layer 106 W-B. That is, metallization with a different elemental composition than the surrounding metallization has been used in the highlighted wire segment to selectively alter the temperature profile in the illustrated region of the chip. This is illustrative of but one of many types of improvements or repairs that can be made to metallization to selectively alter the temperature profile of a chip in one or more selected regions.
  • metallization in response to a thermal analysis in accordance with the teachings herein, can be altered by one or more of the following exemplary but not limiting approaches: changing the location, patterning, cross section, thickness, tile arrangement, tile density, and elemental composition of the metallization in at least one region.
  • FIG. 4A illustrates selected components of a thermal-aware design automation suite 200 and its related inputs, data, and output.
  • the thermal analysis suite 200 is adapted to receive a plurality of inputs 202 a - 202 g (hereinafter collectively referred to as “inputs 202 ”) and process these inputs 202 to produce a full-chip (e.g., three-dimensional) thermal model 204 of a proposed semiconductor chip design.
  • the plurality of inputs 202 includes industry standard design data 202 a - 202 f (e.g., pertaining to the actual chip design or layout under consideration) and library data 202 g (e.g., pertaining to the semiconductor devices and interconnects incorporated in the design).
  • industry standard design data 202 a - 202 f e.g., pertaining to the actual chip design or layout under consideration
  • library data 202 g e.g., pertaining to the semiconductor devices and interconnects incorporated in the design.
  • the industry standard design data may include one or more of the following types of data: electrical component extraction data and extracted parasitic data (e.g., embodied in standard parasitic extraction files, or SPEFs, 202 a ), design representations including layout data (e.g., embodied in Library Exchange Format/Design Exchange Format, or LEF/DEF files 202 b , Graphical Design Format II, or GDSII, files 202 c and/or text files 202 d ), manufacturer-specific techfiles 202 e describing layer information and package models, user-generated power tables 202 f including design data (e.g., including a switching factor, E(sw)).
  • layout data e.g., embodied in Library Exchange Format/Design Exchange Format, or LEF/DEF files 202 b
  • Graphical Design Format II, or GDSII Graphical Design Format II, or GDSII
  • manufacturer-specific techfiles 202 e describing layer information and package models
  • user-generated power tables 202 f including
  • this industry standard design data 202 a - 202 f is stored in a design database 206 such as an open access database or a proprietary database.
  • the library data 202 g is embodied in a library that is distributed by a semiconductor part manufacturer or a library vendor. In another embodiment, the library incorporating the library data 202 g can be built in-house by a user.
  • the thermal analysis suite 200 includes performance analysis tools 230 and thermal analysis and repair tools 210 .
  • the performance analysis tools 230 may include engines (software subsystems) for providing layout, physical design, and verification services (callable tasks and procedures).
  • the thermal analysis and repair tools include a thermal analysis engine 220 and generate the full-chip thermal model 204 of the semiconductor chip under design.
  • FIG. 4B illustrates the system environment associated with the thermally aware design automation suite of FIG. 4A .
  • Computer System 300 is a general purpose computing system such as a Personal Computer (PC), Workstation, or Server, and includes a Processor 302 , a Memory 304 , a Thermal Computation Module 305 and various Input/Output (I/O) and Storage Devices 306 .
  • the I/O and Storage Devices module includes any combination of a display, a keyboard, a mouse, a modem, a network connection, a magnetic disk drive, an optical disk drive, and similar devices.
  • Thermal-Aware Design Automation Suite 200 is implemented as a physical device or subsystem that is coupled to a processor through a communication channel.
  • the Thermal Computation Module may be implemented by one or more software applications (or even a combination of software and hardware, e.g., using Application Specific Integrated Circuits (ASIC)), where the software is loaded from a storage medium (such as from I/O and Storage Devices 306 ) and operated by Processor 302 in Memory 304 of Computer System 300 .
  • ASIC Application Specific Integrated Circuits
  • Thermal-Aware Design Automation Suite 200 can be stored on a computer readable medium or carrier (e.g., RAM, magnetic or optical drive or diskette, and similar storage media; see removable media 307 and media reader 308 ).
  • a computer readable medium or carrier e.g., RAM, magnetic or optical drive or diskette, and similar storage media; see removable media 307 and media reader 308 ).
  • the software may run in a distributed or partitioned fashion on two or more computing devices similar to Computer System 300 .
  • the collaborating computing devices may be linked via network connection 345 to Local Area Network 340 and via network connection 315 to Wide Area Network 310 .
  • use may be made of networked Local Server 350 and Remote Server 320 , for library and data storage, program updates, and license validation, among other uses.
  • FIG. 4C shows a simplified view of a thermal model used by the thermally aware design automation suite of FIGS. 4A and 4B , in conjunction with the modeling of the semiconductor devices of FIGS. 3A through 3C , and in particular in the system contexts of FIGS. 2A through 2C .
  • the full chip thermal model must account for boundary conditions, including, but not limited to, the packaging, board, and heat sink configuration and design, as well as material anisotropy and conductance (K) variations.
  • K material anisotropy and conductance
  • the thermal conductance of the substrate layer is modeled according to the density and/or presence of active devices and implanted interconnect.
  • each inter-layer dielectric (ILD) layer is respectively modeled according to the density and/or presence of vias/contacts (made using e.g., TiN and/or WTiSi 2 ) vs. dielectric isolation (e.g., SiO 2 and/or Si 3 N 4 ).
  • the thermal conductance of each metal layer is respectively modeled according to the density and/or presence of metallization vs. dielectric isolation.
  • UI User Interface
  • the particular profile shown is for a depth of the chip selected to correspond to the channel region. Selection of other depths via the slider, results in presentation of the temperature profile at the selected chip depth.
  • the vertical axis corresponds to temperature and increasing heights on the three-dimensional surface correspond to increasing temperature on the chip.
  • the three-dimensional surface profile presents height gradients that are proportional to the temperature gradients on the chip.
  • gradations of color are mapped onto select intervals of the temperature range. This is shown by the “Range” legend in the upper left.
  • the legend boxes have gradations of the color spectrum progressively ranging from violet at the lowest temperatures in the range shown, through blue, green, yellow, orange, and red for the highest temperature in the range.
  • temperature is redundantly represented by the height of the three-dimensional surface and the color of the surface.
  • the height gradient and the color mapping each provides readily perceived visual clues of precisely how the temperature varies over the extent of the chip.
  • the color of the three-dimensional surface is also projected onto the plane lying underneath the surface. The color projection contains the same color information as the three-dimensional surface, but without the height information.
  • FIG. 4E shows an exemplary but not limiting temperature-aware design flow.
  • the key point in this flow is the use of a temperature analysis and repair capability.
  • the temperatures for all parts of the chip are computed and then used in conjunction with existing flows to achieve temperature aware analysis and repair.
  • temperatures are computed using one or more of the techniques described in the various patent applications listed in the cross-reference section above.
  • the inputs to the temperature-aware flow are the design layout data, the stack description and technology data for the materials used, and the package characteristics.
  • the inputs also include assertions on the temperature requirements for the correct operation of the circuits and the long-term reliability of the chip.
  • the temperature-aware flow in conjunction with a proper thermal analysis, can use such assertions to produce a chip that meets new temperature-aware criteria, leading to shorter design cycles and more reliable and lower-power chips.
  • Assertions can be global to the whole chip (e.g., temperature within a certain range anywhere on the chip), or they can be attached to some physical or logical structure in the chip (e.g., the clock net is within a certain temperature range, a set of transistors are at the same temperature, etc.).
  • assertions directly or indirectly cause circuit elements to be moved, transistor types to be changed, heating or cooling elements to be inserted, etc.
  • assertions operate in conjunction with package-level thermal analysis, whereby modifications of the package, as well as the die, are used to help solve on-chip thermal problems.
  • FIG. 5 shows a exemplary but not limiting digital design flow and the usage of temperature aware analysis within the flow.
  • FIG. 5 also shows where repair capabilities can be applied within the design flow. The section after this will focus on the details of repair methods.
  • FIG. 6A illustrates the inputs, outputs, and internal actions of the thermal analysis engine of FIG. 5 .
  • An initial power estimate is derived and a three dimensional thermal model of the chip is constructed from the design layout, layer thermal coefficients, and a thermal model of the package.
  • the thermal model is then used to solve for the temperature of the cells and wires of the chip in three dimensions.
  • the calculated chip temperatures are then used to refine the power estimate. As suggested by the inner loop, this process repeats until the calculated chip temperature settles to a final value.
  • the final power calculation is subsequently passed to electromigration analysis, timing analysis, and IR drop analysis tools to check for problems warranting improvement or repair.
  • FIG. 6B provides greater detail of the inner loop of the thermal analysis engine.
  • the thermal model is used to compute the cell and wire temperatures from the current power value. As suggested by the decision block at the bottom center, as long as changes in the computed cell and wire temperatures have not settled out, the leakage and switching power are iteratively recalculated as a function of the updated temperature. The loop is exited and the iteration stops once the computed cell and wire temperatures settle out.
  • FIG. 7 revisits the power calculation loop of FIG. 6B from a different perspective and provides insight as to how the computed temperature data effects the power calculation components.
  • the Thermal Analysis engine generates the temperature data. Starting with an initial power estimate of the power sources in the channel layer (corresponding to the current carrying channel layer of the active devices) and the layout information for the chip, it then computes the temperature as a function of the power dissipated per unit volume within the chip. The position and geometry of the placed instances are needed to determine the power source models and their interactions. The boundary conditions at the power source locations, interlayer dielectric interfaces, metal layers and the package boundary interface are used in numerically solving for the temperature values within the chip. The temperature data per instance and metal shape is used to update the power distribution.
  • the initial power of the instances is used as the starting point, and the thermal analysis then electro-thermally computes the steady state power and temperature for the entire chip in three dimensions.
  • the temperature data is used to derive the actual voltage drop and leakage current and then to update the power and the Joule heating in the wires and the corresponding resistivity changes.
  • the steady state temperature is used to compute the actual device drive strength and delays, and then to annotate the local incremental delay (as in SDF) in to the static timer so that the slew and delays can be applied to re-compute the short circuit power and dynamic power with altered effective load capacitance.
  • Power analysis is applied in the post physical synthesis phase when the instance positions are known and the individual switching information and output load is available.
  • a method for temperature-aware voltage-drop and electromigration checks can be implemented using the thermal analysis engine described previously.
  • the incremental changes caused by temperature difference between actual versus average temperature are considered with respect to the wire current density.
  • the changes are due to the incremental changes in device current and wire resistances.
  • Both the voltage drop and the current density are then compared against their respective design limits, which are temperature-dependent as well.
  • Such temperature dependent leakage current and current density rules are established by working with the semiconductor manufacturers targeted for the fabrication of the respective designs.
  • FIG. 8 illustrates a temperature-aware voltage drop flow.
  • the temperature-aware power values derived in FIG. 7 are used in obtaining temperature-aware device currents.
  • the current values are used to derive the wire temperature due to the wires' self-heating, and the temperatures of the wire segments are used in changing the resistance of the power bus.
  • the flow is applicable following the physical synthesis and post power bus routing. It is intended for use at physical design planning time and after the detailed routing step for final verification.
  • FIG. 9 shows a temperature-aware timing analysis flow. Parasitic extraction and delay calculation is done in two passes. In a first pass, parasitics and delays are calculated at the average temperature condition of the chip. In a second pass, interconnect resistance and cell delays are scaled based on the thermal gradients. The standard parasitic (SPEF) and delay (SDF) formats are used to implement this flow. In this way, existing tools and methods for the calculation of timing and signal integrity are leveraged. The overhead of post-processing SPEF and SDF files to include the thermal effects is small compared to the overall analysis time. The output from the tool is the incremental delay due to the difference in the average temperature versus the actual device temperature and the signal wire temperature profile. This result is expressed in the incremental SDF format so that static timing analysis tools can directly use it.
  • SPEF standard parasitic
  • SDF delay
  • the flow can be used directly after routing of clock and signal nets in the physical design steps and in the final routing stages when the coupling capacitance and signal integrity effects can be fully included.
  • the thermal analysis requires the placed instances and their bounding boxes.
  • the instances can be represented as power sources with different levels of granularity (transistor, cell, macro, block).
  • the thermal conduction through metal layers can be either estimated or accurately calculated depending on the details of the routed geometries.
  • the analysis with coarse granularity and estimated thermal conduction though metal layers corresponds to a floor plan scenario, whereas the detailed analysis corresponds to a post-route scenario.
  • thermal analysis for the floor plan scenario can be performed in roughly an hour. This is fast enough to allow for floor plan and placement iterations with the goal of avoiding undesirable effects due to thermal gradient.
  • the detailed analysis for the post-route scenario of the same circuit takes much longer. While the analysis at the floor plan stage is accurate enough to find the location and the relative magnitude of thermal hot spots, the post-route analysis allows the designer to verify timing and signal integrity with greater accuracy and therefore reduce pessimistic design margins. It is possible, and in many cases desirable, to use non-worst-case margins and allow the temperature-aware design flow to identify any resulting issues, which can then be fixed individually. This approach is most beneficial for cost-sensitive applications where overly-conservative margin left in the design corresponds to increased silicon area.
  • the analysis data at the early stages is useful in removing hot spots and in equalizing the temperature distribution. Consequently, the subsequent steps, in the design implementation progressively occur with less steep thermal gradients. This helps standard implementation tools with internal analysis capability to operate closer to the constant temperature premise, allowing their existing optimization and analysis algorithms to be applicable.
  • the temperature profile and the distance of the power source from the surrounding temperature contours provide cost functions which can determine several placement options for a given temperature gradient tolerance. The package characteristics are taken into account to ensure that the temperature contours are realistic.
  • FIG. 10 An example flow showing a temperature driven cell placement is shown in FIG. 10 .
  • the thermal analysis function is called from within the physical design (P&R) tool to initiate temperature calculations and to get information on possible cell moves that would minimize the temperature gradients within the chip.
  • the thermal analyzer identifies cell instances and moves that best optimize the temperature gradients under the given constraints. It then sends the location and move request to P&R for approval. This loop iterates with further constraint data to check if there are any violations in P&R until an approval is signaled to the thermal analysis tool, after that point the temperature-aware cell moves are implemented by P&R.
  • the ptab input file contains instance positions passed to the thermal tool by the physical implementation tool.
  • the pval input file contains instance power values given to the thermal analysis tool from a power estimation tool (which often comes integrated into the P&R tool).
  • the techfile input file contains layer dimensions, material constants, package characteristics and ambient temperature information.
  • Parasitic information and static timing analysis results are available through P&R and temperature aware delay calculation is available within the thermal analysis tool similar to the flow described in FIG. 9 .
  • the thermal analysis tool applies further repair actions using thermal structures to further minimize temperature gradients, and the flow is then completed with the optimal temperature distribution for the given design and package parameters.
  • FIG. 11 is an alternative perspective of the example flow for temperature aware cell placement of FIG. 10 , drawn to emphasize the various data types passed the thermal analysis engine.
  • FIG. 12 illustrates an embodiment of a flow diagram for improving electronic component design by incorporating thermally aware analysis.
  • the flow generally includes two phases.
  • a first phase includes an iterative analysis of the electronic component accounting for thermal effects (“Thermally Aware Analysis Flow” 110 ).
  • a second phase includes an iterative improvement of the design of the component (“Improvement Flow” 120 ), using information from the thermally aware analysis.
  • Design Description 150 is a collection of information defining various aspects of the particulars of the specifications for manufacturing and using the electronic component that is to be improved, including logical, physical, and mechanical descriptive data.
  • the electronic component is an integrated circuit that includes any combination of one or more monolithic die, a package for the die, an attachment mechanism to couple (electrically and mechanically) the die to the package, and heat dissipation elements.
  • the description is in the form of computer-readable files including any combination of a technology file, an extracted parasitic netlist file, timing constraints files, device and interconnect information files (such as geometry, orientation, and location information files), and average power files (from simulation or designer input).
  • “Thermally Aware Analysis Flow” 110 and “Improvement Flow” 120 may optionally communicate information between each other and internal elements via the description, as illustrated conceptually by dashed-arrows 151 - 154 , according to various embodiments.
  • results of the thermal analysis include expected operating temperatures (absolute or gradient) for various portions of the electronic component, including any combination of the die, the package, the die attach mechanism, and the optional heat sink.
  • the electronic component design is improved (“Improvement Flow” 120 ), using information from the thermally aware analysis flow, also with optional iterations.
  • Flow is then complete (“End” 199 ). The entire illustrated flow, from the start to the end may be repeated as desired, under the direct control of design personnel or programmatically, according to embodiment.
  • the thermally aware analysis flow begins by analyzing or simulating the thermal behavior of the electronic component design (“Thermal Analysis” 111 ), based in part on portions of “Design Description” 150 .
  • Output results include expected operating temperatures for various elements of the die, including various devices and interconnect.
  • the results may also include a thermal diagram or temperature gradient map, indicating equi-thermal lines of identical temperature superimposed on a representation of the physical or mechanical layout of portions of the electronic component.
  • a listing of elements and respective temperatures may be provided in a tabular format. Any combination of the results may be provided in human-readable and computer-readable representations
  • Processing then proceeds with analyses according to procedures typically relying on the operating temperatures of the various elements as inputs (“Other Analyses” 112 ).
  • the other analyses use temperature information provided by the thermal analysis to perform other operations, varying by embodiment and including circuit and logic simulation, as well as static timing analysis (STA).
  • the other analyses further include signal integrity analyses, leakage current checking, and electrical rules checking.
  • the circuit simulation is performed via any combination of industry standard tools such as SPICE, HSPICE, and HSIM.
  • the logic simulation is performed via an industry standard Verilog compatible simulator.
  • the STA is performed via an industry standard tool such as PrimeTime.
  • the signal integrity analyses include analyzing data signals, clock lines, and power grids, often using industry standard tools such as VoltageStorm and CeltIC.
  • the electrical rules checking includes any combination of slew rate, current density, and electromigration checking, according to various embodiments.
  • the optimize/repair processing examines the results of the thermal and other analyses to determine ways to improve the design of the electronic component. Improvements take the form of any combination of optimizations, repairs, and similar techniques to enable better performance of an instance of the electronic component manufactured according to portions of “Design Description” 150 . Examples of selected improvement techniques are described elsewhere herein (see the “Example Improvement Techniques” section). Outputs of “Optimize/Repair” 121 include any combination of violation reports for inspection by design personnel, Engineering Change Order (ECO) scripts for input to design automation tools, and similar data for improving the design of the electronic component, according to various embodiments.
  • ECO Engineering Change Order
  • the ECOs are passed programmatically directly for use by “Design Automation Flow” 122 .
  • design personnel inspect the ECOs and selectively pass all or portions of them to the design automation flow.
  • Typical implementations of the design automation flow include operation of one or more industry standard (or industry standard compatible) Computer Aided Design automation (CAD) tools using as input at least the ECOs and selected information from “Design Description” 150 .
  • the CAD tools typically include any combination of logic synthesizers, netlist generators, place and route tools, layout extractors, and other similar procedures to develop aspects of the physical implementation of the electronic component.
  • “Thermal Analysis” 111 provides thermal information to “Other Analyses” 112 via modifications to models referenced by the other analyses.
  • timing delay models used by an STA executed during the other analyses may be modified by the thermal analysis to reflect effects of operating temperatures (typically hotter devices operate longer propagation times while cooler devices operate with shorter propagation times).
  • power models read by a power grid analyzer may be modified according to results of the thermal analysis (typically hotter transistors have higher leakage currents and cooler transistors have lower leakage currents).
  • interconnect properties used by an electromigration checking tool may be modified based on temperatures of operation of interconnects determined by the thermal analysis (higher temperatures generally being modeled as having greater susceptibility to electromigration effects).
  • “Thermal Analysis” 111 provides thermal information to “Other Analyses” 112 via differential (or incremental) parameter changes with respect to a fixed operating temperature point, conceptually similar to a “small-signal analysis” around the temperature point.
  • implementations of elements of “Other Analyses” 112 (such as analyzers for timing, voltage drop, power, electromigration, and noise) perform an analysis at an assumed constant temperature (one of minimum, maximum, or nominal, for example). In other words, the analysis is performed as if all of the analyzed elements operated at the same temperature.
  • results of the thermal analysis typically indicate operation of the analyzed elements at varying temperatures.
  • “Thermal Analysis” 111 provides incremental data to facilitate a more accurate analysis that accounts for the determined temperature gradients.
  • the thermal analysis provides the timing analyzer with incremental delay information based on computed temperature variations.
  • the incremental delays represent differences in propagation behavior between operation at the assumed temperature point and the temperature point determined by the thermal analysis.
  • the voltage drop analyzer is provided with differential voltage drop information computed in accordance with the thermal analysis.
  • the power analyzer is provided power variance information as relating to variation of leakage power with respect to the temperatures provided by the thermal analysis.
  • the electromigration analyzer rule check is modified according to differences (above or below) assumed temperature operation of interconnect (signal, clock, supply, and so forth) according to thermal analysis results, including more stringent rules for elevated temperatures and correspondingly more relaxed rules for reduced temperatures.
  • the noise analyzer is provided with information regarding signal waveform variation as a function of temperature according to the thermal analysis, the variation being obtained by a technique such as annotations of temperature variation in a circuit simulation.
  • portions of “Other Analyses” 112 may be incorporated into “Thermal Analysis” 111 , optionally including iterations similar to “Iterate Analysis” 113 .
  • an iterative logic/timing simulation may be performed that dynamically accounts for operating temperatures of various devices of the electronic component by accounting for localized heat generation due to dynamic switching activity.
  • a power grid analysis may be performed that feeds back power estimation information to an incorporated/integrated thermal analysis to determine new operating temperatures for devices. In turn thermal analysis results are input to a revised power grid analysis.
  • Optimize/Repair relies on information from (“Other Analyses” 112 .
  • an optimization or a repair may introduce a new timing problem or create a design rule violation.
  • the optimize/repair processing selects a strategy based on any combination of the thermal analysis and the other analyses to avoid introducing new errors.
  • the thermally aware analysis is not restricted to beginning with a thermal analysis (dashed-arrow 111 A). Instead processing may begin with other analyses (dashed-arrow 112 A), under control of design personnel directives, programmatic selection, other determination schemes, or according to various embodiments. For example, in some embodiments it may be desirable to perform an initial logic simulation to determine activity factors (or fractional switching duty cycles) in preparation for the thermal analysis.
  • the activity factors are used to provide information regarding heat source behavior, as transistors and interconnect (including resistive, capacitive, and inductive effects) typically dissipate more power (as heat) when changing state more often.
  • leakage power having an exponential temperature dependence
  • the leakage estimate is used to provide information regarding heating due to the elements dissipating the leakage power.
  • each illustrated cooling structure (a.k.a., heat removal element) is merely an abstraction for one or more of the heat dissipation and spreading design choices disclosed throughout this specification (including the claims as filed).
  • vias, solder bumps, metal fills, final metal pads/lands, wire width, and bond wires can be introduced or modified to dissipate and/or spread heat to reduce, equalize, and/or smooth the temperature distribution in a local area.
  • the heating structure (a.k.a. heat source) illustrated in the last example is merely an abstraction for one or more design choices (as taught throughout the specification and claims) that result in a temperature increase in the desired local area.
  • a higher R metal can be chosen for a wire proximate to the local area, a nearby transistor can be resized, or the density of proximate solder bumps or heat sink fins can be decreased.
  • Both types of thermal structure can furthermore be achieved at least in part through the technique of substitution of otherwise functionally interchangeable elements that are differentiated by differences in their thermal conductivity. That is, the temperature distribution in a local region can be modified as desired at least in part by choosing the most appropriate available thermal conductivity type for structures and/or components in the region of interest.
  • FIG. 13 illustrates an example of a hold time problem made apparent by thermally aware analysis (such as performed by “Thermally Aware Analysis Flow” 110 of FIG. 12 ).
  • Cool Region 210 includes Source FFs 211 , AND Gate 212 , XNOR Gate 213 , and AND Gate 214 , all operating at a relatively low temperature, as determined by “Thermal Analysis” 111 .
  • Hot Region 220 includes Destination FF 221 and in close physical proximity, Heat Source 222 , all operating at a relatively high temperature, as determined by “Thermal Analysis” 111 .
  • the elements of Cool Region 210 operate with relatively small delays, due at least in part to their relatively low operating temperature.
  • Destination FF 221 operates with relatively large delays, due at least in part to its relatively high operating temperature, and the larger delays result in the FF requiring a relatively longer hold time to capture an input.
  • “Thermal Analysis” 111 provides the STA (typically performed as part of “Other Analyses” 112 ) with information describing the temperature affected relative timing performance between Cool Region 210 and Hot Region 220 .
  • the timing performance information may be explicit or implicit, according to embodiment.
  • Explicit information typically takes the form of delay differentials or deltas, with respect to an assumed temperature operating point used by the STA.
  • Implicit information is typically absolute or differential temperatures used by the STA to compute delay times accounting for temperature gradients.
  • the STA recognizes that due to the relatively small delay of the path through Cool Region 210 , in conjunction with the relatively longer hold time requirement of Destination FF 221 , that there is a hold time problem in the path from Source FFs 211 to Destination FF 221 .
  • the detected hold time violation occurs under the conditions of the temperature gradient recognized by “Thermal Analysis” 111 .
  • FIG. 14 illustrates an example repair technique for the hold time problem of FIG. 13 , as provided by thermally aware design improvement (such as performed by “Improvement Flow” 120 of FIG. 12 ). More specifically, FIG. 14 illustrates improving the hold time performance of Destination FF 221 by reducing its operating temperature as a repair for the hold time violation. However, in this example a heat removal element is added, via insertion of Cooling Structure 332 , in close physical proximity to Destination FF 221 . The elements of FIG. 14 are identical to those of FIG. 13 , except for the addition of the cooling structure. As illustrated, the area of relatively lower temperature operation (Cool Region 210 C) extends to include Destination FF 221 , due to the addition of the cooling structure.
  • the region of relatively higher temperature operation (Hot Region 220 C) is correspondingly reduced in area.
  • “Thermal Analysis” 111 identifies the heat source and “Optimize/Repair” 121 recognizes opportunity for improvement by decreasing the effect of the heat source on the FF by adding the heat removal element.
  • FIG. 15 illustrates an example of performance or reliability problems caused by high operational temperatures as recognized by thermally aware analysis (such as performed by “Thermally Aware Analysis Flow” 110 of FIG. 12 ).
  • Hot Devices and Interconnect 410 includes Source FFs 411 , XNOR Gate 412 , NOR Gate 413 , and Interconnect 414 , in close physical proximity and all operating at a relatively high temperature, as determined by “Thermal Analysis” 111 .
  • the performance problems due to the elevated temperature may include increased leakage current (from the transistors in the FFs and Gates, for example), reduced current handling capability (in the interconnect, for example), or both.
  • the reliability problems due to the higher temperature may include accelerated electromigration effects such as via damage and wire cracking, in any combination of the FFs, Gates, and interconnect.
  • “Thermal Analysis” 111 provides the electrical rules checking tool typically executed as part of “Other Analyses” 112 with temperature profile information for the elements of Hot Devices and Interconnect 410 .
  • the electrical rules checker recognizes the performance or reliability problems due to the high temperature operation.
  • “Thermal Analysis” 111 provides the checking tools with modified rules that take into account operating temperatures of analyzed elements. For example, a rule for checking a power line routed near a large heat generator (and thus operating at a relatively higher temperature) may be made more stringent than a rule for checking a ground line routed far from heat generators (and thus operating at a relatively lower temperature).
  • FIG. 16 illustrates an example repair techniques for the performance and reliability problems of FIG. 15 , as provided by thermally aware design improvement (such as performed by “Improvement Flow” 120 of FIG. 12 ). More specifically, FIG. 16 illustrates an example for improving the performance and reliability of Hot Devices and Interconnect 410 by insertion of cooling structures to reduce operating temperatures.
  • the elements of FIG. 16 as illustrated by Added Cooling Structures Region 520 , are identical to those of FIG. 15 except for the addition of heat removal elements Cooling Structure 521 and Cooling Structure 522 .
  • the cooling structures decrease operating temperatures and thus effect improved performance and reliability, as in the previous example. Requirements on the nature and location of the heat removal elements are provided by “Optimize/Repair” 121 to “Design Automation Flow” 122 to implement improvements of an electronic component including functionality as specified by Hot Devices and Interconnect 410 .
  • FIG. 17A illustrates an example of a noise problem brought about in part by a steep thermal gradient that is recognized by thermally aware analysis (such as performed by “Thermally Aware Analysis Flow” 110 of FIG. 12 ).
  • Low Temperature (Aggressor) 610 A affects High Temperature (Victim) 611 A via Coupling Capacitance 612 .
  • the Aggressor switches at a high slew rate, coupling a transient to the Victim output and causing a sampling error.
  • temperature profiles as determined by “Thermal Analysis” 111 and provided to the noise analysis performed by “Other Analyses” 112 enable detection of the noise problem.
  • temperature aware noise behavior information is provided directly by the thermal analysis to the noise analysis.
  • FIG. 17B illustrates an example improvement technique for the noise problem of FIG. 1 7 A, as provided by thermally aware design improvement (such as performed by “Improvement Flow” 120 of FIG. 12 ).
  • Two mechanisms are illustrated, usable alone or in combination.
  • a first mechanism includes addition of Heat Source 613 near the Aggressor, resulting in operation at a relatively higher temperature, as shown conceptually by Mid Temperature (Aggressor) 610 B.
  • a second mechanism includes addition of Cooling Structure 614 near the Victim, resulting in operation at a relatively lower temperature, as shown conceptually by Mid Temperature (Victim) 611 B.
  • the two techniques tend to reduce the thermal gradient (i.e.
  • interconnect and function-unit bit-widths, clock speeds, and the type of technology used may generally be varied in each component block.
  • the names given to interconnect and logic are merely illustrative, and should not be construed as limiting the concepts taught.
  • the order and arrangement of flowchart and flow diagram process, action, and function elements may generally be varied.
  • the value ranges specified, the maximum and minimum values used, or other particular specifications are merely those of the illustrative embodiments, may be expected to track improvements and changes in implementation technology, and should not be construed as limitations.
  • Specific variations may include, but are not limited to: differences in partitioning; different form factors and configurations; use of different operating systems and other system software; use of different interface standards, network protocols, or communication links; and other variations to be expected when implementing the concepts taught herein in accordance with the unique engineering and business constraints of a particular application.

Abstract

A thermally aware design automation suite integrates system-level thermal awareness into the design of semiconductor chips. A thermal analysis engine performs fine-grain thermal simulations of the semiconductor chip based on thermal models and boundary conditions for all thermally significant structures in the chip and the adjacent system that impact the temperature of the semiconductor chip. The thermally aware design automation suite uses the simulations of the thermal analysis engine to repair or otherwise modify the thermally significant structures to equalize temperature variations across the chip, impose specified design assertions on selected portions of the chip, and verify overall chip performance and reliability over designated operating ranges and manufacturing variations. The thermally significant structures are introduced or modified via one or more of: change in number, change in location, and change in material properties.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • Priority benefit claims for this application are made in the accompanying Application Data Sheet (if any). To the extent permitted by the type of the instant application, this application incorporates by reference for all purposes the following applications, which are all owned by the owner of the instant application:
  • U.S. Application Serial No. ______ (Docket No. GDA.2005.08NP) filed herewith, by Rajit Chandra, and entitled Method and Apparatus for Thermally Aware Design Improvement;
  • U.S. Application Serial No. ______ (Docket No. GDA.2005.09NP) filed herewith, by Rajit Chandra, and entitled Method and Apparatus for Generating and Using Thermal Test Vectors;
  • U.S. Provisional Application Ser. No. 60/751,376 (Docket No. GDA.2005.23) filed Dec. 17, 2005, by Rajit Chandra, et al., and entitled Semiconductor Chip Design Having Thermal Awareness Across Multiple Sub-System Domains;
  • U.S. Provisional Application Ser. No. 60/734,372 (Docket No. GDA.2005.24) filed Nov. 7, 2005, by Rajit Chandra, et al., and entitled Efficient Full-Chip Thermal Modeling and Analysis;
  • U.S. Provisional Application Ser. No. 60/718,138 (Docket No. GDA.2005.22) filed Sep. 16, 2005, by Rajit Chandra, and entitled Method and Apparatus for Temperature Assertion Based IC Design;
  • U.S. application Ser. No. 11/215,783 (Docket No. GRAD/011) filed Aug. 29, 2005, by Rajit Chandra, and entitled Method and Apparatus for Normalizing Thermal Gradients Over Semiconductor Chip Designs;
  • U.S. application Ser. No. 11/198,467 (Docket No. GRAD/009) filed Aug. 5, 2005, by Rajit Chandra, and entitled Method and Apparatus for Optimizing Thermal Management Systems Performance Using Full-Chip Thermal Analysis of Semiconductor Chip Designs;
  • U.S. application Ser. No. 11/198,470 (Docket No. GRAD/010) filed Aug. 5, 2005, by Rajit Chandra, and entitled Method and Apparatus for Using Full-Chip Thermal Analysis of Semiconductor Chip Designs to Compute Thermal Conductance;
  • U.S. application Ser. No. 11/180,353 (Docket No. GRAD/006) filed Jul. 13, 2005, by Ping Li, et al., and entitled Method and Apparatus for Thermal Modeling and Analysis of Semiconductor Chip Designs;
  • U.S. Provisional Application Ser. No. 60/689,592 (Docket No. GDA.2005.20) filed Jun. 10, 2005, by Rajit Chandra, and entitled Temperature-Aware Design Methodology;
  • U.S. Application Ser. No. 11/078,047 (Docket No. GRAD/003) filed Mar. 11, 2005, by Rajit Chandra, et al., and entitled Method and Apparatus for Thermal Testing of Semiconductor Chip Designs;
  • U.S. Provisional Application Ser. No. 60/658,323 (Docket No. GDA.2005.09) filed Mar. 3, 2005, by Rajit Chandra, and entitled Method and Apparatus for Generating and Using Thermal Test Vectors;
  • U.S. Provisional Application Ser. No. 60/658,324 (Docket No. GDA.2005.08) filed Mar. 3, 2005, by Rajit Chandra, and entitled Method and Apparatus for Thermally Aware Design Improvement;
  • U.S. application Ser. No. 11/039,737 (Docket No. GRAD/007) filed Jan. 20, 2005, by Rajit Chandra, and entitled Method and Apparatus for Retrofitting Semiconductor Chip Performance Analysis Tools with Full-Chip Thermal Analysis Capabilities; and
  • U.S. application Ser. No. 10/979,957 (Docket No. GRAD/012) filed Nov. 3, 2004, by Rajit Chandra, and entitled Method and Apparatus for Full-Chip Thermal Analysis of Semiconductor Chip Designs.
  • BACKGROUND
  • 1. Field
  • Advancements in semiconductor chip design are needed to provide improvements in performance, efficiency, and utility of use.
  • 2. Related Art
  • Unless expressly identified as being publicly or well known, mention herein of techniques and concepts, including for context, definitions, or comparison purposes, should not be construed as an admission that such techniques and concepts are previously publicly known or otherwise part of the prior art. To the extent permitted by application type, all references cited herein (if any), including patents, patent applications, and publications, are hereby incorporated by reference in their entireties, whether specifically incorporated or not, for all purposes. Nothing herein is to be construed as an admission that any of the references are pertinent prior art, nor does it constitute any admission as to the contents or date of actual publication of these documents.
  • SUMMARY
  • The invention can be implemented in numerous ways, including as a process, an article of manufacture, an apparatus, a system, a composition of matter, and a computer readable medium such as a computer readable storage medium or a computer network wherein program instructions are sent over optical or electronic communication links. In this specification, these implementations, or any other form that the invention may take, may be referred to as techniques. In general, the order of the steps of disclosed processes may be altered within the scope of the invention. The Detailed Description provides an exposition of one or more embodiments of the invention that enable improvements in performance, efficiency, and utility of use in the field identified above. As is discussed in more detail in the Conclusions, the invention encompasses all possible modifications and variations within the scope of the issued claims, which are appended to the very end of the issued patent.
  • A thermally aware design automation suite is taught herein for integrating system-level thermal awareness into the design of semiconductor chips. A thermal analysis engine performs fine-grain thermal simulations of the semiconductor chip based on thermal models and boundary conditions for all thermally significant structures in the chip and the adjacent system that impact the temperature of the semiconductor chip. The thermally aware design automation suite uses the simulations of the thermal analysis engine to repair or otherwise modify the thermally significant structures to equalize temperature variations across the chip, impose specified design assertions on selected portions of the chip, and verify overall chip performance and reliability over designated operating ranges and manufacturing variations.
  • BRIEF DESCRIPTION OF DRAWINGS
  • Various embodiments of the invention are disclosed in the following detailed description and the accompanying drawings.
  • FIGS. 1A and 1B illustrate a system in which the design of semiconductor devices is improved using thermally aware design automation to determine interconnect characteristics, including the width of selected wires and the density of selected wire bonds.
  • FIGS. 2A through 2C illustrate a system in which the design of semiconductor devices is improved using thermally aware design automation to determine system boundary condition characteristics, including the density of selected C4 Pads and the configuration of selected portions of the heat sink.
  • FIGS. 3A through 3C illustrate a semiconductor device improved using thermally aware design automation to determine interconnect characteristics, including the configuration of selected vias and the material property of selected wires.
  • FIG. 4A illustrates selected components of a thermally ware design automation suite system and its related inputs, data, and output.
  • FIG. 4B illustrates the system environment associated with the thermally aware design automation suite of FIG. 4A.
  • FIG. 4C illustrates a simplified view of a thermal model used by the thermally aware design automation suite of FIGS. 4A and 4B, in conjunction with the modeling of the semiconductor devices of FIGS. 3A through 3C, and in particular in the system contexts of FIGS. 2A through 2C.
  • FIG. 4D is a grayscale rendition of a colorized three-dimensional surface representing the temperature profile across a die, including considering package characteristics as boundary conditions.
  • FIG. 5 illustrates integrating thermal awareness into existing design flows.
  • FIG. 6 illustrates detail of the thermal analysis engine of FIG. 5.
  • FIG. 7 illustrates temperature aware power analysis.
  • FIG. 8 illustrates temperature aware voltage drop analysis.
  • FIG. 9 illustrates temperature aware timing analysis.
  • FIG. 10 illustrates an example flow for temperature aware cell placement.
  • FIG. 11 illustrates another example flow for temperature aware cell placement.
  • FIG. 12 illustrates an embodiment of a flow diagram for improving electronic component design by incorporating thermally aware analysis.
  • FIG. 13 illustrates an example of a hold time problem made apparent by thermally aware analysis.
  • FIG. 14 illustrates an example repair techniques for the hold time problem of FIG. 13, as provided by thermally aware design improvement.
  • FIG. 15 illustrates an example of performance or reliability problems caused by high operational temperatures as recognized by thermally aware analysis.
  • FIG. 16 illustrates an example repair techniques for the performance and reliability problems of FIG. 15, as provided by thermally aware design improvement.
  • FIG. 17A illustrates an example of a noise problem brought about in part by a steep thermal gradient that is recognized by thermally aware analysis.
  • FIG. 17B illustrates an example improvement technique for the noise problem of FIG. 17A, as provided by thermally aware design improvement.
  • DETAILED DESCRIPTION
  • A detailed description of one or more embodiments of the invention is provided below along with accompanying figures that illustrate the principles of the invention. Some of the embodiments or variations thereof may be characterized as “notable.” The invention is described in connection with the embodiments, which are understood to be merely illustrative and not limiting. The invention is expressly not limited to or by any or all of the embodiments herein (notable or otherwise). The scope of the invention is limited only by the claims appended to the end of the issued patent and the invention encompasses numerous alternatives, modifications and equivalents. Numerous specific details are set forth in the following description in order to provide a thorough understanding of the invention. These details are provided for the purpose of example and the invention may be practiced according to the claims without some or all of these specific details. For the purpose of clarity, technical material that is known in the technical fields related to the invention has not been described in detail so that the invention is not unnecessarily obscured.
  • Introduction
  • This introduction is included only to facilitate the more rapid understanding of the Detailed Description. The invention is not limited to the concepts presented in the introduction, as the paragraphs of any introduction are necessarily an abridged view of the entire subject and are not meant to be an exhaustive or restrictive description. For example, the introduction that follows provides overview information limited by space and organization to only certain embodiments. There are in fact many other embodiments, including those to which claims will ultimately be drawn, which are discussed throughout the balance of the specification.
  • Thermal-aware design automation methods and functional subsystems as taught herein use three dimensional thermal analysis to develop simulated three dimensional chip temperature distributions to guide design changes to improve the temperature distribution (to meet chip design goals and avoid latent defects), to improve chip performance, and to improve chip reliability. One use of the thermal-aware methods is to guide the selection between otherwise functionally interchangeable components, structures, or other design resources that are differentiated by their thermal conductance, and thus can be substituted to alter the chip temperature distribution to accomplish an intended result.
  • Thermal improvements (a.k.a. thermal repairs) of the design reduce, equalize, or smooth, temperatures in particular localized regions. Unimproved designs can suffer from poor performance, poor reliability, or both. Unanticipated localized heating can problematically change circuit behavior due to increased IR-drops, slower transitions, and longer delays. Undesirable temperature distributions can unbalance otherwise balanced circuits and create signal integrity problems. Excessive temperature concentrations (hot spots) can exacerbate electromigration failure mechanisms.
  • Thermal improvements are achieved by the introduction or modification of thermally significant structures via for example, a change in number, a change in location, or a change in material properties. Thermally significant structural changes which are contemplated include (but are not limited to): solder bump (e.g. C4-bump) location and density; solder-bump-related underfill composition; thermal via location, density, and metal composition; heat sink configuration including fin location, density, and height; metal fill location and density; wire location, width, and metal composition; and bond wire location and density.
  • Fabrication process steps are contemplated to expand the available chip design resources (structure, components) to include otherwise equivalent components that are differentiated by their thermal conductance. For example, such process steps enable at least some regions on at least one layer to be a selected one of a plurality of metallization types having respectively different elemental compositions. In particular, a high heat conduction metal such as Ti, can be selectively used where enhanced thermal conductivity is needed or warranted. Such special metallization can be used for vias, metal layers, or both. Vias (whether enhanced by special metallization or not) may be employed to reduce local heating (for any of the reasons cited previously), to electrically couple circuitry on proximate metal layers, or both. Particular System-level Thermally Aware Improvements
  • FIGS. 1A and 1B illustrate a system in which the design of semiconductor devices is improved using thermally aware design to analyze and automatically improve the thermal characteristics of interconnect, including the width of selected wires and the density of selected wire bonds. FIG. 1A illustrates system 150SYS, including board 150BD having unimproved package 150CS-A with unimproved semiconductor devices 150C-A and 150C-B, having respective digital and mixed signal electronics as shown. FIG. 2A illustrates system 150SYS, including board 150BD having improved package 150CS-B with improved semiconductor devices 150C-C and 150C-D.
  • In comparing FIGS. 1A and 1B, attention is first drawn to the wire widths of the outputs of flip- flops 170, 171, 172, and 174. In FIG. 1A, respectively these are 170-OW1, 171-OW1, 172-OW1, and 174-OW1, where OW1 signifies “output width 1”. In FIG. 1B, respectively these are 170-OW2, 171-OW2, 172-OW2, and 174-OW2, where OW2 signifies “output width 2”. In further comparing FIGS. 1A and 1B, attention is next drawn to the number of wire bonds (the wire bond density) used as interconnect between the two semiconductor devices and the package for various nodes. Exemplary wire bond couplings in FIG. 1A are identified as UBWD-A, UBWD-B, UBWD-C, and UBWD-D, where UBWD signifies “unimproved wire bond density”. Corresponding wire bond couplings in FIG. 2A are identified as IBWD-A, IBWD-B, IBWD-C, and IBWD-D, where IBWD signifies “improved wire bond density”.
  • The above examples are suggestive of the types of improvements (a.k.a. repairs) that can be made to wires to selectively alter the temperature profile of the chip in a selected region. More generally, in response to a thermal analysis in accordance with the teachings herein, wire thermal characteristics can be altered by one or more of the following exemplary but not limiting approaches: changing wire routing, changing the width of one or more wires, changing the resistivity of one or more wires, and changing the elemental composition of one or more wires. In the foregoing, the term “wire” includes but is not limited to patterned chip interconnect (such as patterned source/drain, poly, or metal), patterned package interconnect, and wire bonds. While metallization and vias are also forms of interconnect than can be similarly modified, they are treated separately below.
  • FIGS. 2A through 2C illustrate a system in which the design of semiconductor devices is improved using thermally aware design automation to improve system boundary condition characteristics (with respect to the semiconductor device), including changes in the density of selected C4 Pads and the configuration of selected portions of the heat sink. (Note that in FIGS. 2A-2C, the “-A” and “-B” suffixes corresponding to the left and right chips, respectively.) Components common in these three views include printed-circuit board 150BD, ball grid array solder joints 150B, ceramic substrate 150CS, C4 bumps (a.k.a. solder bumps) and underfill (a.k.a. encapsulation) instances 150C4-A and 150C4-B, interconnect layer instances 106-A and 106-B, silicon bulk instances 104-A and 104-B, thermal interface material instances 150TIM-A and 150TIM-B, heat spreaders 150HSP-A and 150HSP-B, and heat sink 150HSK. In comparing FIGS. 2A and 2B, attention is directed to the change in heat sink fin distribution associated with the regions identified by 150HFD-A and 150HFD-B in FIG. 2B and further by the change in C4 bump distribution associated with the regions identified by 150C4D-A and 150C4D-B (also in FIG. 2B). In FIG. 2C, the height of selected heat sink fins has also been changed.
  • The above examples are merely suggestive of the types of improvements or repairs that can be made to system (extra-chip) thermal boundary conditions to selectively alter the temperature profile of a chip (or chips) in one or more selected regions. More generally, in response to a thermal analysis in accordance with the teachings herein, system thermal boundary characteristics can be altered by one or more of the following exemplary but not limiting approaches: changing the configuration of die-to-package attachment, package design, and heat dissipater design.
  • In turn, altering the thermal characteristics of the die-to-package attachment can be accomplished by one or more of the following exemplary but not limiting approaches: changing the configuration of solder bumps, final metal attachment pads (for solder bumps or wire bonds), and underfill (an optional encapsulant between the solder bumps). Altering the thermal characteristics of the solder bumps can be accomplished by one or more of the following exemplary but not limiting approaches: changing the number, placement, density, and elemental composition of one or more solder bumps in at least one region. Altering the thermal characteristics of final metal attachment pads can be accomplished by one or more of the following exemplary but not limiting approaches: changing the number, placement, density, distribution, and elemental composition of one or more final metal attachment pads in at least one region. Altering the thermal characteristics of the underfill can be accomplished by one or more of the following exemplary but not limiting approaches: changing the application and elemental composition of the underfill in at least one region. Altering the thermal characteristics of the package design can be accomplished by one or more of the following exemplary but not limiting approaches: changing the package interconnect, metallization, and vias. Altering the thermal characteristics of the heat dissipater design can be accomplished by one or more of the following exemplary but not limiting approaches: changing the number, placement, orientation, geometry, height, density, and elemental composition of one or more heat sink fins in at least one region.
  • FIGS. 3A through 3C illustrate a semiconductor device improved using thermally aware design automation to determine interconnect characteristics, including the configuration of selected vias and the material property of selected wires. Components common in these three views include: heat dissipater 150HD (representing one or more of the thermal interface material, heat spreader, and heat sink of FIGS. 2A-2C); semiconductor bulk 104B; source/drain region 104SD; field effect transistor 104FET; dielectric insulations layers 106D-1, 106D-2, 106D-3, 106D-4, and 106D-N; local wire (conducting) layer 106W-A, intermediate scope wire layers 106W-B and 106W-C, semi-global wire 106W-D, and global wire 106W-N; and via 106V.
  • When compared to FIG. 3A, FIG. 3B draws attention to improved via density IVD-A and IVD-B between multiple layers of interconnect. This is illustrative of but one of many types of improvements or repairs that can be made to vias to selectively alter the temperature profile of a chip in one or more selected regions. More generally, in response to a thermal analysis in accordance with the teachings herein, vias can be altered by one or more of the following exemplary but not limiting approaches: changing the number, placement, density, arrangement, cross section, resistivity, and elemental composition of one or more vias in at least one region.
  • When compared to FIG. 3A, FIG. 3C draws attention to improved metallization 1061M in a selected portion of conducting layer 106W-B. That is, metallization with a different elemental composition than the surrounding metallization has been used in the highlighted wire segment to selectively alter the temperature profile in the illustrated region of the chip. This is illustrative of but one of many types of improvements or repairs that can be made to metallization to selectively alter the temperature profile of a chip in one or more selected regions. More generally, in response to a thermal analysis in accordance with the teachings herein, metallization can be altered by one or more of the following exemplary but not limiting approaches: changing the location, patterning, cross section, thickness, tile arrangement, tile density, and elemental composition of the metallization in at least one region.
  • FIG. 4A illustrates selected components of a thermal-aware design automation suite 200 and its related inputs, data, and output. As illustrated, the thermal analysis suite 200 is adapted to receive a plurality of inputs 202 a-202 g (hereinafter collectively referred to as “inputs 202”) and process these inputs 202 to produce a full-chip (e.g., three-dimensional) thermal model 204 of a proposed semiconductor chip design.
  • In an exemplary but not limiting embodiment, the plurality of inputs 202 includes industry standard design data 202 a-202 f (e.g., pertaining to the actual chip design or layout under consideration) and library data 202 g (e.g., pertaining to the semiconductor devices and interconnects incorporated in the design). The industry standard design data may include one or more of the following types of data: electrical component extraction data and extracted parasitic data (e.g., embodied in standard parasitic extraction files, or SPEFs, 202 a), design representations including layout data (e.g., embodied in Library Exchange Format/Design Exchange Format, or LEF/DEF files 202 b, Graphical Design Format II, or GDSII, files 202 c and/or text files 202 d), manufacturer-specific techfiles 202 e describing layer information and package models, user-generated power tables 202 f including design data (e.g., including a switching factor, E(sw)). In one embodiment, this industry standard design data 202 a-202 f is stored in a design database 206 such as an open access database or a proprietary database. In one embodiment, the library data 202 g is embodied in a library that is distributed by a semiconductor part manufacturer or a library vendor. In another embodiment, the library incorporating the library data 202 g can be built in-house by a user.
  • As illustrated, the thermal analysis suite 200, includes performance analysis tools 230 and thermal analysis and repair tools 210. The performance analysis tools 230 may include engines (software subsystems) for providing layout, physical design, and verification services (callable tasks and procedures). The thermal analysis and repair tools include a thermal analysis engine 220 and generate the full-chip thermal model 204 of the semiconductor chip under design.
  • FIG. 4B illustrates the system environment associated with the thermally aware design automation suite of FIG. 4A. Computer System 300 is a general purpose computing system such as a Personal Computer (PC), Workstation, or Server, and includes a Processor 302, a Memory 304, a Thermal Computation Module 305 and various Input/Output (I/O) and Storage Devices 306. The I/O and Storage Devices module includes any combination of a display, a keyboard, a mouse, a modem, a network connection, a magnetic disk drive, an optical disk drive, and similar devices. In some embodiments several or all of the aforementioned procedures (such as “Thermal Analysis and Repair Tools” 210, “Thermal Analysis Engine 220220, and optionally portions of “Performance Analysis Tools” 230) are implemented via Thermal-Aware Design Automation Suite 200.
  • In some embodiments Thermal-Aware Design Automation Suite 200 is implemented as a physical device or subsystem that is coupled to a processor through a communication channel. Alternatively, the Thermal Computation Module may be implemented by one or more software applications (or even a combination of software and hardware, e.g., using Application Specific Integrated Circuits (ASIC)), where the software is loaded from a storage medium (such as from I/O and Storage Devices 306) and operated by Processor 302 in Memory 304 of Computer System 300. Thus, in some embodiments, Thermal-Aware Design Automation Suite 200, described herein with reference to the preceding figures, can be stored on a computer readable medium or carrier (e.g., RAM, magnetic or optical drive or diskette, and similar storage media; see removable media 307 and media reader 308).
  • Additionally, the software may run in a distributed or partitioned fashion on two or more computing devices similar to Computer System 300. The collaborating computing devices may be linked via network connection 345 to Local Area Network 340 and via network connection 315 to Wide Area Network 310. Furthermore, use may be made of networked Local Server 350 and Remote Server 320, for library and data storage, program updates, and license validation, among other uses.
  • FIG. 4C shows a simplified view of a thermal model used by the thermally aware design automation suite of FIGS. 4A and 4B, in conjunction with the modeling of the semiconductor devices of FIGS. 3A through 3C, and in particular in the system contexts of FIGS. 2A through 2C. The full chip thermal model must account for boundary conditions, including, but not limited to, the packaging, board, and heat sink configuration and design, as well as material anisotropy and conductance (K) variations. The thermal conductance of the substrate layer is modeled according to the density and/or presence of active devices and implanted interconnect. The thermal conductance of each inter-layer dielectric (ILD) layer is respectively modeled according to the density and/or presence of vias/contacts (made using e.g., TiN and/or WTiSi2) vs. dielectric isolation (e.g., SiO2 and/or Si3N4). The thermal conductance of each metal layer is respectively modeled according to the density and/or presence of metallization vs. dielectric isolation.
  • User Interface (UI) features of enhanced temperature aware analysis tools are illustrated with reference again to FIG. 4D. As indicated by the slider on the right of FIG. 4D, the particular profile shown is for a depth of the chip selected to correspond to the channel region. Selection of other depths via the slider, results in presentation of the temperature profile at the selected chip depth.
  • In FIG. 4D the vertical axis corresponds to temperature and increasing heights on the three-dimensional surface correspond to increasing temperature on the chip. The three-dimensional surface profile presents height gradients that are proportional to the temperature gradients on the chip. In addition to representing temperature by the height, in full-color versions of FIG. 4D, gradations of color are mapped onto select intervals of the temperature range. This is shown by the “Range” legend in the upper left. In full-color versions of FIG. 4D, the legend boxes have gradations of the color spectrum progressively ranging from violet at the lowest temperatures in the range shown, through blue, green, yellow, orange, and red for the highest temperature in the range. Thus in full-color versions of FIG. 4D, temperature is redundantly represented by the height of the three-dimensional surface and the color of the surface. The height gradient and the color mapping each provides readily perceived visual clues of precisely how the temperature varies over the extent of the chip. In FIG. 4D, the color of the three-dimensional surface is also projected onto the plane lying underneath the surface. The color projection contains the same color information as the three-dimensional surface, but without the height information.
  • FIG. 4E shows an exemplary but not limiting temperature-aware design flow. The key point in this flow is the use of a temperature analysis and repair capability. The temperatures for all parts of the chip are computed and then used in conjunction with existing flows to achieve temperature aware analysis and repair. In notable embodiments, temperatures are computed using one or more of the techniques described in the various patent applications listed in the cross-reference section above.
  • The inputs to the temperature-aware flow are the design layout data, the stack description and technology data for the materials used, and the package characteristics. In notable embodiments, the inputs also include assertions on the temperature requirements for the correct operation of the circuits and the long-term reliability of the chip. The temperature-aware flow, in conjunction with a proper thermal analysis, can use such assertions to produce a chip that meets new temperature-aware criteria, leading to shorter design cycles and more reliable and lower-power chips.
  • Assertions can be global to the whole chip (e.g., temperature within a certain range anywhere on the chip), or they can be attached to some physical or logical structure in the chip (e.g., the clock net is within a certain temperature range, a set of transistors are at the same temperature, etc.). In notable embodiments, assertions directly or indirectly (by a tool enforcing the assertion) cause circuit elements to be moved, transistor types to be changed, heating or cooling elements to be inserted, etc. In notable embodiments, assertions operate in conjunction with package-level thermal analysis, whereby modifications of the package, as well as the die, are used to help solve on-chip thermal problems.
  • Temperature-Aware Analysis Flows And Their Use Models
  • This section describes how current power analysis, voltage drop analysis, and timing analysis flows can be made temperature aware. These steps apply at various stages of a design flow as can been seen from FIG. 5 which shows a exemplary but not limiting digital design flow and the usage of temperature aware analysis within the flow. FIG. 5 also shows where repair capabilities can be applied within the design flow. The section after this will focus on the details of repair methods.
  • FIG. 6A illustrates the inputs, outputs, and internal actions of the thermal analysis engine of FIG. 5. An initial power estimate is derived and a three dimensional thermal model of the chip is constructed from the design layout, layer thermal coefficients, and a thermal model of the package. The thermal model is then used to solve for the temperature of the cells and wires of the chip in three dimensions. The calculated chip temperatures are then used to refine the power estimate. As suggested by the inner loop, this process repeats until the calculated chip temperature settles to a final value. The final power calculation is subsequently passed to electromigration analysis, timing analysis, and IR drop analysis tools to check for problems warranting improvement or repair.
  • FIG. 6B provides greater detail of the inner loop of the thermal analysis engine. The thermal model is used to compute the cell and wire temperatures from the current power value. As suggested by the decision block at the bottom center, as long as changes in the computed cell and wire temperatures have not settled out, the leakage and switching power are iteratively recalculated as a function of the updated temperature. The loop is exited and the iteration stops once the computed cell and wire temperatures settle out.
  • FIG. 7 revisits the power calculation loop of FIG. 6B from a different perspective and provides insight as to how the computed temperature data effects the power calculation components. The Thermal Analysis engine generates the temperature data. Starting with an initial power estimate of the power sources in the channel layer (corresponding to the current carrying channel layer of the active devices) and the layout information for the chip, it then computes the temperature as a function of the power dissipated per unit volume within the chip. The position and geometry of the placed instances are needed to determine the power source models and their interactions. The boundary conditions at the power source locations, interlayer dielectric interfaces, metal layers and the package boundary interface are used in numerically solving for the temperature values within the chip. The temperature data per instance and metal shape is used to update the power distribution. Iteratively solving the electro-thermal effects produces the steady-state solution to the temperature distributions. If there are any thermal runaway conditions, then that is also detected through the electro-thermal solution of the chip temperature distribution. The engine is both high capacity and high performance to cope with large-scale designs, and design analysis with several power consumption scenarios.
  • The initial power of the instances is used as the starting point, and the thermal analysis then electro-thermally computes the steady state power and temperature for the entire chip in three dimensions. The temperature data is used to derive the actual voltage drop and leakage current and then to update the power and the Joule heating in the wires and the corresponding resistivity changes. The steady state temperature is used to compute the actual device drive strength and delays, and then to annotate the local incremental delay (as in SDF) in to the static timer so that the slew and delays can be applied to re-compute the short circuit power and dynamic power with altered effective load capacitance.
  • Power analysis is applied in the post physical synthesis phase when the instance positions are known and the individual switching information and output load is available.
  • A method for temperature-aware voltage-drop and electromigration checks can be implemented using the thermal analysis engine described previously. In this method, the incremental changes caused by temperature difference between actual versus average temperature are considered with respect to the wire current density. The changes are due to the incremental changes in device current and wire resistances. Both the voltage drop and the current density are then compared against their respective design limits, which are temperature-dependent as well. Such temperature dependent leakage current and current density rules are established by working with the semiconductor manufacturers targeted for the fabrication of the respective designs. FIG. 8 illustrates a temperature-aware voltage drop flow.
  • The temperature-aware power values derived in FIG. 7 are used in obtaining temperature-aware device currents. The current values are used to derive the wire temperature due to the wires' self-heating, and the temperatures of the wire segments are used in changing the resistance of the power bus.
  • The flow is applicable following the physical synthesis and post power bus routing. It is intended for use at physical design planning time and after the detailed routing step for final verification.
  • FIG. 9 shows a temperature-aware timing analysis flow. Parasitic extraction and delay calculation is done in two passes. In a first pass, parasitics and delays are calculated at the average temperature condition of the chip. In a second pass, interconnect resistance and cell delays are scaled based on the thermal gradients. The standard parasitic (SPEF) and delay (SDF) formats are used to implement this flow. In this way, existing tools and methods for the calculation of timing and signal integrity are leveraged. The overhead of post-processing SPEF and SDF files to include the thermal effects is small compared to the overall analysis time. The output from the tool is the incremental delay due to the difference in the average temperature versus the actual device temperature and the signal wire temperature profile. This result is expressed in the incremental SDF format so that static timing analysis tools can directly use it.
  • The flow can be used directly after routing of clock and signal nets in the physical design steps and in the final routing stages when the coupling capacitance and signal integrity effects can be fully included. By integrating temperature aware capabilities into today's design flows, there is no need to re-write the golden analysis standards that have been established for the past decade. Instead, through the use of tools which incrementally retrofit today's flows with temperature aware data, the temperature effects can be fully accounted for.
  • By applying thermal analysis, pessimism and/or risk associated with the assumption of a uniform on-chip temperature is reduced. A temperature-aware design flow is useful for existing technologies down to 90 nm, and is required for technologies below 90 nm. The next section addresses the methodology for repairing the issues that could arise because of temperature gradients within the chip.
  • Thermal Integrity: Analysis and Repairing of Temperature Induced Problems
  • In this section the thermal analysis of the previous section and repair strategies for addressing thermally induced chip design issues are combined to provide a complete solution for modern day chip designs.
  • In the foregoing discussions, it was pointed out that the thermal analysis requires the placed instances and their bounding boxes. The instances can be represented as power sources with different levels of granularity (transistor, cell, macro, block). The thermal conduction through metal layers can be either estimated or accurately calculated depending on the details of the routed geometries. The analysis with coarse granularity and estimated thermal conduction though metal layers corresponds to a floor plan scenario, whereas the detailed analysis corresponds to a post-route scenario.
  • Assuming an integrated circuit comprising several million standard cells, thermal analysis for the floor plan scenario can be performed in roughly an hour. This is fast enough to allow for floor plan and placement iterations with the goal of avoiding undesirable effects due to thermal gradient. The detailed analysis for the post-route scenario of the same circuit takes much longer. While the analysis at the floor plan stage is accurate enough to find the location and the relative magnitude of thermal hot spots, the post-route analysis allows the designer to verify timing and signal integrity with greater accuracy and therefore reduce pessimistic design margins. It is possible, and in many cases desirable, to use non-worst-case margins and allow the temperature-aware design flow to identify any resulting issues, which can then be fixed individually. This approach is most beneficial for cost-sensitive applications where overly-conservative margin left in the design corresponds to increased silicon area.
  • The analysis data at the early stages is useful in removing hot spots and in equalizing the temperature distribution. Consequently, the subsequent steps, in the design implementation progressively occur with less steep thermal gradients. This helps standard implementation tools with internal analysis capability to operate closer to the constant temperature premise, allowing their existing optimization and analysis algorithms to be applicable. The temperature profile and the distance of the power source from the surrounding temperature contours provide cost functions which can determine several placement options for a given temperature gradient tolerance. The package characteristics are taken into account to ensure that the temperature contours are realistic.
  • An example flow showing a temperature driven cell placement is shown in FIG. 10. The thermal analysis function is called from within the physical design (P&R) tool to initiate temperature calculations and to get information on possible cell moves that would minimize the temperature gradients within the chip. The thermal analyzer identifies cell instances and moves that best optimize the temperature gradients under the given constraints. It then sends the location and move request to P&R for approval. This loop iterates with further constraint data to check if there are any violations in P&R until an approval is signaled to the thermal analysis tool, after that point the temperature-aware cell moves are implemented by P&R.
  • The ptab input file contains instance positions passed to the thermal tool by the physical implementation tool. The pval input file contains instance power values given to the thermal analysis tool from a power estimation tool (which often comes integrated into the P&R tool). The techfile input file contains layer dimensions, material constants, package characteristics and ambient temperature information.
  • Parasitic information and static timing analysis results are available through P&R and temperature aware delay calculation is available within the thermal analysis tool similar to the flow described in FIG. 9.
  • After the final routing and temperature aware timing analysis is completed, if there are any more temperature hot spots the thermal analysis tool applies further repair actions using thermal structures to further minimize temperature gradients, and the flow is then completed with the optimal temperature distribution for the given design and package parameters.
  • FIG. 11 is an alternative perspective of the example flow for temperature aware cell placement of FIG. 10, drawn to emphasize the various data types passed the thermal analysis engine.
  • Design Improvement Flow
  • FIG. 12 illustrates an embodiment of a flow diagram for improving electronic component design by incorporating thermally aware analysis. As illustrated in the figure, the flow generally includes two phases. A first phase includes an iterative analysis of the electronic component accounting for thermal effects (“Thermally Aware Analysis Flow” 110). A second phase includes an iterative improvement of the design of the component (“Improvement Flow” 120), using information from the thermally aware analysis.
  • “Design Description” 150 is a collection of information defining various aspects of the particulars of the specifications for manufacturing and using the electronic component that is to be improved, including logical, physical, and mechanical descriptive data. Typically the electronic component is an integrated circuit that includes any combination of one or more monolithic die, a package for the die, an attachment mechanism to couple (electrically and mechanically) the die to the package, and heat dissipation elements. In some embodiments, the description is in the form of computer-readable files including any combination of a technology file, an extracted parasitic netlist file, timing constraints files, device and interconnect information files (such as geometry, orientation, and location information files), and average power files (from simulation or designer input). “Thermally Aware Analysis Flow” 110 and “Improvement Flow” 120, each with iterative processing, may optionally communicate information between each other and internal elements via the description, as illustrated conceptually by dashed-arrows 151 - 154, according to various embodiments.
  • More specifically as illustrated by the figure, flow beings (“Start” 101) and an analysis of the electronic component is performed, accounting for thermal properties and resultant behaviors (“Thermally Aware Analysis Flow” 110), with optional iterations. Results of the thermal analysis include expected operating temperatures (absolute or gradient) for various portions of the electronic component, including any combination of the die, the package, the die attach mechanism, and the optional heat sink.
  • Subsequently the electronic component design is improved (“Improvement Flow” 120), using information from the thermally aware analysis flow, also with optional iterations. Flow is then complete (“End” 199). The entire illustrated flow, from the start to the end may be repeated as desired, under the direct control of design personnel or programmatically, according to embodiment.
  • The thermally aware analysis flow begins by analyzing or simulating the thermal behavior of the electronic component design (“Thermal Analysis” 111), based in part on portions of “Design Description” 150. Output results include expected operating temperatures for various elements of the die, including various devices and interconnect. The results may also include a thermal diagram or temperature gradient map, indicating equi-thermal lines of identical temperature superimposed on a representation of the physical or mechanical layout of portions of the electronic component. Alternatively, a listing of elements and respective temperatures may be provided in a tabular format. Any combination of the results may be provided in human-readable and computer-readable representations
  • Processing then proceeds with analyses according to procedures typically relying on the operating temperatures of the various elements as inputs (“Other Analyses” 112). In other words, the other analyses use temperature information provided by the thermal analysis to perform other operations, varying by embodiment and including circuit and logic simulation, as well as static timing analysis (STA). The other analyses further include signal integrity analyses, leakage current checking, and electrical rules checking. In some embodiments the circuit simulation is performed via any combination of industry standard tools such as SPICE, HSPICE, and HSIM. In some embodiments the logic simulation is performed via an industry standard Verilog compatible simulator. In some embodiments the STA is performed via an industry standard tool such as PrimeTime. Varying by embodiment, the signal integrity analyses include analyzing data signals, clock lines, and power grids, often using industry standard tools such as VoltageStorm and CeltIC. The electrical rules checking includes any combination of slew rate, current density, and electromigration checking, according to various embodiments.
  • After completing the other analyses, a determination is made as to whether additional thermal and other analyses are required (“Iterate Analysis” 113). If additional iterations are required (“Yes” 113Y), such as due to results of the other analyses indicating heat output from devices that is different than what was assumed prior to the thermal analysis, then flow returns to “Thermal Analysis” 111. If additional iterations are not required (“No” 113N), then the thermally aware analysis flow is complete, and flow continues, using the results of the analysis to improve the design (“Optimize/Repair” 121).
  • The optimize/repair processing examines the results of the thermal and other analyses to determine ways to improve the design of the electronic component. Improvements take the form of any combination of optimizations, repairs, and similar techniques to enable better performance of an instance of the electronic component manufactured according to portions of “Design Description” 150. Examples of selected improvement techniques are described elsewhere herein (see the “Example Improvement Techniques” section). Outputs of “Optimize/Repair” 121 include any combination of violation reports for inspection by design personnel, Engineering Change Order (ECO) scripts for input to design automation tools, and similar data for improving the design of the electronic component, according to various embodiments.
  • In some embodiments the ECOs are passed programmatically directly for use by “Design Automation Flow” 122. In some embodiments design personnel inspect the ECOs and selectively pass all or portions of them to the design automation flow. Typical implementations of the design automation flow include operation of one or more industry standard (or industry standard compatible) Computer Aided Design automation (CAD) tools using as input at least the ECOs and selected information from “Design Description” 150. The CAD tools typically include any combination of logic synthesizers, netlist generators, place and route tools, layout extractors, and other similar procedures to develop aspects of the physical implementation of the electronic component.
  • After completion of the design automation flow, a check is made to determine whether additional optimization/repair and design flow operations are necessary (“Iterate Improvement?” 123). If additional iterations are required (“Yes” 123Y), such as due to not meeting some of the optimize/repair specifications, then flow returns to “Optimize/Repair” 121. If additional iterations are not needed, then the improvement flow is complete, and flow continues via “No” 123N to “End” 199. In some embodiments checking for the need for additional operations (“Iterate Improvement?” 123) may be performed by design personnel, design programs, or both.
  • In some embodiments “Thermal Analysis” 111 provides thermal information to “Other Analyses” 112 via modifications to models referenced by the other analyses. For example, timing delay models used by an STA executed during the other analyses may be modified by the thermal analysis to reflect effects of operating temperatures (typically hotter devices operate longer propagation times while cooler devices operate with shorter propagation times). Similarly, power models read by a power grid analyzer may be modified according to results of the thermal analysis (typically hotter transistors have higher leakage currents and cooler transistors have lower leakage currents). As another example, interconnect properties used by an electromigration checking tool may be modified based on temperatures of operation of interconnects determined by the thermal analysis (higher temperatures generally being modeled as having greater susceptibility to electromigration effects).
  • In some embodiments “Thermal Analysis” 111 provides thermal information to “Other Analyses” 112 via differential (or incremental) parameter changes with respect to a fixed operating temperature point, conceptually similar to a “small-signal analysis” around the temperature point. Frequently implementations of elements of “Other Analyses” 112 (such as analyzers for timing, voltage drop, power, electromigration, and noise) perform an analysis at an assumed constant temperature (one of minimum, maximum, or nominal, for example). In other words, the analysis is performed as if all of the analyzed elements operated at the same temperature. However, results of the thermal analysis typically indicate operation of the analyzed elements at varying temperatures. In some of the fixed-temperature analysis implementation contexts “Thermal Analysis” 111 provides incremental data to facilitate a more accurate analysis that accounts for the determined temperature gradients.
  • Several illustrative examples serve to further describe the incremental analysis technique, as follows. The thermal analysis provides the timing analyzer with incremental delay information based on computed temperature variations. The incremental delays represent differences in propagation behavior between operation at the assumed temperature point and the temperature point determined by the thermal analysis. The voltage drop analyzer is provided with differential voltage drop information computed in accordance with the thermal analysis. The power analyzer is provided power variance information as relating to variation of leakage power with respect to the temperatures provided by the thermal analysis. The electromigration analyzer rule check is modified according to differences (above or below) assumed temperature operation of interconnect (signal, clock, supply, and so forth) according to thermal analysis results, including more stringent rules for elevated temperatures and correspondingly more relaxed rules for reduced temperatures. The noise analyzer is provided with information regarding signal waveform variation as a function of temperature according to the thermal analysis, the variation being obtained by a technique such as annotations of temperature variation in a circuit simulation.
  • In some embodiments portions of “Other Analyses” 112 may be incorporated into “Thermal Analysis” 111, optionally including iterations similar to “Iterate Analysis” 113. For example, an iterative logic/timing simulation may be performed that dynamically accounts for operating temperatures of various devices of the electronic component by accounting for localized heat generation due to dynamic switching activity. Similarly, a power grid analysis may be performed that feeds back power estimation information to an incorporated/integrated thermal analysis to determine new operating temperatures for devices. In turn thermal analysis results are input to a revised power grid analysis.
  • In some embodiments “Optimize/Repair” 121 relies on information from (“Other Analyses” 112. For example, an optimization or a repair may introduce a new timing problem or create a design rule violation. The optimize/repair processing selects a strategy based on any combination of the thermal analysis and the other analyses to avoid introducing new errors.
  • As illustrated, the thermally aware analysis is not restricted to beginning with a thermal analysis (dashed-arrow 111A). Instead processing may begin with other analyses (dashed-arrow 112A), under control of design personnel directives, programmatic selection, other determination schemes, or according to various embodiments. For example, in some embodiments it may be desirable to perform an initial logic simulation to determine activity factors (or fractional switching duty cycles) in preparation for the thermal analysis. The activity factors are used to provide information regarding heat source behavior, as transistors and interconnect (including resistive, capacitive, and inductive effects) typically dissipate more power (as heat) when changing state more often. For another example, in some embodiments it may be useful to perform an initial leakage analysis to estimate leakage power (having an exponential temperature dependence) in preparation for the thermal analysis. The leakage estimate is used to provide information regarding heating due to the elements dissipating the leakage power.
  • Example Improvement Techniques
  • In the following example improvement techniques, it will be understood that each illustrated cooling structure (a.k.a., heat removal element) is merely an abstraction for one or more of the heat dissipation and spreading design choices disclosed throughout this specification (including the claims as filed). To repeat just some of these techniques here: vias, solder bumps, metal fills, final metal pads/lands, wire width, and bond wires, can be introduced or modified to dissipate and/or spread heat to reduce, equalize, and/or smooth the temperature distribution in a local area. Likewise, it will be understood that the heating structure (a.k.a. heat source) illustrated in the last example is merely an abstraction for one or more design choices (as taught throughout the specification and claims) that result in a temperature increase in the desired local area. For example, a higher R metal can be chosen for a wire proximate to the local area, a nearby transistor can be resized, or the density of proximate solder bumps or heat sink fins can be decreased. Both types of thermal structure (cooling and heating) can furthermore be achieved at least in part through the technique of substitution of otherwise functionally interchangeable elements that are differentiated by differences in their thermal conductivity. That is, the temperature distribution in a local region can be modified as desired at least in part by choosing the most appropriate available thermal conductivity type for structures and/or components in the region of interest.
  • FIG. 13 illustrates an example of a hold time problem made apparent by thermally aware analysis (such as performed by “Thermally Aware Analysis Flow” 110 of FIG. 12). Cool Region 210 includes Source FFs 211, AND Gate 212, XNOR Gate 213, and AND Gate 214, all operating at a relatively low temperature, as determined by “Thermal Analysis” 111. Hot Region 220 includes Destination FF 221 and in close physical proximity, Heat Source 222, all operating at a relatively high temperature, as determined by “Thermal Analysis” 111. The elements of Cool Region 210 operate with relatively small delays, due at least in part to their relatively low operating temperature. Destination FF 221 operates with relatively large delays, due at least in part to its relatively high operating temperature, and the larger delays result in the FF requiring a relatively longer hold time to capture an input.
  • “Thermal Analysis” 111 provides the STA (typically performed as part of “Other Analyses” 112) with information describing the temperature affected relative timing performance between Cool Region 210 and Hot Region 220. The timing performance information may be explicit or implicit, according to embodiment. Explicit information typically takes the form of delay differentials or deltas, with respect to an assumed temperature operating point used by the STA. Implicit information is typically absolute or differential temperatures used by the STA to compute delay times accounting for temperature gradients. The STA recognizes that due to the relatively small delay of the path through Cool Region 210, in conjunction with the relatively longer hold time requirement of Destination FF 221, that there is a hold time problem in the path from Source FFs 211 to Destination FF 221. The detected hold time violation occurs under the conditions of the temperature gradient recognized by “Thermal Analysis” 111.
  • FIG. 14 illustrates an example repair technique for the hold time problem of FIG. 13, as provided by thermally aware design improvement (such as performed by “Improvement Flow” 120 of FIG. 12). More specifically, FIG. 14 illustrates improving the hold time performance of Destination FF 221 by reducing its operating temperature as a repair for the hold time violation. However, in this example a heat removal element is added, via insertion of Cooling Structure 332, in close physical proximity to Destination FF 221. The elements of FIG. 14 are identical to those of FIG. 13, except for the addition of the cooling structure. As illustrated, the area of relatively lower temperature operation (Cool Region 210C) extends to include Destination FF 221, due to the addition of the cooling structure. The region of relatively higher temperature operation (Hot Region 220C) is correspondingly reduced in area. In this example, “Thermal Analysis” 111 identifies the heat source and “Optimize/Repair” 121 recognizes opportunity for improvement by decreasing the effect of the heat source on the FF by adding the heat removal element.
  • FIG. 15 illustrates an example of performance or reliability problems caused by high operational temperatures as recognized by thermally aware analysis (such as performed by “Thermally Aware Analysis Flow” 110 of FIG. 12). Hot Devices and Interconnect 410 includes Source FFs 411, XNOR Gate 412, NOR Gate 413, and Interconnect 414, in close physical proximity and all operating at a relatively high temperature, as determined by “Thermal Analysis” 111. The performance problems due to the elevated temperature may include increased leakage current (from the transistors in the FFs and Gates, for example), reduced current handling capability (in the interconnect, for example), or both. The reliability problems due to the higher temperature may include accelerated electromigration effects such as via damage and wire cracking, in any combination of the FFs, Gates, and interconnect.
  • In some embodiments “Thermal Analysis” 111 provides the electrical rules checking tool typically executed as part of “Other Analyses” 112 with temperature profile information for the elements of Hot Devices and Interconnect 410. The electrical rules checker recognizes the performance or reliability problems due to the high temperature operation. In some embodiments “Thermal Analysis” 111 provides the checking tools with modified rules that take into account operating temperatures of analyzed elements. For example, a rule for checking a power line routed near a large heat generator (and thus operating at a relatively higher temperature) may be made more stringent than a rule for checking a ground line routed far from heat generators (and thus operating at a relatively lower temperature).
  • FIG. 16 illustrates an example repair techniques for the performance and reliability problems of FIG. 15, as provided by thermally aware design improvement (such as performed by “Improvement Flow” 120 of FIG. 12). More specifically, FIG. 16 illustrates an example for improving the performance and reliability of Hot Devices and Interconnect 410 by insertion of cooling structures to reduce operating temperatures. The elements of FIG. 16, as illustrated by Added Cooling Structures Region 520, are identical to those of FIG. 15 except for the addition of heat removal elements Cooling Structure 521 and Cooling Structure 522. The cooling structures decrease operating temperatures and thus effect improved performance and reliability, as in the previous example. Requirements on the nature and location of the heat removal elements are provided by “Optimize/Repair” 121 to “Design Automation Flow” 122 to implement improvements of an electronic component including functionality as specified by Hot Devices and Interconnect 410.
  • FIG. 17A illustrates an example of a noise problem brought about in part by a steep thermal gradient that is recognized by thermally aware analysis (such as performed by “Thermally Aware Analysis Flow” 110 of FIG. 12). Low Temperature (Aggressor) 610A affects High Temperature (Victim) 611A via Coupling Capacitance 612. In a failure mode, as the Victim output is being sampled by a storage element, the Aggressor switches at a high slew rate, coupling a transient to the Victim output and causing a sampling error. The error is magnified by the thermal gradient, as the Aggressor slews more quickly due to operation at a relatively low temperature, while the Victim recovery slew rate is slower due to operation at a relatively high temperature. In some embodiments temperature profiles, as determined by “Thermal Analysis” 111 and provided to the noise analysis performed by “Other Analyses” 112 enable detection of the noise problem. In some embodiments temperature aware noise behavior information is provided directly by the thermal analysis to the noise analysis.
  • FIG. 17B illustrates an example improvement technique for the noise problem of FIG. 1 7A, as provided by thermally aware design improvement (such as performed by “Improvement Flow” 120 of FIG. 12). Two mechanisms are illustrated, usable alone or in combination. A first mechanism includes addition of Heat Source 613 near the Aggressor, resulting in operation at a relatively higher temperature, as shown conceptually by Mid Temperature (Aggressor) 610B. A second mechanism includes addition of Cooling Structure 614 near the Victim, resulting in operation at a relatively lower temperature, as shown conceptually by Mid Temperature (Victim) 611B. The two techniques tend to reduce the thermal gradient (i.e. provide a more uniform temperature distribution) between the Aggressor and the Victim, thus reducing the relative affect of the Aggressor on the Victim, and the noise problem is mitigated, improving the design. Computations in “Optimize/Repair” 121 and corresponding results provided to “Design Automation Flow” 122 include any combination of heat source selection and placement, as well as cooling structure selection and placement, according to various embodiments.
  • Conclusion
  • Although the foregoing embodiments have been described in some detail for purposes of clarity of understanding, the invention is not limited to the details provided. There are many ways of implementing the invention. The disclosed embodiments are illustrative and not restrictive.
  • It will be understood that many variations in construction, arrangement and use are possible consistent with the teachings and within the scope of the claims appended to the issued patent. For example, interconnect and function-unit bit-widths, clock speeds, and the type of technology used may generally be varied in each component block. The names given to interconnect and logic are merely illustrative, and should not be construed as limiting the concepts taught. The order and arrangement of flowchart and flow diagram process, action, and function elements may generally be varied. Also, unless specifically stated to the contrary, the value ranges specified, the maximum and minimum values used, or other particular specifications (such as the design file formats, the type of packaging, the number of metal layers, and the type and number of stages in the design flow), are merely those of the illustrative embodiments, may be expected to track improvements and changes in implementation technology, and should not be construed as limitations.
  • Functionally equivalent techniques known to those of ordinary skill in the art may be employed instead of those illustrated to implement various components, sub-systems, functions, operations, routines, and sub-routines. It is also understood that many design functional aspects may be carried out in either hardware (i.e., generally dedicated circuitry) or software (i.e., via some manner of programmed controller or processor), as a function of implementation dependent design constraints and the technology trends of faster processing (which facilitates migration of functions previously in hardware into software) and higher integration density (which facilitates migration of functions previously in software into hardware). Specific variations may include, but are not limited to: differences in partitioning; different form factors and configurations; use of different operating systems and other system software; use of different interface standards, network protocols, or communication links; and other variations to be expected when implementing the concepts taught herein in accordance with the unique engineering and business constraints of a particular application.
  • The embodiments have been illustrated with detail and environmental context well beyond that required for a minimal implementation of many of aspects of the concepts taught. Those of ordinary skill in the art will recognize that variations may omit disclosed components or features without altering the basic cooperation among the remaining elements. It is thus understood that much of the details disclosed are not required to implement various aspects of the concepts taught. To the extent that the remaining elements are distinguishable from the prior art, components and features that may be so omitted are not limiting on the concepts taught herein.
  • All such variations in design comprise insubstantial changes over the teachings conveyed by the illustrative embodiments. It is also understood that the concepts taught herein have broad applicability to other computing and networking applications, and are not limited to the particular application or industry of the illustrated embodiments. The invention is thus to be construed as including all possible modifications and variations encompassed within the scope of the claims appended to the issued patent.

Claims (85)

1. A method of computer-aided-design of integrated circuits, the method comprising:
manipulating a design database representing at least a portion of a chip having an associated physical structure, operational temperature distribution, and operational electrical performance;
simulating the operational temperature distribution of at least part of the chip using thermal modeling of all thermally significant features of the physical structure, the thermally significant features comprising active devices of a die and embedded multi-layer interconnect of the die and being described by the design database;
simulating the operational electrical performance of the at least part of the chip;
programmatically communicating information between the acts of simulating; and
based on the acts of simulating, selectively modifying aspects of the design database in accordance with at least one predetermined criteria.
2. The method of claim 1, wherein the thermal modeling is performed in three dimensions and the temperature distribution is simulated in three dimensions.
3. The method of claim 1, wherein the selectively modifying is performed at least in part to alter the temperature distribution.
4. The method of claim 1, wherein the selectively modifying is performed at least in part to optimize at least portions of the design database in view of the temperature distribution.
5. The method of claim 1, wherein the selectively modifying is performed to enhance cooling capability proximate to at least one hot region of the chip.
6. The method of claim 1, wherein the selectively modifying is performed to equalize the temperature in at least one region of the chip by raising the temperature within at least part of the at least one region.
7. The method of claim 1, wherein the selective modification improves one or more of:
the temperature distribution; chip performance; static power; dynamic power; maximum temperature; leakage; and chip reliability.
8. The method of claim 1, wherein the acts of simulating and the modifying are actions performed in a thermal and electrical analysis and improvement computer aided design tool adapted to function independently of other computer aided design tools.
9. The method of claim 1, wherein at least the operational temperature distribution simulating action is performed by a thermal analysis computer aided design subsystem adapted to interact with at least one other computer aided design subsystem in at least a circuit simulation stage and a floorplanning stage of an overall design flow.
10. The method of claim 1, wherein at least the operational temperature distribution simulating action is used in at least one stage in an overall design flow by a thermal analysis computer aided design subsystem adapted to interact with at least one other computer aided design subsystem.
11. The method of claim 10, wherein the at least one stage in an overall design flow comprises one or more of:
circuit design;
circuit simulation;
simulation;
floorplanning;
place and route;
block partitioning;
detailed place and route;
engineering change order; and
sign-off.
12. The method of claim 10, wherein the at least one other computer aided design subsystem is enabled to perform one or more of:
circuit simulation;
floorplanning;
place and route;
static timing analysis;
statistical timing analysis;
power analysis;
signal integrity analysis;
electrical circuit rule checking;
IR drop analysis;
electromigration analysis;
reliability analysis;
power rail analysis; and
clock tree analysis.
13. The method of claim 1, wherein the manipulating, the acts of simulating, and the modifying actions are adapted to cooperate with a circuit simulation subsystem in an integrated computer aided design tool that performs at least circuit simulation, thermal analysis, and thermal improvement.
14. The method of claim 1, wherein the manipulating, the acts of simulating, and the modifying actions are adapted to cooperate with a plurality of computer aided design subsystems in an integrated computer aided design tool adapted for use in design flow stages comprising at least: floorplanning, partitioned block analysis, detailed place and route, and repair.
15. The method of claim 14, wherein at least one of the simulating actions is performed by the integrated computer aided design tool at a plurality of the stages.
16. The method of claim 1, wherein the predetermined criteria comprises one or more of:
reducing temperature in one or more predefined regions;
increasing temperature in one or more predefined regions;
moderating temperature variations within one or more predefined regions;
mitigating heating concentrations predefined as excessive;
equalizing temperature between predefined regions;
bringing one or more operating parameters within predetermined limits;
satisfying one or more predefined assertions; and
reducing the likelihood of electromigration induced failure.
17. The method of claim 1, wherein the selective modification comprises one or more of:
a change in a heat generating element;
a change in a heat conducting element; and
a change in a heat dissipating element.
18. The method of claim 17, wherein the heat generating element comprises one or more of:
a transistor;
a diode;
a resistor;
a capacitor;
an inductor; and
a wire.
19. The method of claim 17, wherein the heat conducting element comprises one or more of:
a bond-wire land;
a solder-bump pad;
a via;
a stacked via;
a via placed to be thermally significant and electrically inconsequential;
a via coupled to a bond-wire land site;
a via coupled to a solder-bump pad; and
an area of metallization.
20. The method of claim 17, wherein the heat dissipating element
comprises one or more of:
a bond-wire land;
a solder-bump pad;
a via;
a stacked via;
a via placed to be thermally significant and electrically inconsequential;
a via coupled to a bond-wire land site;
a via coupled to a solder-bump pad; and
an area of metallization.
21. The method of claim 17, wherein the design database has an associated circuit design and the selective modification comprises one or more of:
a change of component sizing in at least one part of the circuit design;
an exchange of circuitry of equivalent logical function in at least one part of the circuit design;
a change in circuit topology in at least one part of the circuit design; and
a change in layout of at least one part of the circuit design.
22. The method of claim 21, wherein the change of component sizing comprises resizing one or more transistors.
23. The method of claim 21, wherein the selective modification equalizes the temperature between predefined regions.
24. The method of claim 23, wherein the predefined regions are associated with a first transistor and a second transistor.
25. The method of claim 1, wherein the selective modification comprises selection from design resources differentiated by their thermal conductance but otherwise functionally interchangeable.
26. The method of claim 1, wherein the design database is adapted to a fabrication process having at least one process step that permits at least some regions on at least one layer to be a selected one of a plurality of metallization types having respectively different elemental compositions.
27. The method of claim 26, wherein more than one elemental composition is available for fabricating vias through at least one predetermined dielectric layer.
28. The method of claim 27, wherein each via through the at least one predetermined dielectric layer is a selected one of a plurality of types having respectively different heat transfer and ohmic characteristics.
29. The method of claim 26, wherein one of the metallization types is preferentially used to build one or more vias having enhanced heat conduction.
30. The method of claim 26, wherein one of the metallization types is preferentially used to improve the heat transfer characteristics of one or more wires.
31. The method of claim 26, wherein more than one elemental composition is available for fabricating wires on at least one predetermined metal layer.
32. The method of claim 31, wherein each wire on the at least one predetermined metal layer is a selected one of a plurality of types having respectively different heat transfer and ohmic characteristics.
33. The method of claim 26, wherein one of the metallization types has a higher thermal conductance and lower electrical resistivity than the other metallization types.
34. The method of claim 26, wherein one of the metallization types is preferentially used to raise the temperature in one or more selected regions.
35. The method of claim 26, wherein one of the metallization types comprises the element Ti.
36. The method of claim 1, wherein the selective modification comprises modification of configuration of one or more of:
circuit design;
layout;
chip partitioning;
chip floorplanning;
chip place and route;
chip interconnect;
chip metallization;
chip vias between interconnect layers;
power distribution;
clock distribution;
I/O pad locations;
die-to-package attachment;
package design; and
heat dissipater design.
37. The method of claim 36, wherein the modification of configuration comprises one or more of:
a change in size;
a change in number;
a change in placement;
an exchange of placement;
a change in topology;
a change in orientation;
a change in pattern;
a change in geometry;
a change in arrangement;
a change in distribution;
a change in at least one group property;
a change in at least one material property; and
a change in elemental composition.
38. The method of claim 37, wherein at least one instance of the change in number comprises one of:
a change from zero to greater than zero; and
a change from greater than zero to zero.
39. A system for computer-aided-design of integrated circuits, the system comprising:
a computing platform including processor, memory, and I/O;
a physical design subsystem adapted to manipulate a design database representing at least a portion of a chip having an associated physical structure, operational temperature distribution, and operational electrical performance;
a thermal analysis subsystem adapted to simulate the operational temperature distribution of at least part of the chip using thermal modeling of all thermally significant features of the physical structure, the thermally significant features comprising active devices of a die and embedded multi-layer interconnect of the die and being described by the design database;
an electrical analysis subsystem adapted to simulate the operational electrical performance of the at least part of the chip;
an analysis communication subsystem adapted to programmatically communicate information between the thermal and the electrical analysis subsystems; and
a thermal improvement subsystem adapted to selectively modify aspects of the design database based on the simulated operational temperature distribution and the simulated operational electrical performance in accordance with at least one predetermined criteria.
40. The system of claim 39, wherein the thermal modeling is performed in three dimensions and the temperature distribution is simulated in three dimensions.
41. The system of claim 39, wherein the predetermined criteria comprises one or more of:
reducing temperature in one or more predefined regions;
increasing temperature in one or more predefined regions;
equalizing temperature between predefined regions;
bringing one or more operating parameters within predetermined limits;
satisfying one or more predefined assertions; and
reducing the likelihood of electromigration induced failure.
42. The system of claim 39, wherein the selective modification is performed at least in part to alter the temperature distribution.
43. The system of claim 39, wherein the selective modification is performed at least in part to optimize at least portions of the design database in view of the temperature distribution.
44. The system of claim 39, wherein the selective modification improves one or more of:
the temperature distribution; chip performance; static power; dynamic power; maximum temperature; leakage; and chip reliability.
45. The system of claim 39, wherein the selective modification comprises modification of configuration of one or more of:
chip partitioning;
chip floorplanning;
chip place and route;
chip interconnect;
chip metallization;
chip vias between interconnect layers;
power distribution;
clock distribution;
I/O pad locations;
die-to-package attachment;
package design; and
heat dissipater design.
46. The system of claim 45, wherein the modification of configuration comprises one or more of:
a change in size;
a change in number;
a change in placement;
an exchange of placement;
a change in topology;
a change in orientation;
a change in pattern;
a change in geometry;
a change in arrangement;
a change in distribution;
a change in at least one group property;
a change in at least one material property; and
a change in elemental composition.
47. An article of manufacture, comprising a computer readable medium having stored therein a computer program component adapted to semiconductor computer-aided-design, the computer program component comprising:
a first code segment, which when executed on a computer, is adapted to manipulate a design database representing at least a portion of a chip having an associated physical structure, operational temperature distribution, and operational electrical performance;
a second code segment, which when executed on the computer, simulates the operational temperature distribution of at least pan of the chip using thermal modeling of all thermally significant features of the physical structure, the thermally significant features comprising active devices of a die and embedded multi-layer interconnect of the die and being described by the design database;
a third code segment, which when executed on the computer, simulates the operational electrical performance of the at least part of the chip;
a fourth code segment, which when executed on the computer, programmatically communicates information between the acts of simulating; and
a fifth code segment, which when executed on the computer, selectively modifies aspects of the design database based on the simulated temperature distribution and the simulated operational electrical performance in accordance with at least one predetermined criteria.
48. The article of manufacture of claim 47, wherein the thermal modeling is performed in three dimensions and the temperature distribution is simulated in three dimensions.
49. The article of manufacture of claim 47, wherein the selective modification is performed at least in part to alter the temperature distribution.
50. The article of manufacture of claim 47, wherein the selective modification is performed at least in part to optimize at least portions of the design database in view of the temperature distribution.
51. The article of manufacture of claim 47, wherein the predetermined criteria comprises one or more of:
reducing temperature in one or more predefined regions;
increasing temperature in one or more predefined regions;
equalizing temperature between predefined regions;
bringing one or more operating parameters within predetermined limits;
satisfying one or more predefined assertions; and
reducing the likelihood of electromigration induced failure.
52. The article of manufacture of claim 47, wherein the selective modification improves one or more of: the temperature distribution; chip performance; static power; dynamic power; maximum temperature; leakage; and chip reliability.
53. The article of manufacture of claim 47, wherein the modified selected aspects comprise modification of configuration of one or more of:
chip partitioning;
chip floorplanning;
chip place and route;
chip interconnect;
chip metallization;
chip vias between interconnect layers;
die-to-package attachment;
package design; and
heat dissipater design.
54. The article of manufacture of claim 53, wherein the modification of configuration comprises one or more of:
a change in size;
a change in number;
a change in placement;
an exchange of placement;
a change in topology;
a change in orientation;
a change in pattern;
a change in geometry;
a change in arrangement;
a change in distribution;
a change in at least one group property;
a change in at least one material property; and
a change in elemental composition.
55. The article of manufacture of claim 53, wherein the modification of configuration of the chip interconnect comprises one or more of:
a change in wire routing;
a change in width of one or more wires;
a change in resistivity of one or more wires; and
a change in elemental composition of one or more wires.
56. The article of manufacture of claim 53, wherein the modification of configuration of the chip metallization comprises one or more of:
a change in location;
a change in patterning in at least one region;
a change in cross section in at least one region;
a change in thickness in at least one region;
a change in elemental composition in at least one region;
a change in at least one material property in at least one region;
a change in tile arrangement in at least one region; and
a change in tile density in at least one region.
57. The article of manufacture of claim 56, wherein the chip metallization comprises one or more of:
vias;
wires;
metal fills; and
final metal attachment pads.
58. The article of manufacture of claim 57, wherein one or more of density and location of the metal fills is modified to achieve a desired thermal result.
59. The article of manufacture of claim 57, wherein one or more of density and location of the final metal attachment pads is modified to achieve a desired thermal result.
60. The article of manufacture of claim 57, wherein the final metal attachment pads comprises lands on top of which solder bumps are placed.
61. The article of manufacture of claim 60, wherein the solder bumps are compatible with a controlled collapse chip connection (C4) process.
62. The article of manufacture of claim 60, wherein the final metal attachment pads are of a metal 8 layer.
63. The article of manufacture of claim 57, wherein the final metal attachment pads comprise wire bonds pads.
64. The article of manufacture of claim 57, wherein the metal fills are implemented using a plurality of metal tiles.
65. The article of manufacture of claim 53, wherein the modification of configuration of the chip vias between interconnect layers comprises one or more of:
a change in via number;
a change in placement of at least one via;
a change in via density in at least one region;
a change in arrangement of a plurality of vias in at least one region;
a change in cross section of one or more vias;
a change in resistivity of one or more vias; and
a change in elemental composition of one or more vias.
66. The article of manufacture of claim 53, wherein the die-to-package attachment comprises one or more of:
solder bumps;
underfill; and
final metal attachment pads.
67. The article of manufacture of claim 53, wherein the modification of configuration of the die-to-package attachment comprises one or more of:
a change in solder bump number;
a change in placement of at least one solder bump;
a change in solder bump density in at least one region;
a change in arrangement of a plurality of solder bumps in at least one region;
a change in elemental composition of at least one solder bump;
a change in application of underfill in at least one region;
a change in elemental composition of underfill in at least one region;
a change in final metal attachment pad number;
a change in placement of at least one final metal attachment pad;
a change in final metal attachment pad density in at least one region;
a change in arrangement of a plurality of final metal attachment pads in at least one region; and
a change in elemental composition of at least one final metal attachment pad.
68. The article of manufacture of claim 53, wherein the modification of configuration of the package design comprises one or more of:
a change in package interconnect;
a change in package metallization;
a change in package vias
a change in integral heat spreader number;
a change in placement of at least one integral heat spreader;
a change in integral heat spreader density in at least one region;
a change in arrangement of a plurality of integral heat spreaders in at least one region;
a change in elemental composition of at least one integral heat spreader;
a change in integral thermal slug number;
a change in placement of at least one integral thermal slug;
a change in integral thermal slug density in at least one region;
a change in arrangement of a plurality of integral thermal slugs in at least one region;
a change in elemental composition of at least one integral thermal slug;
a change in integral heat pipe number;
a change in placement of at least one integral heat pipe;
a change in integral heat pipe density in at least one region;
a change in arrangement of a plurality of integral heat pipes in at least one region;
a change in elemental composition of at least one integral heat pipe;
a change in integral heat sink fin number;
a change in placement of at least one integral heat sink fin;
a change in integral heat sink fin orientation in at least one region;
a change in integral heat sink fin pattern in at least one region;
a change in integral heat sink fin geometry in at least one region;
a change in height of at least one integral heat sink fin;
a change in integral heat sink fin density in at least one region;
a change in arrangement of a plurality of integral heat sink fins in at least one region; and
a change in elemental composition of at least one integral heat sink fin.
69. The article of manufacture of claim 53, wherein the modification of the configuration of the heat dissipater design comprises one or more of:
a change in heat sink fin number;
a change in placement of at least one heat sink fin;
a change in heat sink fin orientation in at least one region;
a change in heat sink fin pattern in at least one region;
a change in heat sink fin geometry in at least one region;
a change in height of at least one heat sink fin;
a change in heat sink fin density in at least one region;
a change in arrangement of a plurality of heat sink fins in at least one region; and
a change in elemental composition of at least one heat sink fin.
70. (canceled)
71. (canceled)
72. (canceled)
73. (canceled)
74. (canceled)
75. (canceled)
76. (canceled)
77. (canceled)
78. The method of claim 1, wherein the operational temperature distribution simulating is performed by a thermal analysis computer aided design subsystem and the operational electrical performance simulating is performed by at least one other computer aided design subsystem.
79. The method of claim 78, wherein the at least one other computer aided design subsystem is enabled to perform one or more of:
circuit simulation;
floorplanning;
place and route;
static timing analysis;
statistical timing analysis;
power analysis;
signal integrity analysis;
electrical circuit rule checking;
IR drop analysis;
electromigration analysis;
reliability analysis;
power rail analysis; and
clock tree analysis.
80. The method of claim 9, wherein the operational electrical performance simulating is performed by the at least one other computer aided design subsystem.
81. The system of claim 39, wherein the simulation of the operational electrical performance
comprises one or more of:
circuit simulation;
floorplanning;
place and route;
static timing analysis;
statistical timing analysis;
power analysis;
signal integrity analysis;
electrical circuit rule checking;
IR drop analysis;
electromigration analysis;
reliability analysis;
power rail analysis; and
clock tree analysis.
82. The system of claim 39, wherein the selective modification comprises one or more of:
a change in package interconnect;
a change in package metallization;
a change in package vias
a change in integral heat spreader number;
a change in placement of at least one integral heat spreader;
a change in integral heat spreader density in at least one region;
a change in arrangement of a plurality of integral heat spreaders in at least one region;
a change in elemental composition of at least one integral heat spreader;
a change in integral thermal slug number;
a change in placement of at least one integral thermal slug;
a change in integral thermal slug density in at least one region;
a change in arrangement of a plurality of integral thermal slugs in at least one region;
a change in elemental composition of at least one integral thermal slug;
a change in integral heat pipe number;
a change in placement of at least one integral heat pipe;
a change in integral heat pipe density in at least one region;
a change in arrangement of a plurality of integral heat pipes in at least one region;
a change in elemental composition of at least one integral heat pipe;
a change in integral heat sink fin number;
a change in placement of at least one integral heat sink fin;
a change in integral heat sink fin orientation in at least one region;
a change in integral heat sink fin pattern in at least one region;
a change in integral heat sink fin geometry in at least one region;
a change in height of at least one integral heat sink fin;
a change in integral heat sink fin density in at least one region;
a change in arrangement of a plurality of integral heat sink fins in at least one region; and
a change in elemental composition of at least one integral heat sink fin.
83. The system of claim 39, wherein the selective modification comprises one or more of:
a change in solder bump number;
a change in placement of at least one solder bump;
a change in solder bump density in at least one region;
a change in arrangement of a plurality of solder bumps in at least one region;
a change in elemental composition of at least one solder bump;
a change in application of underfill in at least one region;
a change in elemental composition of underfill in at least one region;
a change in final metal attachment pad number;
a change in placement of at least one final metal attachment pad;
a change in final metal attachment pad density in at least one region;
a change in arrangement of a plurality of final metal attachment pads in at least one region; and
a change in elemental composition of at least one final metal attachment pad.
84. The article of manufacture of claim 47, wherein the simulation of the operational electrical performance comprises one or more of:
circuit simulation;
floorplanning;
place and route;
static timing analysis;
statistical timing analysis;
power analysis;
signal integrity analysis;
electrical circuit rule checking;
IR drop analysis;
electromigration analysis;
reliability analysis;
power rail analysis; and
clock tree analysis.
85. The article of manufacture of claim 47, wherein the simulation of the operational electrical performance is used in at least one stage in an overall design flow, and the at least one stage comprises one or more of:
circuit design;
circuit simulation;
simulation;
floorplanning;
place and route;
block partitioning;
detailed place and route;
engineering change order; and
sign-off.
US11/317,668 2004-01-28 2005-12-23 Semiconductor chip design having thermal awareness across multiple sub-system domains Expired - Fee Related US7472363B1 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
US11/317,668 US7472363B1 (en) 2004-01-28 2005-12-23 Semiconductor chip design having thermal awareness across multiple sub-system domains
EP06846646A EP1960921A1 (en) 2005-12-17 2006-12-15 Simulation of ic temperature distributions using an adaptive 3d grid
PCT/US2006/062184 WO2007070879A1 (en) 2005-12-17 2006-12-15 Simulation of ic temperature distributions using an adaptive 3d grid
US12/131,821 US8286111B2 (en) 2004-03-11 2008-06-02 Thermal simulation using adaptive 3D and hierarchical grid mechanisms
US12/140,188 US7823102B2 (en) 2005-12-17 2008-06-16 Thermally aware design modification
US12/193,752 US20090077508A1 (en) 2004-01-28 2008-08-19 Accelerated life testing of semiconductor chips

Applications Claiming Priority (21)

Application Number Priority Date Filing Date Title
US53972704P 2004-01-28 2004-01-28
US55237504P 2004-03-11 2004-03-11
US58731304P 2004-07-13 2004-07-13
US59909804P 2004-08-05 2004-08-05
US59895704P 2004-08-05 2004-08-05
US59927804P 2004-08-05 2004-08-05
US60588904P 2004-08-30 2004-08-30
US10/979,957 US7194711B2 (en) 2004-01-28 2004-11-03 Method and apparatus for full-chip thermal analysis of semiconductor chip designs
US11/039,737 US7203920B2 (en) 2004-01-28 2005-01-20 Method and apparatus for retrofitting semiconductor chip performance analysis tools with full-chip thermal analysis capabilities
US65832405P 2005-03-03 2005-03-03
US65832305P 2005-03-03 2005-03-03
US11/078,047 US7191413B2 (en) 2004-01-28 2005-03-11 Method and apparatus for thermal testing of semiconductor chip designs
US68959205P 2005-06-10 2005-06-10
US11/180,353 US7401304B2 (en) 2004-01-28 2005-07-13 Method and apparatus for thermal modeling and analysis of semiconductor chip designs
US11/198,470 US7353471B1 (en) 2004-08-05 2005-08-05 Method and apparatus for using full-chip thermal analysis of semiconductor chip designs to compute thermal conductance
US11/198,467 US7383520B2 (en) 2004-08-05 2005-08-05 Method and apparatus for optimizing thermal management system performance using full-chip thermal analysis of semiconductor chip designs
US11/215,783 US7458052B1 (en) 2004-08-30 2005-08-29 Method and apparatus for normalizing thermal gradients over semiconductor chip designs
US71813805P 2005-09-16 2005-09-16
US73437205P 2005-11-07 2005-11-07
US75137605P 2005-12-17 2005-12-17
US11/317,668 US7472363B1 (en) 2004-01-28 2005-12-23 Semiconductor chip design having thermal awareness across multiple sub-system domains

Related Parent Applications (5)

Application Number Title Priority Date Filing Date
US11/180,353 Continuation-In-Part US7401304B2 (en) 2004-01-28 2005-07-13 Method and apparatus for thermal modeling and analysis of semiconductor chip designs
US11/215,783 Continuation-In-Part US7458052B1 (en) 2004-01-28 2005-08-29 Method and apparatus for normalizing thermal gradients over semiconductor chip designs
US11/317,670 Continuation US20090048801A1 (en) 2004-01-28 2005-12-23 Method and apparatus for generating thermal test vectors
PCT/US2006/062184 Continuation-In-Part WO2007070879A1 (en) 2004-01-28 2006-12-15 Simulation of ic temperature distributions using an adaptive 3d grid
US12/131,821 Continuation-In-Part US8286111B2 (en) 2004-03-11 2008-06-02 Thermal simulation using adaptive 3D and hierarchical grid mechanisms

Related Child Applications (4)

Application Number Title Priority Date Filing Date
US11/039,737 Continuation-In-Part US7203920B2 (en) 2004-01-28 2005-01-20 Method and apparatus for retrofitting semiconductor chip performance analysis tools with full-chip thermal analysis capabilities
US11/317,670 Continuation US20090048801A1 (en) 2004-01-28 2005-12-23 Method and apparatus for generating thermal test vectors
US11/317,670 Continuation-In-Part US20090048801A1 (en) 2004-01-28 2005-12-23 Method and apparatus for generating thermal test vectors
PCT/US2006/062184 Continuation WO2007070879A1 (en) 2004-01-28 2006-12-15 Simulation of ic temperature distributions using an adaptive 3d grid

Publications (2)

Publication Number Publication Date
US7472363B1 US7472363B1 (en) 2008-12-30
US20090024969A1 true US20090024969A1 (en) 2009-01-22

Family

ID=40265889

Family Applications (1)

Application Number Title Priority Date Filing Date
US11/317,668 Expired - Fee Related US7472363B1 (en) 2004-01-28 2005-12-23 Semiconductor chip design having thermal awareness across multiple sub-system domains

Country Status (1)

Country Link
US (1) US7472363B1 (en)

Cited By (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070244676A1 (en) * 2006-03-03 2007-10-18 Li Shang Adaptive analysis methods
US20070250797A1 (en) * 2006-04-24 2007-10-25 Engel James J Method and system of modeling leakage
US20080034336A1 (en) * 2006-08-01 2008-02-07 Shozo Hirano Electro-migration verifying apparatus, electro-migration verifying method, data structure and netlist used in the same
US20080150168A1 (en) * 2006-05-18 2008-06-26 Nec Electronic Corporation Information storage medium on which is stored an interconnection program, interconnection method, interconnection apparatus, and semiconductor device
US20080162103A1 (en) * 2006-12-29 2008-07-03 Cadence Design Systems, Inc. Method, system, and computer program product for concurrent model aided electronic design automation
US20090199140A1 (en) * 2008-01-31 2009-08-06 Vinod Kariat Method and apparatus for thermal analysis
US20090235212A1 (en) * 2008-03-12 2009-09-17 Daubenspeck Timothy H Design structure, failure analysis tool and method of determining white bump location using failure analysis tool
US20090307646A1 (en) * 2008-06-06 2009-12-10 Winter Bradley J Systems, devices, and methods for semiconductor device temperature management
US20090319964A1 (en) * 2008-06-24 2009-12-24 Vinod Kariat Method and apparatus for thermal analysis
US20090319965A1 (en) * 2008-06-24 2009-12-24 Vinod Kariat Method and apparatus for thermal analysis of through-silicon via (tsv)
US20100023903A1 (en) * 2008-07-25 2010-01-28 Eddy Pramono Method and apparatus for multi-die thermal analysis
WO2011010936A1 (en) * 2009-07-20 2011-01-27 Sinvent As Local thermal management
US20110079370A1 (en) * 2009-07-17 2011-04-07 Textron Inc. Non-Uniform Height And Density Fin Design For Heat Sink
US20130061196A1 (en) * 2011-09-07 2013-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Target-based dummy insertion for semiconductor devices
US8448108B2 (en) 2011-06-28 2013-05-21 International Business Machines Corporation Matching systems with power and thermal domains
US8521485B1 (en) * 2010-06-25 2013-08-27 Xilinx, Inc. Simulation of integrated circuit power grid networks
US8701073B1 (en) * 2012-09-28 2014-04-15 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for across-chip thermal and power management in stacked IC designs
US8826208B1 (en) 2013-03-27 2014-09-02 International Business Machines Corporation Computational thermal analysis during microchip design
US8930875B2 (en) * 2012-11-30 2015-01-06 International Business Machines Corporation Estimating power supply of a 3D IC
US9009642B1 (en) 2013-10-22 2015-04-14 International Business Machines Corporation Congestion estimation techniques at pre-synthesis stage
US20150179529A1 (en) * 2013-12-19 2015-06-25 Taiwan Semiconductor Manufacturing Company Limited Thermal analysis for tiered semiconductor structure
US20150185087A1 (en) * 2013-12-26 2015-07-02 Denso Corporation Electronic device with temperature detecting element
JP5853139B2 (en) * 2011-03-09 2016-02-09 パナソニックIpマネジメント株式会社 3D integrated circuit design apparatus, 3D integrated circuit design method, program
EP3239865A1 (en) * 2016-04-25 2017-11-01 MediaTek Inc. Method for analyzing ir drop and electromigration of ic
US10216876B2 (en) 2014-08-18 2019-02-26 Samsung Electronics Co., Ltd. Simulation system estimating self-heating characteristic of circuit and design method thereof
US10628627B2 (en) 2017-11-15 2020-04-21 Industrial Technology Research Institute Thermal estimation device and thermal estimation method
US10747280B2 (en) 2018-11-27 2020-08-18 International Business Machines Corporation Reconfigurble CPU/GPU interconnect to mitigate power/thermal throttling
US10831688B2 (en) 2018-08-21 2020-11-10 International Business Machines Corporation Reconfigurable network infrastructure
TWI760854B (en) * 2020-09-22 2022-04-11 瑞昱半導體股份有限公司 Chip, layout design system, and layout design method

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080244476A1 (en) * 2007-04-02 2008-10-02 Athena Design Systems, Inc. System and method for simultaneous optimization of multiple scenarios in an integrated circuit design
JP5045657B2 (en) * 2008-12-02 2012-10-10 富士通株式会社 PCB analysis device, PCB analysis method, PCB analysis program
US8352230B2 (en) * 2010-03-12 2013-01-08 International Business Machines Corporation Integrated framework for finite-element methods for package, device and circuit co-design
US8880386B2 (en) * 2011-06-13 2014-11-04 Sigrity, Inc. Method for circuit simulation
US8796841B2 (en) 2012-04-09 2014-08-05 Freescale Semiconductor, Inc. Semiconductor device with embedded heat spreading
US8581390B2 (en) 2012-04-09 2013-11-12 Freescale Semiconductor, Inc. Semiconductor device with heat dissipation
JP2013243263A (en) * 2012-05-21 2013-12-05 Internatl Business Mach Corp <Ibm> Combination of power supply and heat dissipation (cooling) in three-dimensional laminate package
US9785141B2 (en) * 2014-09-03 2017-10-10 Cadence Design Systems, Inc. Method, system, and computer program product for schematic driven, unified thermal and electromagnetic interference compliance analyses for electronic circuit designs
US10296693B2 (en) * 2014-12-11 2019-05-21 Mentor Graphics Corporation Three-dimensional composite solid component modeling
US9928317B2 (en) * 2015-02-02 2018-03-27 Mentor Graphics Corporation Additive design of heat sinks
US10282507B2 (en) * 2015-11-24 2019-05-07 Oracle International Corporation Method and system for determining circuit failure rate
TWI560565B (en) 2015-11-30 2016-12-01 Ind Tech Res Inst Thermal simulation device and method
TWI689724B (en) * 2017-12-15 2020-04-01 財團法人工業技術研究院 Chip temperature computation method and chip temperature computation device
US20190387615A1 (en) * 2018-06-14 2019-12-19 Microsoft Technology Licensing, Llc Multi-layer interconnected electro-thermal system having a thermally non-expansive support for mounting positionally related sensor components
US10997347B2 (en) * 2018-10-31 2021-05-04 Taiwan Semiconductor Manufacturing Company Ltd. Integrated circuit design method, system and computer program product
TWI722360B (en) * 2018-11-13 2021-03-21 大陸商創意電子(南京)有限公司 Testing system and testing method
US11334700B1 (en) * 2019-05-15 2022-05-17 Synopsys, Inc. Comprehensive thermal mapping of an electronic circuit design through design simulation
CN113204936B (en) * 2021-07-02 2021-09-17 苏州贝克微电子有限公司 Chip design method for automatically adding environment stabilization system
CN115221686B (en) * 2022-06-16 2023-05-05 中国人民解放军海军工程大学 Evaluation and optimization method and system for chip embedded liquid cooling heat sink and heat source

Citations (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4696578A (en) * 1986-06-19 1987-09-29 International Business Machines Corporation Single chip thermal tester
US5654904A (en) * 1994-05-18 1997-08-05 Micron Technology, Inc. Control and 3-dimensional simulation model of temperature variations in a rapid thermal processing machine
US5710068A (en) * 1993-11-30 1998-01-20 Texas Instruments Incorporated Low thermal impedance integrated circuit
US5831249A (en) * 1997-01-29 1998-11-03 Advanced Micro Devices, Inc. Secondary measurement of rapid thermal annealer temperature
US5838578A (en) * 1993-09-21 1998-11-17 Intel Corporation Method and apparatus for programmable thermal sensor for an integrated circuit
US5927853A (en) * 1994-10-19 1999-07-27 Christiaens; Filip Method for thermal impedance evaluation of packaged semiconductor components
US5997174A (en) * 1996-05-22 1999-12-07 Integrated Device Technology, Inc. Method for determining a thermal parameter of a device by measuring thermal resistance of a substrate carrying the device
US6124635A (en) * 1997-03-21 2000-09-26 Honda Giken Kogyo Kabushiki Kaisha Functionally gradient integrated metal-ceramic member and semiconductor circuit substrate application thereof
US6172337B1 (en) * 1995-07-10 2001-01-09 Mattson Technology, Inc. System and method for thermal processing of a semiconductor substrate
US6203191B1 (en) * 1998-10-28 2001-03-20 Speculative Incorporated Method of junction temperature determination and control utilizing heat flow
US6247161B1 (en) * 1997-01-16 2001-06-12 Advanced Micro Devices, Inc. Dynamically configured on-chip communications paths based on statistical analysis
US20010032330A1 (en) * 2000-04-14 2001-10-18 Kabushiki Kaisha Toshiba Semiconductor device simulation method, semiconductor device simulator, computer program for semiconductor device simulation, and method of manufacturing the semiconductor device
US6320201B1 (en) * 1995-11-17 2001-11-20 Micron Technology, Inc. Semiconductor reliability test chip
US6334013B1 (en) * 1997-10-24 2001-12-25 Pirelli Cavi E Sistemi S.P.A. Optical fibre gratings
US20020050833A1 (en) * 1996-10-21 2002-05-02 Thomas P. Jones Temperature control of electronic devices using power following feedback
US6389582B1 (en) * 1995-12-21 2002-05-14 John Valainis Thermal driven placement
US6505326B1 (en) * 2000-09-29 2003-01-07 General Electric Company Analyzing thermal characteristics of geometries
US6532570B1 (en) * 2001-09-07 2003-03-11 Sun Microsystems, Inc. Designing integrated circuits to reduce temperature induced electromigration effects
US6591399B1 (en) * 2000-12-28 2003-07-08 Nortel Networks Limited Technique for facilitating circuitry design
US6591402B1 (en) * 1999-03-19 2003-07-08 Moscape, Inc. System and method for performing assertion-based analysis of circuit designs
US20030145296A1 (en) * 2001-12-19 2003-07-31 Rajit Chandra Formal automated methodology for optimal signal integrity characterization of cell libraries
US6634013B2 (en) * 2000-06-05 2003-10-14 Nec Electronics Corporation Wiring failure analysis method using simulation of electromigration
US20030226122A1 (en) * 2002-05-30 2003-12-04 International Business Machines Corporation Parameter variation tolerant method for circuit design optimization
US6662345B2 (en) * 2000-01-04 2003-12-09 Fujitsu Limited Method and apparatus for designing printed-circuit board
US6751781B2 (en) * 2002-01-18 2004-06-15 Advanced Semiconductor Engineering, Inc. Thermal data automatic service system
US6769102B2 (en) * 2002-07-19 2004-07-27 Hewlett-Packard Development Company Verifying proximity of ground metal to signal traces in an integrated circuit
US20050044515A1 (en) * 2003-08-22 2005-02-24 International Business Machines Corporation Method for determining and using leakage current sensitivities to optimize the design of an integrated circuit
US20050058178A1 (en) * 2003-09-11 2005-03-17 Shih Chih C. Thermal interface material characterizing system
US20050138581A1 (en) * 2002-12-19 2005-06-23 Hiroki Usui Semiconductor circuit device simulation method and semiconductor circuit device simulator
US20050149886A1 (en) * 2003-12-29 2005-07-07 Tokyo Electron Limited Methods for adaptive real time control of a thermal processing system
US20050155004A1 (en) * 2003-12-18 2005-07-14 Mitiko Miura Simulation model for design of semiconductor device, thermal drain noise analysis method, simulation method, and simulation apparatus
US20050166166A1 (en) * 2004-01-28 2005-07-28 Gradient Design Automation Method and apparatus for thermal testing of semiconductor chip designs
US20050166168A1 (en) * 2004-01-28 2005-07-28 Gradient Design Automation Method and apparatus for retrofitting semiconductor chip performance analysis tools with full-chip thermal analysis capabilities
US6931369B1 (en) * 2001-05-01 2005-08-16 National Semiconductor Corporation Method to perform thermal simulation of an electronic circuit on a network
US20050210425A1 (en) * 2004-03-18 2005-09-22 Keller S B System and method for controlling analysis of multiple instantiations of circuits in hierarchical VLSI circuit designs
US6993742B2 (en) * 2003-08-08 2006-01-31 Intel Corporation Thermal proximity effects in lithography
US20060031794A1 (en) * 2004-01-28 2006-02-09 Peng Li Method and apparatus for thermal modeling and analysis of semiconductor chip designs
US7025280B2 (en) * 2004-01-30 2006-04-11 Tokyo Electron Limited Adaptive real time control of a reticle/mask system
US7039888B2 (en) * 2003-12-04 2006-05-02 Texas Instruments Incorporated Modeling process for integrated circuit film resistors
US7096450B2 (en) * 2003-06-28 2006-08-22 International Business Machines Corporation Enhancement of performance of a conductive wire in a multilayered substrate
US7162402B2 (en) * 2001-10-31 2007-01-09 Kimotion Technologies, Inc. Posynomial modeling, sizing, optimization and control of physical and non-physical systems
US7171346B1 (en) * 2000-09-01 2007-01-30 Freescale Semiconductor, Inc. Mismatch modeling tool
US7191112B2 (en) * 2000-04-28 2007-03-13 Cadence Design Systems, Inc. Multiple test bench optimizer
US7194711B2 (en) * 2004-01-28 2007-03-20 Gradient Design Automation Inc. Method and apparatus for full-chip thermal analysis of semiconductor chip designs
US7263477B2 (en) * 2003-06-09 2007-08-28 Cadence Design Systems, Inc. Method and apparatus for modeling devices having different geometries
US7353471B1 (en) * 2004-08-05 2008-04-01 Gradient Design Automation Inc. Method and apparatus for using full-chip thermal analysis of semiconductor chip designs to compute thermal conductance
US7383520B2 (en) * 2004-08-05 2008-06-03 Gradient Design Automation Inc. Method and apparatus for optimizing thermal management system performance using full-chip thermal analysis of semiconductor chip designs

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007070879A1 (en) 2005-12-17 2007-06-21 Gradient Design Automation, Inc. Simulation of ic temperature distributions using an adaptive 3d grid

Patent Citations (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4696578A (en) * 1986-06-19 1987-09-29 International Business Machines Corporation Single chip thermal tester
US5838578A (en) * 1993-09-21 1998-11-17 Intel Corporation Method and apparatus for programmable thermal sensor for an integrated circuit
US5710068A (en) * 1993-11-30 1998-01-20 Texas Instruments Incorporated Low thermal impedance integrated circuit
US5654904A (en) * 1994-05-18 1997-08-05 Micron Technology, Inc. Control and 3-dimensional simulation model of temperature variations in a rapid thermal processing machine
US5927853A (en) * 1994-10-19 1999-07-27 Christiaens; Filip Method for thermal impedance evaluation of packaged semiconductor components
US6172337B1 (en) * 1995-07-10 2001-01-09 Mattson Technology, Inc. System and method for thermal processing of a semiconductor substrate
US6320201B1 (en) * 1995-11-17 2001-11-20 Micron Technology, Inc. Semiconductor reliability test chip
US6389582B1 (en) * 1995-12-21 2002-05-14 John Valainis Thermal driven placement
US5997174A (en) * 1996-05-22 1999-12-07 Integrated Device Technology, Inc. Method for determining a thermal parameter of a device by measuring thermal resistance of a substrate carrying the device
US20020050833A1 (en) * 1996-10-21 2002-05-02 Thomas P. Jones Temperature control of electronic devices using power following feedback
US6247161B1 (en) * 1997-01-16 2001-06-12 Advanced Micro Devices, Inc. Dynamically configured on-chip communications paths based on statistical analysis
US5831249A (en) * 1997-01-29 1998-11-03 Advanced Micro Devices, Inc. Secondary measurement of rapid thermal annealer temperature
US6124635A (en) * 1997-03-21 2000-09-26 Honda Giken Kogyo Kabushiki Kaisha Functionally gradient integrated metal-ceramic member and semiconductor circuit substrate application thereof
US6334013B1 (en) * 1997-10-24 2001-12-25 Pirelli Cavi E Sistemi S.P.A. Optical fibre gratings
US6203191B1 (en) * 1998-10-28 2001-03-20 Speculative Incorporated Method of junction temperature determination and control utilizing heat flow
US6591402B1 (en) * 1999-03-19 2003-07-08 Moscape, Inc. System and method for performing assertion-based analysis of circuit designs
US6662345B2 (en) * 2000-01-04 2003-12-09 Fujitsu Limited Method and apparatus for designing printed-circuit board
US20010032330A1 (en) * 2000-04-14 2001-10-18 Kabushiki Kaisha Toshiba Semiconductor device simulation method, semiconductor device simulator, computer program for semiconductor device simulation, and method of manufacturing the semiconductor device
US7191112B2 (en) * 2000-04-28 2007-03-13 Cadence Design Systems, Inc. Multiple test bench optimizer
US6634013B2 (en) * 2000-06-05 2003-10-14 Nec Electronics Corporation Wiring failure analysis method using simulation of electromigration
US7171346B1 (en) * 2000-09-01 2007-01-30 Freescale Semiconductor, Inc. Mismatch modeling tool
US6505326B1 (en) * 2000-09-29 2003-01-07 General Electric Company Analyzing thermal characteristics of geometries
US6591399B1 (en) * 2000-12-28 2003-07-08 Nortel Networks Limited Technique for facilitating circuitry design
US6931369B1 (en) * 2001-05-01 2005-08-16 National Semiconductor Corporation Method to perform thermal simulation of an electronic circuit on a network
US6532570B1 (en) * 2001-09-07 2003-03-11 Sun Microsystems, Inc. Designing integrated circuits to reduce temperature induced electromigration effects
US7162402B2 (en) * 2001-10-31 2007-01-09 Kimotion Technologies, Inc. Posynomial modeling, sizing, optimization and control of physical and non-physical systems
US20030145296A1 (en) * 2001-12-19 2003-07-31 Rajit Chandra Formal automated methodology for optimal signal integrity characterization of cell libraries
US6751781B2 (en) * 2002-01-18 2004-06-15 Advanced Semiconductor Engineering, Inc. Thermal data automatic service system
US20030226122A1 (en) * 2002-05-30 2003-12-04 International Business Machines Corporation Parameter variation tolerant method for circuit design optimization
US6769102B2 (en) * 2002-07-19 2004-07-27 Hewlett-Packard Development Company Verifying proximity of ground metal to signal traces in an integrated circuit
US20050138581A1 (en) * 2002-12-19 2005-06-23 Hiroki Usui Semiconductor circuit device simulation method and semiconductor circuit device simulator
US7263477B2 (en) * 2003-06-09 2007-08-28 Cadence Design Systems, Inc. Method and apparatus for modeling devices having different geometries
US7096450B2 (en) * 2003-06-28 2006-08-22 International Business Machines Corporation Enhancement of performance of a conductive wire in a multilayered substrate
US6993742B2 (en) * 2003-08-08 2006-01-31 Intel Corporation Thermal proximity effects in lithography
US20050044515A1 (en) * 2003-08-22 2005-02-24 International Business Machines Corporation Method for determining and using leakage current sensitivities to optimize the design of an integrated circuit
US20050058178A1 (en) * 2003-09-11 2005-03-17 Shih Chih C. Thermal interface material characterizing system
US7039888B2 (en) * 2003-12-04 2006-05-02 Texas Instruments Incorporated Modeling process for integrated circuit film resistors
US20050155004A1 (en) * 2003-12-18 2005-07-14 Mitiko Miura Simulation model for design of semiconductor device, thermal drain noise analysis method, simulation method, and simulation apparatus
US20050149886A1 (en) * 2003-12-29 2005-07-07 Tokyo Electron Limited Methods for adaptive real time control of a thermal processing system
US7203920B2 (en) * 2004-01-28 2007-04-10 Gradient Design Automation Inc. Method and apparatus for retrofitting semiconductor chip performance analysis tools with full-chip thermal analysis capabilities
US20050166166A1 (en) * 2004-01-28 2005-07-28 Gradient Design Automation Method and apparatus for thermal testing of semiconductor chip designs
US7191413B2 (en) * 2004-01-28 2007-03-13 Gradient Design Automation, Inc. Method and apparatus for thermal testing of semiconductor chip designs
US20060031794A1 (en) * 2004-01-28 2006-02-09 Peng Li Method and apparatus for thermal modeling and analysis of semiconductor chip designs
US7194711B2 (en) * 2004-01-28 2007-03-20 Gradient Design Automation Inc. Method and apparatus for full-chip thermal analysis of semiconductor chip designs
US20050166168A1 (en) * 2004-01-28 2005-07-28 Gradient Design Automation Method and apparatus for retrofitting semiconductor chip performance analysis tools with full-chip thermal analysis capabilities
US20070120239A1 (en) * 2004-01-28 2007-05-31 Rajit Chandra Method and apparatus for full-chip thermal analysis of semiconductor chip designs
US20070157137A1 (en) * 2004-01-28 2007-07-05 Rajit Chandra Method and apparatus for retrofitting semiconductor chip performance anaylsis tools with full-chip thermal analysis capabilities
US7401304B2 (en) * 2004-01-28 2008-07-15 Gradient Design Automation Inc. Method and apparatus for thermal modeling and analysis of semiconductor chip designs
US7025280B2 (en) * 2004-01-30 2006-04-11 Tokyo Electron Limited Adaptive real time control of a reticle/mask system
US20050210425A1 (en) * 2004-03-18 2005-09-22 Keller S B System and method for controlling analysis of multiple instantiations of circuits in hierarchical VLSI circuit designs
US7353471B1 (en) * 2004-08-05 2008-04-01 Gradient Design Automation Inc. Method and apparatus for using full-chip thermal analysis of semiconductor chip designs to compute thermal conductance
US7383520B2 (en) * 2004-08-05 2008-06-03 Gradient Design Automation Inc. Method and apparatus for optimizing thermal management system performance using full-chip thermal analysis of semiconductor chip designs

Cited By (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070244676A1 (en) * 2006-03-03 2007-10-18 Li Shang Adaptive analysis methods
US7793239B2 (en) * 2006-04-24 2010-09-07 International Business Machines Corporation Method and system of modeling leakage
US20070250797A1 (en) * 2006-04-24 2007-10-25 Engel James J Method and system of modeling leakage
US20080150168A1 (en) * 2006-05-18 2008-06-26 Nec Electronic Corporation Information storage medium on which is stored an interconnection program, interconnection method, interconnection apparatus, and semiconductor device
US7966587B2 (en) * 2006-05-18 2011-06-21 Renesas Electronics Corporation Information storage medium on which is stored an interconnection program, interconnection method, interconnection apparatus, and semiconductor device
US20080034336A1 (en) * 2006-08-01 2008-02-07 Shozo Hirano Electro-migration verifying apparatus, electro-migration verifying method, data structure and netlist used in the same
US8042080B2 (en) * 2006-08-01 2011-10-18 Panasonic Corporation Electro-migration verifying apparatus, electro-migration verifying method, data structure and netlist used in the same
US20080162103A1 (en) * 2006-12-29 2008-07-03 Cadence Design Systems, Inc. Method, system, and computer program product for concurrent model aided electronic design automation
US20090199140A1 (en) * 2008-01-31 2009-08-06 Vinod Kariat Method and apparatus for thermal analysis
US8104006B2 (en) * 2008-01-31 2012-01-24 Cadence Design Systems, Inc. Method and apparatus for thermal analysis
US20090235212A1 (en) * 2008-03-12 2009-09-17 Daubenspeck Timothy H Design structure, failure analysis tool and method of determining white bump location using failure analysis tool
US7958477B2 (en) * 2008-03-12 2011-06-07 International Business Machines Corporation Structure, failure analysis tool and method of determining white bump location using failure analysis tool
US20090307646A1 (en) * 2008-06-06 2009-12-10 Winter Bradley J Systems, devices, and methods for semiconductor device temperature management
US8022535B2 (en) 2008-06-06 2011-09-20 Coolsilicon Llc Systems, devices, and methods for semiconductor device temperature management
US20090305482A1 (en) * 2008-06-06 2009-12-10 Winter Bradley J Systems, devices, and methods for semiconductor device temperature management
US7781263B2 (en) 2008-06-06 2010-08-24 Coolsilicon Llc Systems, devices, and methods for semiconductor device temperature management
US20090302461A1 (en) * 2008-06-06 2009-12-10 Winter Bradley J Systems, devices, and methods for semiconductor device temperature management
US8103996B2 (en) 2008-06-24 2012-01-24 Cadence Design Systems, Inc. Method and apparatus for thermal analysis of through-silicon via (TSV)
US8104007B2 (en) 2008-06-24 2012-01-24 Cadence Design Systems, Inc. Method and apparatus for thermal analysis
US20090319965A1 (en) * 2008-06-24 2009-12-24 Vinod Kariat Method and apparatus for thermal analysis of through-silicon via (tsv)
US8504958B2 (en) 2008-06-24 2013-08-06 Cadence Design Systems, Inc. Method and apparatus for thermal analysis
US8543952B2 (en) 2008-06-24 2013-09-24 Cadence Design Systems, Inc. Method and apparatus for thermal analysis of through-silicon via (TSV)
US20090319964A1 (en) * 2008-06-24 2009-12-24 Vinod Kariat Method and apparatus for thermal analysis
US8201113B2 (en) 2008-07-25 2012-06-12 Cadence Design Systems, Inc. Method and apparatus for multi-die thermal analysis
US20100023903A1 (en) * 2008-07-25 2010-01-28 Eddy Pramono Method and apparatus for multi-die thermal analysis
US8694934B2 (en) 2008-07-25 2014-04-08 Cadence Design Systems, Inc. Method and apparatus for multi-die thermal analysis
US8566760B2 (en) 2008-07-25 2013-10-22 Cadence Design Systems, Inc. Method and apparatus for multi-die thermal analysis
US20110079370A1 (en) * 2009-07-17 2011-04-07 Textron Inc. Non-Uniform Height And Density Fin Design For Heat Sink
WO2011010936A1 (en) * 2009-07-20 2011-01-27 Sinvent As Local thermal management
US8521485B1 (en) * 2010-06-25 2013-08-27 Xilinx, Inc. Simulation of integrated circuit power grid networks
JP5853139B2 (en) * 2011-03-09 2016-02-09 パナソニックIpマネジメント株式会社 3D integrated circuit design apparatus, 3D integrated circuit design method, program
US8495554B2 (en) 2011-06-28 2013-07-23 International Business Machines Corporation Matching systems with power and thermal domains
US8448108B2 (en) 2011-06-28 2013-05-21 International Business Machines Corporation Matching systems with power and thermal domains
US8527918B2 (en) * 2011-09-07 2013-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Target-based thermal design using dummy insertion for semiconductor devices
US20130061196A1 (en) * 2011-09-07 2013-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Target-based dummy insertion for semiconductor devices
US8701073B1 (en) * 2012-09-28 2014-04-15 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for across-chip thermal and power management in stacked IC designs
US8930875B2 (en) * 2012-11-30 2015-01-06 International Business Machines Corporation Estimating power supply of a 3D IC
US8826208B1 (en) 2013-03-27 2014-09-02 International Business Machines Corporation Computational thermal analysis during microchip design
US9009642B1 (en) 2013-10-22 2015-04-14 International Business Machines Corporation Congestion estimation techniques at pre-synthesis stage
US9092587B2 (en) 2013-10-22 2015-07-28 International Business Machines Corporation Congestion estimation techniques at pre-synthesis stage
US20150179529A1 (en) * 2013-12-19 2015-06-25 Taiwan Semiconductor Manufacturing Company Limited Thermal analysis for tiered semiconductor structure
US9659115B2 (en) * 2013-12-19 2017-05-23 Taiwan Semiconductor Manufacturing Company Limited Thermal analysis for tiered semiconductor structure
US9329090B2 (en) * 2013-12-26 2016-05-03 Denso Corporation Electronic device with temperature detecting element
US20150185087A1 (en) * 2013-12-26 2015-07-02 Denso Corporation Electronic device with temperature detecting element
US10216876B2 (en) 2014-08-18 2019-02-26 Samsung Electronics Co., Ltd. Simulation system estimating self-heating characteristic of circuit and design method thereof
EP3239865A1 (en) * 2016-04-25 2017-11-01 MediaTek Inc. Method for analyzing ir drop and electromigration of ic
CN107403024A (en) * 2016-04-25 2017-11-28 联发科技股份有限公司 The analysis method and computer-readable recording medium of IC voltage drop and electromigration
TWI640883B (en) * 2016-04-25 2018-11-11 聯發科技股份有限公司 A computer-readable storage medium and a method for analyzing ir drop and electro migration of an ic
US10628627B2 (en) 2017-11-15 2020-04-21 Industrial Technology Research Institute Thermal estimation device and thermal estimation method
US10831688B2 (en) 2018-08-21 2020-11-10 International Business Machines Corporation Reconfigurable network infrastructure
US10747280B2 (en) 2018-11-27 2020-08-18 International Business Machines Corporation Reconfigurble CPU/GPU interconnect to mitigate power/thermal throttling
TWI760854B (en) * 2020-09-22 2022-04-11 瑞昱半導體股份有限公司 Chip, layout design system, and layout design method

Also Published As

Publication number Publication date
US7472363B1 (en) 2008-12-30

Similar Documents

Publication Publication Date Title
US7472363B1 (en) Semiconductor chip design having thermal awareness across multiple sub-system domains
US7823102B2 (en) Thermally aware design modification
US20090224356A1 (en) Method and apparatus for thermally aware design improvement
US8751996B1 (en) Automatically routing nets according to parasitic constraint rules
US7401304B2 (en) Method and apparatus for thermal modeling and analysis of semiconductor chip designs
US8701067B1 (en) Methods, systems, and articles of manufactures for implementing electronic circuit designs with IR-drop awareness
Li et al. IC thermal simulation and modeling via efficient multigrid-based approaches
US7203920B2 (en) Method and apparatus for retrofitting semiconductor chip performance analysis tools with full-chip thermal analysis capabilities
JP4521640B2 (en) Delta information design closure in integrated circuit fabrication.
US8954917B1 (en) Method and system for performing fast electrical analysis and simulation of an electronic design for power gates
US8719743B1 (en) Method and system for implementing clock tree prototyping
US9785141B2 (en) Method, system, and computer program product for schematic driven, unified thermal and electromagnetic interference compliance analyses for electronic circuit designs
Tsai et al. Temperature-aware placement for SOCs
US20160042115A1 (en) Path-based floorplan analysis
US10445457B1 (en) Methods, systems, and articles of manufacture for implementing a physical design of an electronic design with DFM and design specification awareness
US10216887B1 (en) Methods, systems, and computer program products for implementing an electronic design with time varying resistors in power gating analysis
Lienig et al. Steps in Physical Design: From Netlist Generation to Layout Post Processing
Gopalakrishnan et al. An analysis of the wire-load model uncertainty problem
Chakravarthi SOC Physical Design Verification
Fatima et al. Analysis of IR Drop for Robust Power Grid of Semiconductor Chip Design: A Review
Basha et al. P/G Pin Position-Aware Voltage Island Floorplanning For IR Drop Security and avoidance in Flip Chip Designs of FIR Filter
Chakravarthi et al. Physical Design Verification of SoC
White et al. Electrically aware design methodologies for advanced process nodes
Basaran et al. GeneSys: A leaf-cell layout synthesis system for GHz VLSI designs
Chakravarthi et al. SoC Physical Design Flow and Algorithms

Legal Events

Date Code Title Description
AS Assignment

Owner name: GRADIENT DESIGN AUTOMATION, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:CHANDRA, RAJIT;REEL/FRAME:020950/0112

Effective date: 20061211

FPAY Fee payment

Year of fee payment: 4

FEPP Fee payment procedure

Free format text: PAT HOLDER NO LONGER CLAIMS SMALL ENTITY STATUS, ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: STOL); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

AS Assignment

Owner name: KEYSIGHT TECHNOLOGIES, INC, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:GRADIENT DESIGN AUTOMATION;REEL/FRAME:036005/0756

Effective date: 20150521

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20161230