US20080308801A1 - Structure for stochastic integrated circuit personalization - Google Patents

Structure for stochastic integrated circuit personalization Download PDF

Info

Publication number
US20080308801A1
US20080308801A1 US12/193,288 US19328808A US2008308801A1 US 20080308801 A1 US20080308801 A1 US 20080308801A1 US 19328808 A US19328808 A US 19328808A US 2008308801 A1 US2008308801 A1 US 2008308801A1
Authority
US
United States
Prior art keywords
layer
array
conductive layer
cells
intermediate layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US12/193,288
Other versions
US7838873B2 (en
Inventor
Lawrence A. Clevenger
Matthew E. Colburn
Timothy J. Dalton
Michael C. Gaidis
Louis L. C. Hsu
Carl Radens
Keith Kwong Hon Wong
Chih-Chao Yang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US12/193,288 priority Critical patent/US7838873B2/en
Publication of US20080308801A1 publication Critical patent/US20080308801A1/en
Application granted granted Critical
Publication of US7838873B2 publication Critical patent/US7838873B2/en
Expired - Fee Related legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/57Protection from inspection, reverse engineering or tampering
    • H01L23/576Protection from inspection, reverse engineering or tampering using active circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54433Marks applied to semiconductor devices or parts containing identification or tracking information
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54433Marks applied to semiconductor devices or parts containing identification or tracking information
    • H01L2223/5444Marks applied to semiconductor devices or parts containing identification or tracking information for electrical read out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/20Resistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19041Component type being a capacitor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19043Component type being a resistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/30105Capacitance

Definitions

  • the present invention relates generally to semiconductor device processing techniques and, more particularly, to a structure and method for stochastic integrated circuit personalization.
  • Authentication is a very effective means to prevent faking or counterfeiting in the world or commercial transactions.
  • Different means of authentication have been implemented in various industries such as, for example: the use of laser holograms in credit cards, unique water marks or embedded metal threads in monetary bills, and certain types of embedded smart chips in passports or driver's licenses.
  • An underlying mechanism of each of these schemes is the incorporation of unique identification keys for each device or product, the keys being unique in that they are very difficult to reproduce from a statistical standpoint. In principle, the longer the identification key, the more difficult it becomes to crack the key.
  • a stochastically based integrated circuit encryption structure including a substrate having an array of individual cells formed thereupon, each of the array cells including at least one layer therein formed in a manner so as to result in a nanopore structure of varying shape and size distribution among the cells, wherein a measurable electrical parameter of the individual cells has a random distribution from cell to cell with respect to a reference value of the electrical parameter.
  • a method of forming a stochastically based integrated circuit encryption structure includes forming a lower conductive layer over a substrate, forming a short prevention layer over the lower conductive layer, forming an intermediate layer over the short prevention layer, wherein the intermediate layer is characterized by randomly structured nanopore features.
  • An upper conductive layer is formed over the random nanopore structured intermediate layer. The upper conductive layer is patterned into an array of individual cells, wherein a measurable electrical parameter of the individual cells has a random distribution from cell to cell with respect to a reference value of the electrical parameter.
  • a solution is technically achieved in which an a diblock copolymer material is used to pattern and form an integrated circuit array of randomly distributed electrical characteristics, such as capacitance or resistance.
  • the randomly pattered array structure can in turn be used to form a unique cryptographic key for the chip on which the array is fabricated.
  • FIGS. 1( a ) through 1 ( f ) are cross sectional views of a method for forming stochastic integrated circuit device layer, in accordance with an embodiment of the invention
  • FIG. 2 is a plan view of an exemplary m ⁇ n array of individual unit cells having randomly distributed capacitance or resistance values utilizing the method shown in FIGS. 1( a ) through 1 ( f );
  • FIG. 3 is a histogram illustrating an exemplary capacitance distribution among individual array cells
  • FIG. 4( a ) is an image of a relatively ordered nanopore diblock copolymer film, with FIG. 4( b ) illustrating the resulting pattern transferred into a sample layer;
  • FIG. 5( a ) is an image of a randomly distributed nanopore diblock copolymer film, with FIG. 5( b ) illustrating the resulting pattern transferred into a sample layer, in accordance with an embodiment of the invention.
  • a diblock copolymer material is used to form a mask having an array of randomly distributed nanopore structures.
  • the unique random patterning of the diblock copolymer mask is then transferred into a semiconductor device layer (e.g., a capacitor dielectric layer or resistive material layer) such that individual cells defined within an array of the layer have measurable attributes (e.g., capacitance, resistance) that vary from cell to cell.
  • the formed array with randomly distributed values from cell to call may be read by support circuitry formed on the device in a manner that generates a unique cryptographic key for the chip. In this manner, a unique key can be formed on each chip without a specifically designed lithographic masking level.
  • read and decode functions can be implemented through on-chip decode circuits coupled to the array structure.
  • a semiconductor device layer e.g., capacitor dielectric material
  • a semiconductor device layer is patterned using thin film materials with a “self-assembling” or stochastic attribute to create unique arrays on each chip, and combined with on-chip logic circuitry for readout of the key during wafer processing, and to create a long (wide) cryptographic key for security ensuring chip operation.
  • a different key is thus provided on each chip without an associated lithographic masking level of fuse activation.
  • Read and decode is functions may be provided by using on-chip CMOS logic circuits.
  • a substrate 100 has a lower conductive layer 102 formed thereon.
  • the substrate 100 may be, for example, a silicon substrate, although other materials are also contemplated. Such other materials can include other types of semiconductor material, but could also include an insulating material such as glass.
  • the lower conductive layer 102 may be a deposited material, such as Ti, TiN, W, Ta, TaN, Ag, Ru, Rh, and Si, as well as alloys, combinations, and multilayer stacks thereof.
  • a suitable material for the conductive layer 102 is aluminum with an underlayer of Ti, deposited by physical vapor deposition (PVD).
  • PVD physical vapor deposition
  • the conductive layer 102 may also be deposited by physical vapor deposition (PVD), atomic layer deposition (ALD), electrodeposition, electroless deposition, or a combination of the same.
  • the lower conductive layer 102 may be formed by doping the substrate 100 with a material such as P, As, B, BF 2 , and Sb, for example.
  • the lower conductive layer 102 may be formed in a continuous fashion across the substrate 100 or, optionally, it may be patterned such that it is formed only in desired regions over the substrate 100 .
  • such formation could be a subtractive process (e.g., blanket metal deposition followed by etch) or an additive process (e.g., damascene processing through conductive material fill of etched regions from an insulating layer).
  • an etch stop layer 104 is formed over the lower conductive layer 102 , followed by an intermediate layer 106 formed atop the etch stop layer 104 .
  • the stochastic device utilizes an array having a random distribution of capacitance values.
  • the intermediate layer 106 comprises a dielectric layer of relatively lower dielectric constant (K) with respect to the etch stop layer 104 .
  • the etch stop layer 104 may be a material such as a CVD nitride, aluminum oxide (Al 2 O 3 ), Ta 2 O 5 , etc.
  • the dielectric layer 106 may be a material such as SiO 2 , Si 3 N 4 , SiON, SiC, SiCN, SiCNH, SiCH, SiCOH, fluorosilicate glass, or other suitable dielectric material known in the art.
  • the lower-K dielectric layer 106 may be deposited by CVD, PECVD, ALD, or spin-on processes, and may be thicker than the etch stop layer 104 .
  • the dielectric layer 106 is SiO 2 deposited by PECVD techniques. Where the array utilizes a random distribution of resistance values, then the layer 106 may be formed from a material used in the formation of discrete resistor components used in semiconductor fabrication.
  • a mask layer 108 is then formed over layer 106 .
  • the mask layer 108 may include a single layer or multiple layers. However, in either instance, a desired property of the mask layer 108 is that it is a “self-assembling” material such as a diblock copolymer that has the properties of segregating into distinct phases to form patterns smaller than can be established utilizing convention photolithographic approaches.
  • the diblock copolymer masking layer is PMMA-PS (polymethylmethacrylate-polystyrene).
  • Diblock copolymers are composed of two chemically distinct polymer blocks. When films of diblock copolymers are annealed in an oven, nanometer-scale patterns called “microdomains” can be observed. The stripe patterns result from repulsion between the two halves of each polymer molecule. The width of these stripes is determined by the length of the polymer chains in the film. Initially, the patterns amount to no more than a random dappling of the film. Subsequently, the dark and light regions organize themselves into swirling “fingerprint” like patterns in the film. As time passes, the swirls become smoother and the defects become fewer. In this manner, the film progresses towards a regular parallel stripe pattern due to the system's desire to reach its most stable (i.e., lowest-energy) form.
  • the present invention embodiments utilize the randomly formed microdomains of the film.
  • the developed diblock layer 108 having randomly formed microdomains is shown in FIG. 1( b ).
  • the resulting mask may be used to directly pattern layer 106 , and may additionally include other layers such as a patterning layer, a hardmask and/or a transfer layer.
  • the diblock PMMA-PS copolymer mask layer is included with a spin-on, silicon-containing antireflective coating (ARC) hardmask or a low-temperature (e.g., ⁇ 250° C.) silicon oxide or silicon nitride PECVD film.
  • a transfer layer may include an organic spin-on material such as NFC-1400 manufactured by Japan Synthetic Rubber company or SiLK® manufactured by Dow Chemical company. Other mask materials known to one skilled in the art may also be utilized.
  • the diblock copolymer mask pattern is then transferred into layer 106 through a process such as plasma etching (e.g., using Ar, C 4 F 8 , CO, and O 2 , etc). Openings of randomly distributed size and shape are thus formed through layer 106 (e.g., capacitor dielectric material), stopping on etch stop layer 104 . The mask material is then removed.
  • a process such as plasma etching (e.g., using Ar, C 4 F 8 , CO, and O 2 , etc). Openings of randomly distributed size and shape are thus formed through layer 106 (e.g., capacitor dielectric material), stopping on etch stop layer 104 .
  • the mask material is then removed.
  • the developed copolymer layer 108 itself could be used as a dielectric layer. In this case, layer 106 in FIG. 1( c ) would actually represent the developed diblock copolymer, thereby simplifying the manufacturing process.
  • an upper conductive layer 110 is then formed over layer 106 and into the openings defined therein, as shown in FIG. 1( d ). It will be noted that the etch stop layer 104 prevents shorting of the upper conductive layer 110 with the lower conductive layer 102 . In the event that a developed diblock material is used as layer 106 itself (and not as a mask for etching of layer 106 ), then the etch stop layer 104 would more generally be referred to as a “short prevention” layer.
  • the upper conductive layer 110 may be selected from materials such as Al, Ti, TiN, W, Ta, TaN, Ag, Ru, Rh, alloys and combinations thereof, as well as and multilayer stacks thereof.
  • One exemplary material choice for the upper conductive layer is Al deposited by CVD.
  • the structure is then further processed by masking with conventional photolithography. That is, a photoresist layer 112 is patterned in a manner that creates an array of cells of a defined shape (e.g., rectangular shapes). Then, in FIG. 1( f ), the resist pattern is transferred into the upper conductive layer 110 so as to define an array of m ⁇ n cells 114 with randomly distributed values of capacitance (or resistance, depending on the selection of layer 106 ).
  • a photoresist layer 112 is patterned in a manner that creates an array of cells of a defined shape (e.g., rectangular shapes).
  • the resist pattern is transferred into the upper conductive layer 110 so as to define an array of m ⁇ n cells 114 with randomly distributed values of capacitance (or resistance, depending on the selection of layer 106 ).
  • each physically separated section of upper conductive layer 110 defines a top electrode
  • the randomly patterned portions of layer 106 beneath the top electrode defines a capacitor dielectric
  • the lower conductive layer 102 defines a common bottom electrode for all cells 114 .
  • a plan view of the m ⁇ n array with individual unit cells 114 is illustrated in FIG. 2 .
  • an overall value of unit capacitance (or resistance) may be averaged to obtain a reference.
  • the unit capacitance (or resistance) of any small portion of the array will be distributed in a range.
  • a 1000 ⁇ m ⁇ 1000 ⁇ m area of thin film formed with the above described stochastic nanopore formation technique may have a capacitance value of about 20 fF/ ⁇ m 2 .
  • any given 10 ⁇ m ⁇ 10 ⁇ m sub-block (cell) of the overall 1000 ⁇ m ⁇ 1000 ⁇ m thin film may have a capacitance value range from about 15 fF/ ⁇ m 2 to about 25 fF/ ⁇ m 2 .
  • a capacitance distribution for individually defined cells with a size of 10 ⁇ m ⁇ 10 ⁇ m is illustrated by the histogram shown in FIG. 3 .
  • the individual capacitance values for the population of array cell may be expected to conform to a Gaussian distribution about the normal value of 20 fF/ ⁇ m 2 .
  • the capacitance variations from cell to cell are still detectable. If the higher-K etch stop portion of the cell has a unit capacitance C h , the intermediate dielectric layer can be formed in a manner such that its unit capacitance, C l , is roughly ten times less than C h .
  • FIGS. 4( a ), 4 ( b ), 5 ( a ) and 5 ( b ) illustrate the random nature of a formed diblock copolymer layer.
  • the diblock copolymer is allowed to progress to a more ordered nanopattern, which is then transferred into a sample layer as shown in FIG. 4( a ).
  • the self assembly the diblock copolymer is not intended to result in a regular, replicable pattern.
  • the resulting patterned structure is of a random nature.
  • a sense amplifier circuit may be configured to compare the capacitance (or resistance) per unit area of a reference array to that from each data “cell,” and thereby generate a binary “1” or “0” for that cell.

Abstract

A method of forming a stochastically based integrated circuit encryption structure includes forming a lower conductive layer over a substrate, forming a short prevention layer over the lower conductive layer, forming an intermediate layer over the short prevention layer, wherein the intermediate layer is characterized by randomly structured nanopore features. An upper conductive layer is formed over the random nanopore structured intermediate layer. The upper conductive layer is patterned into an array of individual cells, wherein a measurable electrical parameter of the individual cells has a random distribution from cell to cell with respect to a reference value of the electrical parameter.

Description

    CROSS-REFERENCE TO RELATED APPLICATION
  • This application is a divisional of U.S. patent application Ser. No. 11/619,196, filed Jan. 3, 2007, the disclosure of which is incorporated by reference herein in its entirety.
  • BACKGROUND
  • The present invention relates generally to semiconductor device processing techniques and, more particularly, to a structure and method for stochastic integrated circuit personalization.
  • Authentication is a very effective means to prevent faking or counterfeiting in the world or commercial transactions. Different means of authentication have been implemented in various industries such as, for example: the use of laser holograms in credit cards, unique water marks or embedded metal threads in monetary bills, and certain types of embedded smart chips in passports or driver's licenses. An underlying mechanism of each of these schemes is the incorporation of unique identification keys for each device or product, the keys being unique in that they are very difficult to reproduce from a statistical standpoint. In principle, the longer the identification key, the more difficult it becomes to crack the key.
  • In the case of a semiconductor device, physical features are typically formed through the use of photolithographic patterning and etching of layers, using one or more designed masks with the desired features to be defined in the device layers. However, in order to form a physically unique structure from chip to chip, a specifically designed mask is ordinarily required. In terms of providing a sufficiently secure identification key using unique patterns on a device, the sheer number of individual masks needed to provide a significant number of customized features becomes too impractical a task.
  • Accordingly, it would be desirable to be able to form a customized integrated circuit device having randomly distributed features or characteristics such that it is possible to create a secure identification key for an individual chip, and in a manner that is practical and relatively easy to incorporate within existing semiconductor fabrication capabilities.
  • SUMMARY
  • The foregoing discussed drawbacks and deficiencies of the prior art are overcome or alleviated by a stochastically based integrated circuit encryption structure, including a substrate having an array of individual cells formed thereupon, each of the array cells including at least one layer therein formed in a manner so as to result in a nanopore structure of varying shape and size distribution among the cells, wherein a measurable electrical parameter of the individual cells has a random distribution from cell to cell with respect to a reference value of the electrical parameter.
  • In another embodiment, a method of forming a stochastically based integrated circuit encryption structure includes forming a lower conductive layer over a substrate, forming a short prevention layer over the lower conductive layer, forming an intermediate layer over the short prevention layer, wherein the intermediate layer is characterized by randomly structured nanopore features. An upper conductive layer is formed over the random nanopore structured intermediate layer. The upper conductive layer is patterned into an array of individual cells, wherein a measurable electrical parameter of the individual cells has a random distribution from cell to cell with respect to a reference value of the electrical parameter.
  • TECHNICAL EFFECTS
  • As a result of the summarized invention, a solution is technically achieved in which an a diblock copolymer material is used to pattern and form an integrated circuit array of randomly distributed electrical characteristics, such as capacitance or resistance. The randomly pattered array structure can in turn be used to form a unique cryptographic key for the chip on which the array is fabricated.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Referring to the exemplary drawings wherein like elements are numbered alike in the several Figures:
  • FIGS. 1( a) through 1(f) are cross sectional views of a method for forming stochastic integrated circuit device layer, in accordance with an embodiment of the invention;
  • FIG. 2 is a plan view of an exemplary m×n array of individual unit cells having randomly distributed capacitance or resistance values utilizing the method shown in FIGS. 1( a) through 1(f);
  • FIG. 3 is a histogram illustrating an exemplary capacitance distribution among individual array cells;
  • FIG. 4( a) is an image of a relatively ordered nanopore diblock copolymer film, with FIG. 4( b) illustrating the resulting pattern transferred into a sample layer; and
  • FIG. 5( a) is an image of a randomly distributed nanopore diblock copolymer film, with FIG. 5( b) illustrating the resulting pattern transferred into a sample layer, in accordance with an embodiment of the invention.
  • DETAILED DESCRIPTION
  • Disclosed herein is a structure and method for stochastically based integrated circuit personalization. Briefly stated, a diblock copolymer material is used to form a mask having an array of randomly distributed nanopore structures. The unique random patterning of the diblock copolymer mask is then transferred into a semiconductor device layer (e.g., a capacitor dielectric layer or resistive material layer) such that individual cells defined within an array of the layer have measurable attributes (e.g., capacitance, resistance) that vary from cell to cell. In turn, the formed array with randomly distributed values from cell to call may be read by support circuitry formed on the device in a manner that generates a unique cryptographic key for the chip. In this manner, a unique key can be formed on each chip without a specifically designed lithographic masking level. In an exemplary application, read and decode functions can be implemented through on-chip decode circuits coupled to the array structure.
  • In particular, a semiconductor device layer (e.g., capacitor dielectric material) is patterned using thin film materials with a “self-assembling” or stochastic attribute to create unique arrays on each chip, and combined with on-chip logic circuitry for readout of the key during wafer processing, and to create a long (wide) cryptographic key for security ensuring chip operation. A different key is thus provided on each chip without an associated lithographic masking level of fuse activation. Read and decode is functions may be provided by using on-chip CMOS logic circuits.
  • Referring generally to FIGS. 1( a) through 1(f), there is shown a series of cross sectional views of a method for forming stochastic integrated circuit device layer, in accordance with an embodiment of the invention. As shown in FIG. 1( a), a substrate 100 has a lower conductive layer 102 formed thereon. The substrate 100 may be, for example, a silicon substrate, although other materials are also contemplated. Such other materials can include other types of semiconductor material, but could also include an insulating material such as glass.
  • The lower conductive layer 102 may be a deposited material, such as Ti, TiN, W, Ta, TaN, Ag, Ru, Rh, and Si, as well as alloys, combinations, and multilayer stacks thereof. In one exemplary embodiment, a suitable material for the conductive layer 102 is aluminum with an underlayer of Ti, deposited by physical vapor deposition (PVD). However, the conductive layer 102 may also be deposited by physical vapor deposition (PVD), atomic layer deposition (ALD), electrodeposition, electroless deposition, or a combination of the same. Alternatively, where the substrate 100 is a semiconductor material, the lower conductive layer 102 may be formed by doping the substrate 100 with a material such as P, As, B, BF2, and Sb, for example. The lower conductive layer 102 may be formed in a continuous fashion across the substrate 100 or, optionally, it may be patterned such that it is formed only in desired regions over the substrate 100. In the event the conductive layer 102 is formed in desired regions over the substrate, such formation could be a subtractive process (e.g., blanket metal deposition followed by etch) or an additive process (e.g., damascene processing through conductive material fill of etched regions from an insulating layer).
  • As further illustrated in FIG. 1( a), an etch stop layer 104 is formed over the lower conductive layer 102, followed by an intermediate layer 106 formed atop the etch stop layer 104. In one exemplary embodiment, the stochastic device utilizes an array having a random distribution of capacitance values. In such a case, the intermediate layer 106 comprises a dielectric layer of relatively lower dielectric constant (K) with respect to the etch stop layer 104. For example, in the capacitive embodiment, the etch stop layer 104 may be a material such as a CVD nitride, aluminum oxide (Al2O3), Ta2O5, etc., while the dielectric layer 106 may be a material such as SiO2, Si3N4, SiON, SiC, SiCN, SiCNH, SiCH, SiCOH, fluorosilicate glass, or other suitable dielectric material known in the art. The lower-K dielectric layer 106 may be deposited by CVD, PECVD, ALD, or spin-on processes, and may be thicker than the etch stop layer 104. In an exemplary capacitive embodiment, the dielectric layer 106 is SiO2 deposited by PECVD techniques. Where the array utilizes a random distribution of resistance values, then the layer 106 may be formed from a material used in the formation of discrete resistor components used in semiconductor fabrication.
  • A mask layer 108 is then formed over layer 106. The mask layer 108 may include a single layer or multiple layers. However, in either instance, a desired property of the mask layer 108 is that it is a “self-assembling” material such as a diblock copolymer that has the properties of segregating into distinct phases to form patterns smaller than can be established utilizing convention photolithographic approaches. In an exemplary embodiment, the diblock copolymer masking layer is PMMA-PS (polymethylmethacrylate-polystyrene).
  • Diblock copolymers are composed of two chemically distinct polymer blocks. When films of diblock copolymers are annealed in an oven, nanometer-scale patterns called “microdomains” can be observed. The stripe patterns result from repulsion between the two halves of each polymer molecule. The width of these stripes is determined by the length of the polymer chains in the film. Initially, the patterns amount to no more than a random dappling of the film. Subsequently, the dark and light regions organize themselves into swirling “fingerprint” like patterns in the film. As time passes, the swirls become smoother and the defects become fewer. In this manner, the film progresses towards a regular parallel stripe pattern due to the system's desire to reach its most stable (i.e., lowest-energy) form.
  • However, it should be noted that whereas certain other nanopore diblock copolymer applications specifically utilize the ordered nanopatterns that form over time, the present invention embodiments utilize the randomly formed microdomains of the film. Accordingly, the developed diblock layer 108 having randomly formed microdomains is shown in FIG. 1( b). The resulting mask may be used to directly pattern layer 106, and may additionally include other layers such as a patterning layer, a hardmask and/or a transfer layer. In one embodiment, the diblock PMMA-PS copolymer mask layer is included with a spin-on, silicon-containing antireflective coating (ARC) hardmask or a low-temperature (e.g., <250° C.) silicon oxide or silicon nitride PECVD film. A transfer layer may include an organic spin-on material such as NFC-1400 manufactured by Japan Synthetic Rubber company or SiLK® manufactured by Dow Chemical company. Other mask materials known to one skilled in the art may also be utilized.
  • As shown in FIG. 1( c), the diblock copolymer mask pattern is then transferred into layer 106 through a process such as plasma etching (e.g., using Ar, C4F8, CO, and O2, etc). Openings of randomly distributed size and shape are thus formed through layer 106 (e.g., capacitor dielectric material), stopping on etch stop layer 104. The mask material is then removed. Alternatively, it will be appreciated that in lieu of forming layer 106 and patterning the same using the diblock copolymer mask 108, the developed copolymer layer 108 itself could be used as a dielectric layer. In this case, layer 106 in FIG. 1( c) would actually represent the developed diblock copolymer, thereby simplifying the manufacturing process.
  • Regardless of the choice of material for layer 106, an upper conductive layer 110 is then formed over layer 106 and into the openings defined therein, as shown in FIG. 1( d). It will be noted that the etch stop layer 104 prevents shorting of the upper conductive layer 110 with the lower conductive layer 102. In the event that a developed diblock material is used as layer 106 itself (and not as a mask for etching of layer 106), then the etch stop layer 104 would more generally be referred to as a “short prevention” layer. As is the case with the lower conductive layer 102, the upper conductive layer 110 may be selected from materials such as Al, Ti, TiN, W, Ta, TaN, Ag, Ru, Rh, alloys and combinations thereof, as well as and multilayer stacks thereof. One exemplary material choice for the upper conductive layer is Al deposited by CVD.
  • Referring to FIG. 1( e), the structure is then further processed by masking with conventional photolithography. That is, a photoresist layer 112 is patterned in a manner that creates an array of cells of a defined shape (e.g., rectangular shapes). Then, in FIG. 1( f), the resist pattern is transferred into the upper conductive layer 110 so as to define an array of m×n cells 114 with randomly distributed values of capacitance (or resistance, depending on the selection of layer 106). In the case of a capacitive structure, each physically separated section of upper conductive layer 110 defines a top electrode, the randomly patterned portions of layer 106 beneath the top electrode (as well as the etch stop layer 104) defines a capacitor dielectric, and the lower conductive layer 102 defines a common bottom electrode for all cells 114. A plan view of the m×n array with individual unit cells 114 is illustrated in FIG. 2.
  • To take advantage of the randomized nanopore formation illustrated above in a practical fashion, an overall value of unit capacitance (or resistance) may be averaged to obtain a reference. With respect to the individual cells 114, the unit capacitance (or resistance) of any small portion of the array will be distributed in a range. For example, a 1000 μm×1000 μm area of thin film formed with the above described stochastic nanopore formation technique may have a capacitance value of about 20 fF/μm2. However, any given 10 μm×10 μm sub-block (cell) of the overall 1000 μm×1000 μm thin film may have a capacitance value range from about 15 fF/μm2 to about 25 fF/μm2. Conceptually, a capacitance distribution for individually defined cells with a size of 10 μm×10 μm is illustrated by the histogram shown in FIG. 3. As is shown, the individual capacitance values for the population of array cell may be expected to conform to a Gaussian distribution about the normal value of 20 fF/μm2.
  • Notwithstanding the use of a relatively high-K, uniform layer as an etch stop layer and a lower-K dielectric layer as a randomly patterned intermediate layer, the capacitance variations from cell to cell are still detectable. If the higher-K etch stop portion of the cell has a unit capacitance Ch, the intermediate dielectric layer can be formed in a manner such that its unit capacitance, Cl, is roughly ten times less than Ch. Thus, for a given array cell that has a patterning ratio of “r,” wherein r represents the ratio of removed dielectric area to total cell area, and further assuming that r will vary anywhere from about 0.1 to about 0.4 (i.e., about 10% to about 40% dielectric removal), the capacitance variation of each cell may be estimated through the following expression: (Cl*(1−r)+Ch*r)*A, wherein A is the unit area of the cell. For example, if Cl=100 fF/A and Ch=1000 fF/A, then the resulting capacitance variation range after patterning will be from about 190 fF to about 460 fF, which is easily detectable using CMOS amplifier circuitry.
  • Finally, FIGS. 4( a), 4(b), 5(a) and 5(b) illustrate the random nature of a formed diblock copolymer layer. In FIG. 4( a), the diblock copolymer is allowed to progress to a more ordered nanopattern, which is then transferred into a sample layer as shown in FIG. 4( a). However, in FIG. 5( a), the self assembly the diblock copolymer is not intended to result in a regular, replicable pattern. Thus, when transferred into the sample layer in FIG. 5( b), the resulting patterned structure is of a random nature.
  • Accordingly, through the formation of such an array structure, a practical means for generating a unique random code is provided. For example, a sense amplifier circuit may be configured to compare the capacitance (or resistance) per unit area of a reference array to that from each data “cell,” and thereby generate a binary “1” or “0” for that cell.
  • While the invention has been described with reference to a preferred embodiment or embodiments, it will be understood by those skilled in the art that various changes may be made and equivalents may be substituted for elements thereof without departing from the scope of the invention. In addition, many modifications may be made to adapt a particular situation or material to the teachings of the invention without departing from the essential scope thereof. Therefore, it is intended that the invention not be limited to the particular embodiment disclosed as the best mode contemplated for carrying out this invention, but that the invention will include all embodiments falling within the scope of the appended claims.

Claims (10)

1. A stochastically based integrated circuit encryption structure, comprising:
a substrate having an array of individual cells formed thereupon, each of the array cells including at least one layer therein formed in a manner so as to result in a nanopore structure of varying shape and size distribution among the cells;
wherein a measurable electrical parameter of the individual cells has a random distribution from cell to cell with respect to a reference value of the electrical parameter.
2. The structure of claim 1, wherein the array further comprises:
a lower conductive layer common to each of the array cells;
a short prevention layer, common to each of the array cells, the short prevention layer formed over the lower conductive layer;
an intermediate layer formed over the short prevention layer and patterned according to the nanopore structure of varying shape and size distribution, the intermediate layer comprising one of a dielectric layer and a resistive material layer; and
an upper conductive layer formed over the intermediate layer.
3. The structure of claim 1, wherein:
the intermediate layer comprises a dielectric layer;
the lower conductive layer comprises a lower capacitor electrode common to each of the individual cells of the array;
the patterned portions of the upper conductive layer comprise upper capacitor electrodes associated with the individual cells of the array;
the randomly patterned portions of the intermediate layer comprise a capacitor dielectric associated with the individual cells of the array; and
the short prevention layer comprises an etch stop layer.
4. The structure of claim 1, wherein the lower and upper conductive layers comprise one or more of: Ti, TiN, W, Ta, TaN, Ag, Ru, Rh, Si, alloys, combinations, and multilayer stacks thereof.
5. The structure of claim 1, wherein the lower conductive layer comprises a doped silicon substrate.
6. The structure of claim 3, wherein the intermediate layer comprises one of: SiO2, Si3N4, SiON, SiC, SiCN, SiCNH, SiCH, SiCOH, and fluorosilicate glass.
7. The structure of claim 6, wherein the etch stop layer comprises one of: aluminum oxide (Al2O3), Ta2O5, and a CVD deposited nitride.
8. The structure of claim 2, wherein the intermediate layer comprises a diblock copolymer layer.
9. The structure of claim 8, wherein the diblock copolymer layer comprises PMMA-PS (polymethylmethacrylate-polystyrene).
10. The structure of claim 9, wherein the etch stop layer comprises one of: aluminum oxide (Al2O3), Ta2O5, and a CVD deposited nitride.
US12/193,288 2007-01-03 2008-08-18 Structure for stochastic integrated circuit personalization Expired - Fee Related US7838873B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/193,288 US7838873B2 (en) 2007-01-03 2008-08-18 Structure for stochastic integrated circuit personalization

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/619,196 US7544578B2 (en) 2007-01-03 2007-01-03 Structure and method for stochastic integrated circuit personalization
US12/193,288 US7838873B2 (en) 2007-01-03 2008-08-18 Structure for stochastic integrated circuit personalization

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/619,196 Division US7544578B2 (en) 2007-01-03 2007-01-03 Structure and method for stochastic integrated circuit personalization

Publications (2)

Publication Number Publication Date
US20080308801A1 true US20080308801A1 (en) 2008-12-18
US7838873B2 US7838873B2 (en) 2010-11-23

Family

ID=39582702

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/619,196 Expired - Fee Related US7544578B2 (en) 2007-01-03 2007-01-03 Structure and method for stochastic integrated circuit personalization
US12/193,288 Expired - Fee Related US7838873B2 (en) 2007-01-03 2008-08-18 Structure for stochastic integrated circuit personalization

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/619,196 Expired - Fee Related US7544578B2 (en) 2007-01-03 2007-01-03 Structure and method for stochastic integrated circuit personalization

Country Status (1)

Country Link
US (2) US7544578B2 (en)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7045851B2 (en) * 2003-06-20 2006-05-16 International Business Machines Corporation Nonvolatile memory device using semiconductor nanocrystals and method of forming same
WO2008115848A1 (en) * 2007-03-19 2008-09-25 University Of Massachusetts Method of producing nanopatterned templates
EP2158476B8 (en) 2007-05-08 2019-10-09 Trustees of Boston University Chemical functionalization of solid-state nanopores and nanopore arrays and applications thereof
US8247033B2 (en) * 2008-09-19 2012-08-21 The University Of Massachusetts Self-assembly of block copolymers on topographically patterned polymeric substrates
US8211737B2 (en) 2008-09-19 2012-07-03 The University Of Massachusetts Method of producing nanopatterned articles, and articles produced thereby
US8518837B2 (en) 2008-09-25 2013-08-27 The University Of Massachusetts Method of producing nanopatterned articles using surface-reconstructed block copolymer films
AU2010301128B2 (en) 2009-09-30 2014-09-18 Quantapore, Inc. Ultrafast sequencing of biological polymers using a labeled nanopore
US9156682B2 (en) 2011-05-25 2015-10-13 The University Of Massachusetts Method of forming oriented block copolymer line patterns, block copolymer line patterns formed thereby, and their use to form patterned articles
EP2665094A1 (en) 2012-05-15 2013-11-20 Nxp B.V. Tamper resistant IC
US9651539B2 (en) 2012-10-28 2017-05-16 Quantapore, Inc. Reducing background fluorescence in MEMS materials by low energy ion beam treatment
AU2014268322B2 (en) 2013-05-24 2019-01-24 Quantapore, Inc. Nanopore-based nucleic acid analysis with mixed FRET detection
US9171810B2 (en) 2013-11-21 2015-10-27 Nxp B.V. Electronic device incorporating a randomized interconnection layer having a randomized conduction pattern
US9715965B2 (en) * 2014-09-17 2017-07-25 Arm Limited Electrical component with random electrical characteristic
ES2789000T3 (en) 2014-10-10 2020-10-23 Quantapore Inc Nanopore-based polynucleotide analysis with mutually inactivating fluorescent labels
WO2016065339A1 (en) 2014-10-24 2016-04-28 Quantapore, Inc. Efficient optical analysis of polymers using arrays of nanostructures
US9385129B2 (en) * 2014-11-13 2016-07-05 Tokyo Electron Limited Method of forming a memory capacitor structure using a self-assembly pattern
CN109477813A (en) 2016-07-05 2019-03-15 昆塔波尔公司 Based on optical nano-pore sequencing
US10964648B2 (en) 2017-04-24 2021-03-30 International Business Machines Corporation Chip security fingerprint
US11652606B2 (en) * 2018-09-25 2023-05-16 Intel Corporation Advanced encryption standard semiconductor devices fabricated on a stacked-substrate
US11380622B2 (en) * 2020-11-20 2022-07-05 Globalfoundries U.S. Inc. Method and related structure to authenticate integrated circuit with authentication film
US11761903B2 (en) 2020-11-23 2023-09-19 International Business Machines Corporation Wafer inspection and verification

Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4510673A (en) * 1983-06-23 1985-04-16 International Business Machines Corporation Laser written chip identification method
US4896034A (en) * 1987-10-09 1990-01-23 Fujitsu Limited Method of identifying a semiconductor wafer utilizing a light source and a detector
US5079725A (en) * 1989-11-17 1992-01-07 Ibm Corporation Chip identification method for use with scan design systems and scan testing techniques
US5350715A (en) * 1991-11-12 1994-09-27 Samsung Electronics Co., Ltd. Chip identification scheme
US5847650A (en) * 1996-10-04 1998-12-08 Knogo North America Inc. Theft resistant circuit assembly
US5881155A (en) * 1996-04-01 1999-03-09 Schlumberger Industries Security device for a semiconductor chip
US20020017708A1 (en) * 1999-03-24 2002-02-14 Fujitsu Limited Method for manufacturing multi-kind and small quantity semiconductor products in a mass-production line and system thereof
US20030005274A1 (en) * 2000-07-17 2003-01-02 Bresemann David P. Resistor identification configuration circuitry and associated method
US6707539B2 (en) * 1999-01-18 2004-03-16 Verification Technologies, Inc. Portable product authentication device
US20040265548A1 (en) * 2003-05-20 2004-12-30 Rong-Ming Ho Nanopatterned templates from oriented degradable diblock copolymer thin films
US20050040397A1 (en) * 2003-08-18 2005-02-24 Hui Frank Yauchee Method and apparatus using an on-chip ring oscillator for chip identification
US20050042780A1 (en) * 2002-12-04 2005-02-24 Akira Matsunami Integrated circuit identification
US20050225375A1 (en) * 2004-04-07 2005-10-13 International Business Machines Corporation Circuits associated with fusible elements for establishing and detecting of the states of those elements
US20050250053A1 (en) * 2004-05-06 2005-11-10 Marsh Eugene P Selective provision of a diblock copolymer material
US20050253137A1 (en) * 2003-11-20 2005-11-17 President And Fellows Of Harvard College Nanoscale arrays, robust nanostructures, and related devices
US20060134556A1 (en) * 2004-11-22 2006-06-22 Wisconsin Alumni Research Foundation Methods and compositions for forming aperiodic patterned copolymer films
US20080038923A1 (en) * 2004-01-30 2008-02-14 International Business Machines Corporation Device and methodology for reducing effective dielectric constant in semiconductor devices

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60109225A (en) 1983-11-18 1985-06-14 Hitachi Ltd Device for electron bean drawing
KR900008384B1 (en) 1986-05-20 1990-11-17 후지쓰 가부시끼가이샤 Method for identifying semiconductor wafer with bar code pattern and method for manufacturing seniconductor device
JP3986571B2 (en) 1994-12-09 2007-10-03 日本テキサス・インスツルメンツ株式会社 Yield prediction apparatus and method

Patent Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4510673A (en) * 1983-06-23 1985-04-16 International Business Machines Corporation Laser written chip identification method
US4896034A (en) * 1987-10-09 1990-01-23 Fujitsu Limited Method of identifying a semiconductor wafer utilizing a light source and a detector
US5079725A (en) * 1989-11-17 1992-01-07 Ibm Corporation Chip identification method for use with scan design systems and scan testing techniques
US5350715A (en) * 1991-11-12 1994-09-27 Samsung Electronics Co., Ltd. Chip identification scheme
US5881155A (en) * 1996-04-01 1999-03-09 Schlumberger Industries Security device for a semiconductor chip
US5847650A (en) * 1996-10-04 1998-12-08 Knogo North America Inc. Theft resistant circuit assembly
US6707539B2 (en) * 1999-01-18 2004-03-16 Verification Technologies, Inc. Portable product authentication device
US20020017708A1 (en) * 1999-03-24 2002-02-14 Fujitsu Limited Method for manufacturing multi-kind and small quantity semiconductor products in a mass-production line and system thereof
US20030005274A1 (en) * 2000-07-17 2003-01-02 Bresemann David P. Resistor identification configuration circuitry and associated method
US20050042780A1 (en) * 2002-12-04 2005-02-24 Akira Matsunami Integrated circuit identification
US20040265548A1 (en) * 2003-05-20 2004-12-30 Rong-Ming Ho Nanopatterned templates from oriented degradable diblock copolymer thin films
US20050040397A1 (en) * 2003-08-18 2005-02-24 Hui Frank Yauchee Method and apparatus using an on-chip ring oscillator for chip identification
US20050253137A1 (en) * 2003-11-20 2005-11-17 President And Fellows Of Harvard College Nanoscale arrays, robust nanostructures, and related devices
US20080038923A1 (en) * 2004-01-30 2008-02-14 International Business Machines Corporation Device and methodology for reducing effective dielectric constant in semiconductor devices
US20050225375A1 (en) * 2004-04-07 2005-10-13 International Business Machines Corporation Circuits associated with fusible elements for establishing and detecting of the states of those elements
US20050250053A1 (en) * 2004-05-06 2005-11-10 Marsh Eugene P Selective provision of a diblock copolymer material
US20060134556A1 (en) * 2004-11-22 2006-06-22 Wisconsin Alumni Research Foundation Methods and compositions for forming aperiodic patterned copolymer films

Also Published As

Publication number Publication date
US7838873B2 (en) 2010-11-23
US7544578B2 (en) 2009-06-09
US20080157314A1 (en) 2008-07-03

Similar Documents

Publication Publication Date Title
US7838873B2 (en) Structure for stochastic integrated circuit personalization
US8759976B2 (en) Structure with sub-lithographic random conductors as a physical unclonable function
US6815329B2 (en) Multilayer interconnect structure containing air gaps and method for making
US6780775B2 (en) Design of lithography alignment and overlay measurement marks on CMP finished damascene surface
US7977239B2 (en) Semiconductor device and method for fabricating the same
US10964648B2 (en) Chip security fingerprint
US20020096775A1 (en) A method of manufacturing a metal cap layer for preventing damascene conductive lines from oxidation
US20150056800A1 (en) Self-aligned interconnects formed using substractive techniques
JP2004516679A (en) Self-aligned double-sided vertical MIM capacitor
JP5334616B2 (en) Method for making an interconnect
US8927411B2 (en) System and method for forming an aluminum fuse for compatibility with copper BEOL interconnect scheme
US7592220B2 (en) Capacitance process using passivation film scheme
CN101378818B (en) Semiconductor device fabricated using sublimation
JPH11163154A (en) Method of forming terminal path in fuse structure and metal fuse structure
KR20010076367A (en) A capacitor for integration with copper damascene processes and a method of manufacture therefore
US10685784B2 (en) Back-end-of-the line capacitor
CN107871670A (en) Semiconductor devices and its manufacture method
TW488025B (en) Self-aligned dual damascene etch using a polymer
US20040157392A1 (en) Capacitor in an interconnect system and method of manufacturing thereof
US20090200674A1 (en) Structure and method of forming transitional contacts between wide and thin beol wirings
US11329001B2 (en) Embedded chip identification formed by directed self-assembly
US9054108B2 (en) Random local metal cap layer formation for improved integrated circuit reliability
US10833268B2 (en) Resistive memory crossbar array with a multilayer hardmask
US20230085995A1 (en) Semiconductor device identification using preformed resistive memory
TW594918B (en) A method for fabricating a wet barrier layer

Legal Events

Date Code Title Description
REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20141123