US20080264564A1 - Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas - Google Patents

Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas Download PDF

Info

Publication number
US20080264564A1
US20080264564A1 US11/796,210 US79621007A US2008264564A1 US 20080264564 A1 US20080264564 A1 US 20080264564A1 US 79621007 A US79621007 A US 79621007A US 2008264564 A1 US2008264564 A1 US 2008264564A1
Authority
US
United States
Prior art keywords
mole
ceramic
semiconductor processing
processing apparatus
oxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/796,210
Inventor
Jennifer Y. Sun
Ren-Guan Duan
Jie Yuan
Li Xu
Kenneth S. Collins
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US11/796,210 priority Critical patent/US20080264564A1/en
Priority to TW103132298A priority patent/TWI562205B/en
Priority to TW104122059A priority patent/TWI571452B/en
Priority to TW107103401A priority patent/TWI654158B/en
Priority to TW107103413A priority patent/TWI654159B/en
Priority to CN2007101227597A priority patent/CN101293771B/en
Priority to TW107103418A priority patent/TWI654160B/en
Priority to CN2012105926835A priority patent/CN103102157A/en
Priority to TW109115828A priority patent/TWI744898B/en
Priority to TW105136802A priority patent/TWI628154B/en
Priority to TW103132299A priority patent/TWI567793B/en
Priority to TW100117999A priority patent/TWI483291B/en
Priority to TW108104769A priority patent/TWI695822B/en
Priority to TW096124359A priority patent/TWI351057B/en
Priority to KR1020070073619A priority patent/KR100917292B1/en
Priority to US11/890,221 priority patent/US20080213496A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SUN, JENNIFER Y., COLLINS, KENNETH S., DUAN, REN-GUAN, XU, LI, YUAN, JIE
Priority to JP2008099381A priority patent/JP4975676B2/en
Priority to EP08154940A priority patent/EP1988187A3/en
Priority to SG10201803162TA priority patent/SG10201803162TA/en
Priority to SG10201508616SA priority patent/SG10201508616SA/en
Priority to SG200803151-0A priority patent/SG142320A1/en
Publication of US20080264564A1 publication Critical patent/US20080264564A1/en
Priority to KR1020090016418A priority patent/KR101365139B1/en
Priority to US12/660,068 priority patent/US8034734B2/en
Priority to US13/199,521 priority patent/US8623527B2/en
Priority to JP2012001609A priority patent/JP5805545B2/en
Priority to KR1020120149865A priority patent/KR101428646B1/en
Priority to US13/986,040 priority patent/US9051219B2/en
Priority to KR1020130101297A priority patent/KR101441865B1/en
Priority to US13/998,723 priority patent/US10242888B2/en
Priority to KR20140008866A priority patent/KR101491568B1/en
Priority to KR1020140086042A priority patent/KR101456539B1/en
Priority to JP2015108229A priority patent/JP6314110B2/en
Priority to US14/756,839 priority patent/US10622194B2/en
Priority to JP2016006345A priority patent/JP6259844B2/en
Priority to JP2016239304A priority patent/JP2017095350A/en
Priority to JP2018217295A priority patent/JP2019069891A/en
Priority to JP2018218140A priority patent/JP2019069892A/en
Priority to US16/252,348 priority patent/US10840112B2/en
Priority to US16/252,372 priority patent/US10847386B2/en
Priority to US16/252,381 priority patent/US10840113B2/en
Priority to US17/006,361 priority patent/US11373882B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/50Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on rare-earth compounds
    • C04B35/505Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on rare-earth compounds based on yttrium oxide
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/01Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
    • C04B35/48Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics based on zirconium or hafnium oxides, zirconates, zircon or hafnates
    • C04B35/486Fine ceramics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32504Means for preventing sputtering of the vessel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B18/00Layered products essentially comprising ceramics, e.g. refractory products
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3217Aluminum oxide or oxide forming salts thereof, e.g. bauxite, alpha-alumina
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3217Aluminum oxide or oxide forming salts thereof, e.g. bauxite, alpha-alumina
    • C04B2235/3222Aluminates other than alumino-silicates, e.g. spinel (MgAl2O4)
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3224Rare earth oxide or oxide forming salts thereof, e.g. scandium oxide
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3224Rare earth oxide or oxide forming salts thereof, e.g. scandium oxide
    • C04B2235/3229Cerium oxides or oxide-forming salts thereof
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3231Refractory metal oxides, their mixed metal oxides, or oxide-forming salts thereof
    • C04B2235/3244Zirconium oxides, zirconates, hafnium oxides, hafnates, or oxide-forming salts thereof
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/65Aspects relating to heat treatments of ceramic bodies such as green ceramics or pre-sintered ceramics, e.g. burning, sintering or melting processes
    • C04B2235/658Atmosphere during thermal treatment
    • C04B2235/6582Hydrogen containing atmosphere
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/65Aspects relating to heat treatments of ceramic bodies such as green ceramics or pre-sintered ceramics, e.g. burning, sintering or melting processes
    • C04B2235/66Specific sintering techniques, e.g. centrifugal sintering
    • C04B2235/668Pressureless sintering
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/70Aspects relating to sintered or melt-casted ceramic products
    • C04B2235/74Physical characteristics
    • C04B2235/76Crystal structural characteristics, e.g. symmetry
    • C04B2235/762Cubic symmetry, e.g. beta-SiC
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/70Aspects relating to sintered or melt-casted ceramic products
    • C04B2235/80Phases present in the sintered or melt-cast ceramic products other than the main phase
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/70Aspects relating to sintered or melt-casted ceramic products
    • C04B2235/96Properties of ceramic products, e.g. mechanical properties such as strength, toughness, wear resistance
    • C04B2235/9669Resistance against chemicals, e.g. against molten glass or molten salts
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31678Of metal

Definitions

  • the present application is related to a series of applications filed by various inventors of the present application, many of the applications relate to the use of a yttrium-oxide comprising ceramic in the form of a coating, to provide a plasma-resistant surface which is useful in semiconductor processing applications.
  • the applications include U.S. application Ser. No. 10/075,967 of Sun et al., filed Feb. 14, 2002, titled: “Yttrium Oxide Based Surface Coating For Semiconductor IC Processing Vacuum Chambers”, which issued as U.S. Pat. No. 6,776,873 on Aug. 17, 2004; U.S. application Ser. No. 10/898,113 of Sun et al., filed Jul.
  • the present invention relates to a specialized yttrium oxide comprising solid solution ceramic which is highly resistant to plasmas in general, particularly resistant to corrosive plasmas of the kind used in the etching of semiconductor substrates.
  • Corrosion (including erosion) resistance is a critical property for apparatus components and liners used in semiconductor processing chambers, where corrosive environments are present.
  • Example of corrosive plasma environments include plasmas used for cleaning of processing apparatus and plasmas used to etch semiconductor substrates. Plasmas used for plasma-enhanced chemical vapor deposition processes often tend to be corrosive as well. This is especially true where high-energy plasma is present and combined with chemical reactivity to act upon the surface of components present in the environment. The reduced chemical reactivity of an apparatus component surface or of a liner surface is also an important property when corrosive gases alone are in contact with processing apparatus surfaces.
  • Process chambers and component apparatus present within processing chambers which are used in the fabrication of electronic devices and micro-electro-mechanical structures (MEMS) are frequently constructed from aluminum and aluminum alloys. Surfaces of a process chamber and component apparatus present within the chamber are frequently anodized to provide a degree of protection from the corrosive environment. However, the integrity of the anodization layer may be deteriorated by impurities in the aluminum or aluminum alloy, so that corrosion begins to occur early, shortening the life span of the protective coating. Ceramic coatings of various compositions have been used in place of the aluminum oxide layer mentioned above, and have been used over the surface of the anodized layer to improve the protection of the underlying aluminum-based materials. However, current materials used for protective layers deteriorate over time and eventually leave the aluminum alloy subject to attack by the plasma, even though the life span of the protective layer is extended over that of anodized aluminum.
  • Yttrium oxide is a ceramic material which has shown considerable promise in the protection of aluminum and aluminum alloy surfaces which are exposed to fluorine-containing plasmas of the kind used in the fabrication of semiconductor devices.
  • a yttrium oxide coating has been used and applied over an anodized surface of a high purity aluminum alloy process chamber surface, or a process component surface, to produce excellent corrosion protection (e.g. U.S. Pat. No. 6,777,873 to Sun et al., mentioned above).
  • the '873 Patent provides a processing chamber component resistant to a plasma including fluorine and oxygen species.
  • the processing chamber component typically comprises: a high purity aluminum substrate, where particulates formed from mobile impurities present in the aluminum are carefully controlled to have a particular size distribution; an anodized coating on a surface of the high purity aluminum substrate; and, a protective coating comprising yttrium oxide overlying the anodized coating.
  • the protective coating may include aluminum oxide up to about 10% by weight, and typically comprises 99.95% by weight or greater yttrium oxide.
  • the protective coating is coating typically applied using a method such as spray coating, chemical vapor deposition, or physical vapor deposition.
  • the Oehrlein et al. reference further discloses that since aluminum is reactive with a number of plasmas, it is recommended that “aluminum oxide or a coating thereof be disposed on the liner or chamber walls”, because aluminum oxide tends to be chemically inert.
  • a protective coating may be applied over the surfaces of the liner and/or chamber walls. Examples which are given include Al 2 O 3 , Sc 2 O 3 , or Y 2 O 3 .
  • U.S. Patent Application Publication No. US 2001/0003271A1 discloses a film of Al 2 O 3 , or Al 2 O 3 and Y 2 O 3 , formed on an inner wall surface of the chamber and on those exposed surfaces of the members within the chamber which require a high corrosion resistance and insulating property.
  • a base material of the chamber may be a ceramic material (Al 2 O 3 , SiO 2 , AlN, etc.), aluminum, or stainless steel, or other metal or metal alloy, which has a sprayed film over the base material.
  • the film may be made of a compound of a III-B element of the periodic table, such as Y 2 O 3
  • the film may substantially comprise Al 2 O 3 and Y 2 O 3 .
  • a sprayed film of yttrium-aluminum-garnet (YAG) is also mentioned.
  • the sprayed film thickness is said to range from 50 ⁇ m to 300 ⁇ m.
  • a ceramic composition of matter comprising a ceramic compound (e.g. Al 2 O 3 ) and an oxide of a Group IIIB metal (e.g. Y 2 O 3 ) has been used for a dielectric window of a reactor chamber where substrates are processed in a plasma of a processing gas (e.g. U.S. Pat. No. 6,352,611, to Han et al., issued Mar. 5, 2002).
  • the ceramic compound may be selected from silicon carbide, silicon nitride, boron carbide, boron nitride, aluminum nitride, aluminum oxide, and mixtures thereof; however, aluminum oxide is said to be available in a pure form which does not outgas.
  • the Group IIIB metal may be selected from the group consisting of scandium, yttrium, the cerium subgroup, and the yttrium subgroup; however, yttrium is preferred, with the oxide being yttrium oxide.
  • the preferred process for forming or producing the dielectric member is by thermal processing of a powdered raw mixture comprising the ceramic compound, the oxide of a Group IIIB metal, a suitable additive agent, and a suitable binder agent.
  • a protective coating for a semiconductor processing apparatus component comprises aluminum or an aluminum alloy, where the coating includes a material selected from, for example, but not limited to: yttrium-aluminum-garnet (YAG); an oxide of an element selected from the group consisting of Y, Sc, La, Ce, Eu, and Dy; a fluoride of an element selected from the group consisting of Y, Sc, La, Ce, Eu, and Dy; and combinations thereof is used (e.g. U.S. patent application Ser. No. 10/898,113 of Sun et al., filed Jul. 22, 2004, and entitled “Clean, Dense Yttrium Oxide Coating Protecting Semiconductor Apparatus”, mentioned above).
  • the coating is applied to a substrate surface by thermal/flame spraying, plasma spraying, sputtering, or chemical vapor deposition (CVD).
  • the coating is placed in compression by applying the coating at a substrate surface temperature of at least about 150-200° C.
  • the kinds of protective coatings described above have been used to protect exposed surfaces of a plasma source gas distribution plate of the kind used in semiconductor and MEMS processing apparatus.
  • the lifetime of the gas distribution plate has typically been limited, from about 8 processing days to about 80 processing days, depending on the corrosivity of the plasma created in the processing chamber.
  • a gas distribution plate was fabricated from a solid yttrium oxide-comprising substrate, as described in U.S. application Ser. No. 10/918,232 of Sun et al., mentioned above.
  • the solid yttrium oxide-comprising substrate contains up to about 10% aluminum oxide in some instances.
  • the solid yttrium oxide-comprising substrate typically comprises about 99.99% yttrium oxide.
  • Semiconductor processing conditions expose semiconductor processing apparatus, such as the interior of processing chambers and the surfaces of components within the processing chambers, to a variety of chemical reagents and plasma ions which attack processing apparatus surfaces.
  • the effect of the attack on an apparatus surface is frequently referred to as erosion of the apparatus surface. It is possible to reduce the erosion rate by selecting a particular material composition for the apparatus surfaces.
  • a protective material may be applied as a coating over the apparatus surface; however, this may not be the best solution to avoiding erosion.
  • the coating is constantly getting thinner (eroding) during a plasma etch, and there is an increased risk that the substrate beneath the coating will be attacked by the plasma penetrating the coating layer.
  • the coating layer may flake off during plasma processing due to residual stress.
  • Embodiments of the present invention pertain to reducing the erosion rate of a ceramic material, typically comprising a Group IIIA, IIIB, IVB, or a Group IVB element, or combinations thereof, while maintaining acceptable mechanical properties or improving mechanical properties of the component parts made of the ceramic material.
  • sintered ceramics are formed which contain a single solid solution phase or which are multi-phase, such as two phase and three phase.
  • the multi-phase ceramics typically contain a yttrium aluminate phase and one or two solid solution phases formed from yttrium oxide, zirconium oxide and/or rare earth oxides.
  • the sintered ceramic has been evaluated under various plasma processing conditions to determine erosion resistance. The materials which were erosion tested were also tested for mechanical properties.
  • ceramic materials formed from starting compositions in which the Y 2 O 3 , yttrium oxide, molar concentration ranges from about 50 mole % to about 75 mole %; the ZrO 2 , zirconium oxide, molar concentration ranges from about 10 mole % to about 30 mole %; and, the Al 2 O 3 , aluminum oxide, molar concentration ranges from about 10 mole % to about 30 mole % provide excellent erosion resistance to halogen-containing plasmas while providing advanced mechanical properties which enable handling of solid ceramic processing components with less concern about damage to a component.
  • a starting composition for the ceramic materials may be one that comprises Y 2 O 3 Molar concentration ranges from about 55 mole % to about 65 mole %, ZrO 2 molar concentration ranges from about 15 mole % to about 25 mole %, and Al 2 O 3 molar concentration ranges from about 10 mole % to about 25 mole %.
  • the starting material concentration of the ceramic material may be one that comprises Y 2 O 3 molar concentration ranges from about 55 mole % to about 65 mole %, the ZrO 2 Molar concentration ranges from about 20 mole % to about 25 mole % and the Al 2 O 3 Molar concentration 10 mole % to about 15 mole %.
  • these starting material formrulations are compacted into a pelletized form and are sintered using a method selected from pressureless sintering, hot-press sintering (HP), or hot isostatic press sintering (HIP). These sintering techniques are well known in the art.
  • the starting material compositions listed above may be used to form a ceramic coating over the surface of a variety of metal and ceramic substrates, including, but not limited to, aluminum, aluminum alloy, stainless steel, alumina, aluminum nitride and quartz, using a technique well known in the art, such as plasma spraying, for example and not by way of limitation.
  • the aluminum alloy used is a high purity aluminum alloy of the kind described in U.S. Pat. No. 6,766,873 to Sun et al., mentioned above.
  • solid ceramic apparatus components be used when possible, to avoid the eventual failure of the apparatus to function properly due to interfacial problems between the coating and the underlying substrate, or to prevent a sudden failure of plasma resistance due to the coating layer flaking off, or to prevent plasma penetration of the coating layer through defects which may be exposed from within the coating layer as the coating layer becomes thinner due to erosion.
  • the cell parameter of the solid solution is smaller than that of the pure cubic yttrium oxide crystalline structure, due to the formation of yttrium vacancy.
  • the cell parameter of the solid solution is smaller than that of the pure cubic fluorite-type structure, due to the formation of oxygen vacancy.
  • the smaller cell parameter improves the plasma resistance properties of the solid solution of zirconium oxide in yttrium oxide.
  • the erosion rate of a pure solid yttrium oxide ceramic in a CF 4 /CHF 3 plasma is about 0.3 ⁇ m/hr.
  • the erosion rate (the rate at which a surface is removed in ⁇ m (of thickness)/hr) of a solid ceramic of about 69 mole % yttrium oxide and about 31 mole % zirconium oxide is about 0.1 ⁇ m/hr, a 3 times slower erosion rate than pure solid yttrium oxide.
  • the mechanical properties of the zirconium oxide-containing yttrium oxide solid solution illustrate that an improvement in flexural strength and fracture toughness would be helpful.
  • the flexural strength and fracture toughness of the zirconium oxide-containing yttrium oxide solid solution are achieved, by adding various amounts of aluminum oxide to the formula for the solid solution ceramic to form an additional yttrium aluminate phase.
  • the mixture of oxides was pelletized by unidirectional mechanical pressing or cold isoustatic pressing of a granular powder formed by spray drying, in combination with a typical content of binders.
  • the green body was then pressureless sintered using techniques generally known in the art.
  • the addition of 10 mole % to 30 mole % of alumina significantly improved the mechanical properties of the sintered ceramic composition in terms of flexural strength and fracture toughness, as discussed subsequently herein.
  • the erosion rate of the ceramic containing 69 mole % yttrium oxide and 31 mole % zirconium oxide, after exposure to a plasma containing CF 4 and CHF 3 was about 0.1 ⁇ m/hr.
  • the erosion rate after exposure to the same plasma was also about 0.1 ⁇ m/hr.
  • the erosion rate after exposure to the same plasma was about 0.22 ⁇ m/hr.
  • the relationship between aluminum oxide content, increase in flexural strength, and increase in erosion rate is not a linear relationship. However, one of skill in the art can optimize the formula with minimal experimentation, in view of the information provided herein.
  • the concentration of the oxide in the starting material formulation ranges from about 0.1 mole % to about 90 mole %, and typically ranges from about 10 mole % to about 30 mole %.
  • the combination of powders was compacted by unidirectionally mechanical pressing or cold isostatic pressing of the granular powder formed by spray drying with a typical content of binders.
  • the green body was then pressureless sintered using techniques known in the art. Upon cooling of the sintered body, a single phase or two phase solid solution forms, where the solid solution is a “multi-element-doped” solid solution.
  • One solid solution exhibits a cubic yttria crystal structure, and another solid solution exhibits the cubic fluorite-type crystal structure.
  • the solid solution has excellent plasma resistance, typically better erosion resistance than that of the aluminum oxide-comprising solid solutions discussed herein.
  • the mechanical properties of the yttria-zirconia-alumina system are somewhat better. All of these multi-doped solid solutions exhibit excellent plasma erosion resistance and improved mechanical properties in comparison with previously known yttrium oxide-zirconium oxide solid solutions.
  • FIG. 1A shows a photomicrograph of the as-sintered surface of a solid yttrium oxide ceramic at a magnification of 1,000 times.
  • FIG. 1B shows a photomicrograph of the as-sintered surface of a solid solution ceramic substrate formed from 63 mole % yttrium oxide, 23 mole % zirconium oxide, and 14 mole % aluminum oxide, at a magnification of 1,000 times.
  • FIG. 1C shows a photomicrograph of the as-sintered surface of a solid solution ceramic substrate formed from 55 mole % yttrium oxide, 20 mole % zirconium oxide, and 25 mole % aluminum oxide, at a magnification of 1,000 times.
  • FIG. 2A shows a photomicrograph of the surface of a solid yttrium oxide ceramic after a test etch using the processing plasmas and times typically used to etch the various layers of a contact via feature in a semiconductor device.
  • the magnification is 1,000 times.
  • FIG. 2B shows a photomicrograph of the surface of a solid solution ceramic formed from 63 mole % yttrium oxide, 23 mole % zirconium oxide, and 14 mole % aluminum oxide after a test etch using the processing plasmas and times typically used to etch the various layers of a contact via feature in a semiconductor device.
  • the magnification is 1,000 times.
  • FIG. 2C shows a photomicrograph of the surface of a solid solution ceramic formed from 55 mole % yttrium oxide, 20 mole % zirconium oxide, and 25 mole % aluminum oxide after a test etch using the processing plasmas and times typically used to etch the various layers of a contact via feature in a semiconductor device.
  • the magnification is 1,000 times.
  • FIG. 3A shows a photomicrograph of the post-etch ceramic of FIG. 2A , but at a magnification of 5,000 times.
  • FIG. 3B shows a photomicrograph of the post-etch ceramic of FIG. 2B , but at a magnification of 5,000 times.
  • FIG. 3C shows a photomicrograph of the post-etch ceramic of FIG. 2C , but at a magnification of 5,000 times.
  • FIG. 4A shows a photomicrograph of the as-sintered surface of a solid solution ceramic formed from 63 mole % yttrium oxide, 23 mole % zirconium oxide, and 14 mole % aluminum oxide, at a magnification of 2,000 times.
  • FIG. 4B shows a photomicrograph of the surface of the solid solution ceramic shown in FIG. 4A , after exposure of the test coupon to a trench etch process of the kind described herein.
  • the magnification is 2,000 times.
  • FIG. 4C shows a photomicrograph of the as sintered surface of a solid solution ceramic formed from 55 mole % yttrium oxide, 20 mole % zirconium oxide, and 25 mole % aluminum oxide, at a magnification of 2,000 times.
  • FIG. 4D shows a photomicrograph of the surface of the solid solution ceramic shown in FIG. 4C , after exposure of the test coupon to a trench etch process of the kind described herein.
  • the magnification is 2,000 times.
  • FIG. 5A shows a photomicrograph of a solid solution ceramic formed from 63 mole % yttrium oxide, 23 mole % zirconium oxide, and 14 mole % aluminum oxide after exposure of the test coupon to a metal etch process of the kind described herein.
  • the magnification is 5,000 times.
  • FIG. 5B shows a photomicrograph of a solid solution ceramic formed from 55 mole % yttrium oxide, 20 mole % zirconium oxide, and 25 mole % aluminum oxide after exposure of the test coupon to an etch by a CF 4 /CHF 3 plasma. The magnification of 5,000 times.
  • Bulk yttrium oxide has been shown to have very good corrosion resistance upon exposure to fluorine plasma and other corrosive plasmas which are typically used in semiconductor manufacturing processes (such as etch processes and chemical vapor deposition processes).
  • pure crystalline yttrium oxide while offering very good corrosion resistance to various etchant plasmas, does not offer good mechanical properties in terms of flexural strength and fracture toughness, for example.
  • Tables One—Three, below, provides the etch plasma compositions and etch plasma processing conditions which were used for evaluation of a series of test coupon materials.
  • etch plasma conditions which were used for the erosion rate testing: 1) Trench etching, where the etch plasma source gas and etch process conditions were representative of etching a trench feature size beyond 65 nm technology, i.e. smaller than 65 nm, into a multilayered semiconductor substrate.
  • Such a substrate typically includes an antireflective coating (ARC or BARC) layer, an organic or inorganic dielectric layer, a metal layer, and an etch stop layer.
  • ARC or BARC antireflective coating
  • etching where the etch plasma source gas and etch process conditions were representative of etching a contact via having an aspect ratio of about 30 in production and 40 plus in the developed device substrate, and having a diameter of beyond 65 nm technology into a multilayered semiconductor substrate including a buried ARC (BARC) layer, a dielectric layer and a stop layer; and 3) Metal etching, here the etch plasma source gas and etch process conditions were representative of etching an overlying titanium nitride hard mask and an aluminum layer, where the etch plasma source gas and etch process conditions are beyond 65 nm technology.
  • BARC buried ARC
  • the trench etching process and the contact via etching process were carried out in the ENABLERTM processing system, and the metal etching process was carried out in the DPSTM processing system, all available from Applied Materials, Inc. of Santa Clara, Calif.
  • Aluminum oxide has frequently been used as a protective layer or liner when a semiconductor process makes use of an etchant plasma.
  • aluminum oxide as the base comparative material, we determined the relative etch rates, in a Trench Etch (CF 4 /CHF 3 ) environment. With aluminum oxide having a relative erosion rate of 1, we found that the relative erosion rate of quartz was about 2.2 times that of aluminum oxide.
  • the relative erosion rate of silicon carbide was about 1.6 times that of aluminum oxide.
  • the relative erosion rate of zirconia was about 0.8 times that of aluminum oxide.
  • the relative erosion rate of pure yttrium oxide was about 0.19 times that of aluminum oxide.
  • the relative erosion rate of a yttrium oxide, zirconium oxide, aluminum oxide ceramic composite, formed from 55 mole % yttrium oxide, 20 mole % zirconium oxide, and 25 mole % aluminum oxide was about 0.2 times that of aluminum oxide.
  • the relative erosion rate of a yttrium oxide, zirconium oxide, aluminum oxide ceramic composite, formed from 63 mole % yttrium oxide, 23 mole % zirconium oxide, and 14 mole % aluminum oxide was about 0.05 times that of aluminum oxide.
  • the sample substrate test coupon erosion rates measured were as follows.
  • the erosion rate of aluminum oxide was 1.1 ⁇ m/hr.
  • the erosion rate of bulk yttrium oxide was 0.3 ⁇ m/hr.
  • the erosion rate of a the a yttrium oxide, zirconium oxide, aluminum oxide ceramic composite, formed from 55 mole % yttrium oxide, 20 mole % zirconium oxide, and 25 mole % aluminum oxide was 0.1 ⁇ m/hr.
  • the erosion rate of a yttrium oxide, zirconium oxide, aluminum oxide ceramic composite, formed from 63 mole % yttrium oxide, 23 mole % zirconium oxide, and 14 mole % aluminum oxide was 0.07 ⁇ m/hr.
  • the sample substrate test coupon erosion rates measured were as follows.
  • the erosion rate of aluminum oxide was not measured.
  • the erosion rate of bulk yttrium oxide was 0.16 ⁇ m/hr.
  • the erosion rate of a the a yttrium oxide, zirconium oxide, aluminum oxide solid solution, formed from 55 mole % yttrium oxide, 20 mole % zirconium oxide, and 25 mole % aluminum oxide was 0.21 ⁇ m/hr.
  • the erosion rate of a yttrium oxide, zirconium oxide, aluminum oxide solid solution, formed from 63 mole % yttrium oxide, 23 mole % zirconium oxide, and 14 mole % aluminum oxide was 0.22 ⁇ m/hr.
  • the sample substrate test coupon erosion rates measured were as follows.
  • the erosion rate of aluminum oxide was 4.10 ⁇ m/hr.
  • the erosion rate for bulk yttrium oxide was 0.14 ⁇ m/hr.
  • the erosion rate of a the a yttrium oxide, zirconium oxide, aluminum oxide ceramic composite, formed from 55 mole % yttrium oxide, 20 mole % zirconium oxide, and 25 mole % aluminum oxide was 0.10 ⁇ m/hr.
  • the erosion rate of a yttrium oxide, zirconium oxide, aluminum oxide ceramic composite, formed from 63 mole % yttrium oxide, 23 mole % zirconium oxide, and 14 mole % aluminum oxide was 0.18 ⁇ m/hr.
  • FIGS. 1A through 1C show photomicrographs of the surface of a sintered yttrium-oxide-containing ceramic composite prior to exposure to the via etch process described herein.
  • the yttrium-oxide-containing ceramic composites include: 1) yttrium oxide-zirconium oxide solid solution; and 2) yttrium aluminate, when the composition was yttrium oxide 100 parts by weight, zirconium oxide 20 parts by weight, and aluminum oxide 10 parts by weight.
  • composition is the same as 63 mole % yttrium oxide, 23 mole % zirconium oxide, and 14 mole % aluminum oxide); and 3) yttrium oxide—zirconium oxide—aluminum oxide solid solution, when the composition from which the solid solution was formed was yttrium oxide 100 parts by weight, zirconium oxide 20 parts by weight, and aluminum oxide 20 parts by weight.
  • This composition is the same as 55 mole % yttrium oxide, 20 mole % zirconium oxide, and 25 mole % aluminum oxide). All of the photomicrographs are at a magnification of 1,000 times.
  • FIGS. 2A through 2C show photomicrographs of the sintered yttrium-oxide-containing ceramic composite subsequent to exposure to the via etch process described herein.
  • the yttrium-oxide-containing ceramic composites include: 1) yttrium oxide-zirconium oxide solid solution; and 2) yttrium aluminate, when the composition was yttrium oxide 100 parts by weight, zirconium oxide 20 parts by weight, and aluminum oxide 10 parts by weight (This composition is the same as 63 mole % yttrium oxide, 23 mole % zirconium oxide, and 14 mole % aluminum oxide); or when the composition was yttrium oxide 100 parts by weight, zirconium oxide 20 parts by weight, and aluminum oxide 20 parts by weight (This composition is the same as 55 mole % yttrium oxide, 20 mole % zirconium oxide, and 25 mole % aluminum oxide). All of the photomicrographs are at a magnification of 1,000 times.
  • the surface roughness of the bulk yttrium oxide shown in FIG. 2A has increased in roughness substantially. However, the overall surface roughness appears to be less than that of the zirconium oxide and aluminum oxide containing sample coupons.
  • the surface roughness of the solid solution shown in FIG. 2B which contains 10 parts by weight aluminum oxide appears to have hills and valleys which are flatter than the hills and valleys of the solid solution shown in FIG. 2C , which contains the 20 parts by weight of aluminum oxide.
  • the hills and valleys on the 10 parts by weight aluminum oxide sample coupon shown in FIG. 2B have more pitting on the surface than in the 20 parts by weight sample coupon shown in FIG. 2C .
  • FIGS. 3A through 3C show photomicrographs which correspond with FIGS. 2A through 2C , respectively, but are at a magnification of 5,000 times. Looking at the surface of the bulk yttrium oxide sample coupon shown in FIG. 3A , the surface is relatively smooth but does show some evidence of small pits.
  • the FIG. 3B solid solution formed from yttrium oxide 100 parts by weight, zirconium oxide 20 parts by weight, and aluminum oxide 10 parts by weight also shows some small scale pitting present on the rougher surface shown in FIG. 2B .
  • the FIG. 3C solid solution formed from yttrium oxide 100 parts by weight, zirconium oxide 20 parts by weight, and aluminum oxide 20 parts by weigh shows negligible small scale pitting.
  • the erosion rates were 0.16 ⁇ m/hr for the solid yttrium oxide shown in FIG. 2A ; 0.22 ⁇ m/hr for the solid solution of yttrium oxide—zirconium oxide—aluminum oxide which contained 10 parts by weight aluminum oxide; and 0.21 ⁇ m/hr for the solid solution of yttrium oxide—zirconium oxide—aluminum oxide which contained 20 parts by weight aluminum oxide.
  • FIG. 4A shows a photomicrograph of the as-sintered surface of a solid solution ceramic composite containing 100 parts by weight yttrium oxide, 20 parts by weight aluminum oxide, and 10 parts by weight aluminum oxide (63 mole % yttrium oxide, 23 mole % zirconium oxide, and 14 mole % aluminum oxide), at a magnification of 2,000 times.
  • FIG. 4B shows a photomicrograph of the surface of the solid ceramic composite of FIG. 4A after etching by a trench etch process of the kind shown herein. Both photomicrographs are at a magnification of 2,000.
  • the post-etched surface appears to be flat and relatively homogeneous.
  • FIG. 4C shows a photomicrograph of the as-sintered surface of a solid solution ceramic composite containing 100 parts by weight yttrium oxide, 20 parts by weight aluminum oxide, and 20 parts by weight aluminum oxide (55 mole % yttrium oxide, 20 mole % zirconium oxide, and 25 mole % aluminum oxide).
  • FIG. 4D shows a photomicrograph of the surface of the solid solution ceramic composite of FIG. 4C after etching by a trench etch process of the kind shown herein. Both photomicrographs are at a magnification of 2,000. The post-etched surface appears to be flat and relatively homogeneous. This combination of photographs suggests the same seasoning process described above for newly fabricated apparatus.
  • the erosion rate of the solid solution ceramic composite containing the 20 parts by weight of aluminum oxide, after exposure to the trench etch process was about 0.07 ⁇ m/hr.
  • FIG. 5A shows a photomicrograph of a two phase solid solution ceramic composite formed from 100 parts by weight of yttrium oxide, 20 parts by weight of zirconium oxide and 10 parts by weight of aluminum oxide (63 mole % yttrium oxide, 23 mole % zirconium oxide, and 14 mole % aluminum oxide) after exposure of the test coupon to a metal etch process of the kind described herein.
  • the magnification is 5,000 times.
  • 5B shows a photomicrograph of a two phase solid solution ceramic composite formed from 100 parts by weight of yttrium oxide, 20 parts by weight of zirconium oxide, and 10 parts by weight of aluminum oxide (55 mole % yttrium oxide, 20 mole % zirconium oxide, and 25 mole % aluminum oxide) after exposure of the test coupon to a metal etch process of the kind described herein.
  • the magnification is 5,000 times.
  • a comparison of these two photomicrographs shows that the two phase solid solution containing the higher content of aluminum oxide has an increased amount of the darker phase, which is yttrium aluminate.
  • the erosion rate of the two phase solid solution ceramic composites containing the 10 parts by weight of aluminum oxide, after exposure to the trench etch process, was about 0.18 ⁇ m/hr, while the erosion rate of the two phase solid solution ceramic composite containing the 20 parts by weight of aluminum oxide, after exposure to the trench process was about 0.10 ⁇ m/hr.
  • Table Four below shows comparative physical and mechanical properties for the bulk, pure yttrium oxide ceramic and for various yttrium-oxide containing solid solution ceramics.
  • a starting composition for the ceramic materials may be one in which Y 2 O 3 molar concentration ranges from about 55 mole % to about 65 mole %, the ZrO 2 molar concentration ranges from about 10 mole % to about 25 mole % and the Al 2 O 3 molar concentration ranges from about 10 mole % to about 20 mole %.
  • starting material concentration of the ceramic material may be one in which Y 2 O 3 molar concentration ranges from about 55 mole % to about 65 mole %, the ZrO 2 molar concentration ranges from about 20 mole % to about 25 mole % and the Al 2 O 3 molar concentration 5 mole % to about 10 mole %.
  • Starting material compositions of the kind described above may be used to form a ceramic coating over the surface of a variety of metal or ceramic substrates, including but not limited to aluminum, aluminum alloy, stainless steel, alumina, aluminum nitride, and quartz, using a technique well known in the art, such as plasma spray, for example and not by way of limitation.
  • plasma spray a technique well known in the art, such as plasma spray, for example and not by way of limitation.
  • solid ceramic apparatus components be used when possible, to prevent sudden failure of plasma resistance due to coating layer flaking off, or defects in the coating which appear as the coating thins, or the formation of metal contamination by mobile impurities from the underlying substrate which migrate into the coating.
  • the addition of a concentration of zirconium oxide ranging from about 0.1 mole % to about 65 mole % to what was a pure yttrium oxide, provides a solid solution of yttrium oxide and zirconium oxide with the cubic yttria crystal structure or cubic fluorite-type crystal structure, where the cell parameter is smaller than that of the pure structure, due to the formation of yttrium vacancy/oxygen vacancy, respectively.
  • the smaller cell parameter of the solid solution crystal structure improves the plasma resistance properties of the solid solution of zirconium oxide in yttrium oxide.
  • the erosion rate of a solid yttrium oxide ceramic in a CF 4 /CHF 3 plasma of the kind used to etch a trench in a multilayered semiconductor substrate is about 0.3 ⁇ m/hr.
  • the erosion rate of a solid solution ceramic of about 69 mole % yttrium oxide and about 31 mole % zirconium oxide is about 0.1 ⁇ m/hr, a 3 times slower etch rate than solid yttrium oxide.
  • This unexpected decrease in etch rate extends the lifetime of a process chamber liner or an internal apparatus component within the process chamber, so that: the replacement frequency for such apparatus is reduced, reducing apparatus down time; the particle amount generated during a process is reduced, improving the product properties; the metal contamination generated during a process is reduced, advancing the product properties; and the overall will reduce the overall cost of the processing apparatus per wafer processed will be reduced, on the average.
  • the mechanical properties of the zirconium oxide-containing yttrium oxide solid solution illustrate that an improvement in flexural strength and fracture toughness would be helpful.
  • the flexural strength and fracture toughness of the zirconium oxide-containing yttrium oxide solid solution are achieved, by adding various amounts of aluminum oxide to the formula for the solid solution ceramic to form an additional yttrium aluminate phase.
  • the mixture of oxides was compacted by unidirectional mechanical pressing or cold isostatic pressing of a granular powder formed by spray drying, in combination with a typical content of binders.
  • the green body was then pressureless sintered using techniques generally known in the art.
  • the addition of 10 mole % to 30 mole % of alumina significantly improved the mechanical properties of the sintered ceramic composition in terms of flexural strength and fracture toughness.
  • the erosion rate of the ceramic containing 69 mole % yttrium oxide and 31 mole % zirconium oxide, after exposure to a plasma containing CF 4 and CHF 3 was about 0.1 ⁇ m/hr.
  • the erosion rate after exposure to the same plasma was also about 0.1 ⁇ m/hr.
  • the erosion rate after exposure to the same plasma was about 0.2 ⁇ m/hr.
  • an overall starting composition which is about 69 mole % yttrium oxide and about 31 mole % zirconium oxide, after sintering exhibits a flexural strength of about 137 Mpa, and a fracture toughness of 1.3 Mpa*m 1/2 , as discussed above.
  • the overall ceramic composition is about 63 mole % yttrium oxide, about 23 mole % zirconium oxide, and about 14 mole % aluminum oxide, after sintering the flexural strength is about 215 Mpa and the fracture toughness is about 1.6 Mpa ⁇ m 1/2 .
  • the overall ceramic composition is about 55 mole % yttrium oxide, about 20 mole % zirconium oxide, and about 25 mole % aluminum oxide, after sintering the flexural strength is about 172 Mpa and the fracture toughness is about 1.7 Mpa ⁇ m 1/2 .
  • the relationship between aluminum oxide content, increase in flexural strength, and increase in erosion rate is not a linear relationship. However, one of skill in the art can optimize the formula with minimal experimentation, in view of the information provided herein.
  • the concentration of the alternative compound in the starting material formulation ranges from about 0.1 mole % to about 90 mole %. Typically the concentration used will range from about 10 mole % to about 30 mole %.
  • the combination of powders was compacted by unidirectionally mechanical pressing or cold isostatic pressing of the granular powder formed by spray drying with a typical content of binders.
  • the green body was then pressureless sintered using techniques known in the art. Upon cooling of the sintered body, a single phase or two phase solid solution forms, where the solid solution is a “multi-element-doped” solid solution.
  • One solid solution exhibits a cubic yttria crystal structure, and another solid solution exhibits the cubic fluorite-type crystal structure.
  • the solid solution has excellent plasma resistance, typically better erosion resistance than that of the aluminum oxide-comprising solid solutions discussed herein.
  • the mechanical properties of the yttria-zirconia-alumina system are somewhat better. All of these multi-doped solid solutions exhibit excellent plasma erosion resistance and improved mechanical properties in comparison with previously known yttrium oxide-zirconium oxide solid solutions.
  • Typical applications for a yttrium oxide-comprising substrate of the kind described herein include, but are not limited to components used internal to a plasma processing chamber, such as a lid, lid-liner, nozzle, gas distribution plate or shower head, electrostatic chuck components, shadow frame, substrate holding frame, processing kit, and chamber liner. All of these components are well known in the art to those who do plasma processing.

Abstract

A ceramic article useful in semiconductor processing, which is resistant to erosion by halogen-containing plasmas. The ceramic article is formed from a combination of yttrium oxide and zirconium oxide. In a first embodiment, the ceramic article includes ceramic which is formed from yttrium oxide at a molar concentration ranging from about 90 mole % to about 70 mole %, and zirconium oxide at a molar concentration ranging from about 10 mole % to about 30 mole %. In a second embodiment, the ceramic article includes ceramic which is formed from zirconium oxide at a molar concentration ranging from about 96 mole % to about 94 mole %, and yttrium oxide at a molar concentration ranging from about 4 mole % to about 6 mole %.

Description

  • The present application is related to a series of applications filed by various inventors of the present application, many of the applications relate to the use of a yttrium-oxide comprising ceramic in the form of a coating, to provide a plasma-resistant surface which is useful in semiconductor processing applications. The applications include U.S. application Ser. No. 10/075,967 of Sun et al., filed Feb. 14, 2002, titled: “Yttrium Oxide Based Surface Coating For Semiconductor IC Processing Vacuum Chambers”, which issued as U.S. Pat. No. 6,776,873 on Aug. 17, 2004; U.S. application Ser. No. 10/898,113 of Sun et al., filed Jul. 22, 2004, titled: “Clean Dense Yttrium Oxide Coating Protecting Semiconductor Apparatus”, which is currently pending; and U.S. application Ser. No. 10/918,232, of Sun et al., filed Aug. 13, 2004, titled: “Gas Distribution Plate Fabricated From A Solid Yttrium Oxide-Comprising Substrate”, which is currently pending. Additional related applications filed, which are a divisional and a continuation application of above-listed applications, include: U.S. application Ser. No. 11/595,484 of Wang et al., filed Nov. 10, 2006, titled: “Cleaning Method Used In Removing Contaminants From The Surface Of An Oxide or Fluoride Comprising a Group III Metal”, which is currently pending, and which is a divisional application of U.S. application Ser. No. 10/898,113; and U.S. application Ser. No. 11/592,905 of Wang et al., filed Nov. 3, 2006, titled: “Cleaning Method Used In Removing Contaminants From A Solid Yttrium Oxide-Containing Substrate”, which is currently pending, and which is a continuation application of U.S. application Ser. No. 10/918,232. The subject matter of all of these patents and applications is hereby incorporated by reference.
  • BACKGROUND
  • 1. Field
  • The present invention relates to a specialized yttrium oxide comprising solid solution ceramic which is highly resistant to plasmas in general, particularly resistant to corrosive plasmas of the kind used in the etching of semiconductor substrates.
  • 2. Description of the Background Art
  • This section describes background subject matter related to the disclosed embodiments of the present invention. There is no intention, either express or implied, that the background art discussed in this section legally constitutes prior art.
  • Corrosion (including erosion) resistance is a critical property for apparatus components and liners used in semiconductor processing chambers, where corrosive environments are present. Example of corrosive plasma environments include plasmas used for cleaning of processing apparatus and plasmas used to etch semiconductor substrates. Plasmas used for plasma-enhanced chemical vapor deposition processes often tend to be corrosive as well. This is especially true where high-energy plasma is present and combined with chemical reactivity to act upon the surface of components present in the environment. The reduced chemical reactivity of an apparatus component surface or of a liner surface is also an important property when corrosive gases alone are in contact with processing apparatus surfaces.
  • Process chambers and component apparatus present within processing chambers which are used in the fabrication of electronic devices and micro-electro-mechanical structures (MEMS) are frequently constructed from aluminum and aluminum alloys. Surfaces of a process chamber and component apparatus present within the chamber are frequently anodized to provide a degree of protection from the corrosive environment. However, the integrity of the anodization layer may be deteriorated by impurities in the aluminum or aluminum alloy, so that corrosion begins to occur early, shortening the life span of the protective coating. Ceramic coatings of various compositions have been used in place of the aluminum oxide layer mentioned above, and have been used over the surface of the anodized layer to improve the protection of the underlying aluminum-based materials. However, current materials used for protective layers deteriorate over time and eventually leave the aluminum alloy subject to attack by the plasma, even though the life span of the protective layer is extended over that of anodized aluminum.
  • Yttrium oxide is a ceramic material which has shown considerable promise in the protection of aluminum and aluminum alloy surfaces which are exposed to fluorine-containing plasmas of the kind used in the fabrication of semiconductor devices. A yttrium oxide coating has been used and applied over an anodized surface of a high purity aluminum alloy process chamber surface, or a process component surface, to produce excellent corrosion protection (e.g. U.S. Pat. No. 6,777,873 to Sun et al., mentioned above). In one application, the '873 Patent provides a processing chamber component resistant to a plasma including fluorine and oxygen species. The processing chamber component typically comprises: a high purity aluminum substrate, where particulates formed from mobile impurities present in the aluminum are carefully controlled to have a particular size distribution; an anodized coating on a surface of the high purity aluminum substrate; and, a protective coating comprising yttrium oxide overlying the anodized coating. The protective coating may include aluminum oxide up to about 10% by weight, and typically comprises 99.95% by weight or greater yttrium oxide. The protective coating is coating typically applied using a method such as spray coating, chemical vapor deposition, or physical vapor deposition.
  • U.S. Pat. No. 5,798,016, to Oehrlein et al., issued Aug. 25, 1998, describes the use of aluminum oxide as a coating layer for chamber walls or as a coating layer for a chamber liner. The Oehrlein et al. reference further discloses that since aluminum is reactive with a number of plasmas, it is recommended that “aluminum oxide or a coating thereof be disposed on the liner or chamber walls”, because aluminum oxide tends to be chemically inert. In addition, a protective coating may be applied over the surfaces of the liner and/or chamber walls. Examples which are given include Al2O3, Sc2O3, or Y2O3.
  • U.S. Patent Application Publication No. US 2001/0003271A1, of Otsuki, published Jun. 14, 2001, and subsequently abandoned, discloses a film of Al2O3, or Al2O3 and Y2O3, formed on an inner wall surface of the chamber and on those exposed surfaces of the members within the chamber which require a high corrosion resistance and insulating property. An example is given of a processing chamber where a base material of the chamber may be a ceramic material (Al2O3, SiO2, AlN, etc.), aluminum, or stainless steel, or other metal or metal alloy, which has a sprayed film over the base material. The film may be made of a compound of a III-B element of the periodic table, such as Y2O3 The film may substantially comprise Al2O3 and Y2O3. A sprayed film of yttrium-aluminum-garnet (YAG) is also mentioned. The sprayed film thickness is said to range from 50 μm to 300 μm.
  • In another application, a ceramic composition of matter comprising a ceramic compound (e.g. Al2O3) and an oxide of a Group IIIB metal (e.g. Y2O3) has been used for a dielectric window of a reactor chamber where substrates are processed in a plasma of a processing gas (e.g. U.S. Pat. No. 6,352,611, to Han et al., issued Mar. 5, 2002). The ceramic compound may be selected from silicon carbide, silicon nitride, boron carbide, boron nitride, aluminum nitride, aluminum oxide, and mixtures thereof; however, aluminum oxide is said to be available in a pure form which does not outgas. The Group IIIB metal may be selected from the group consisting of scandium, yttrium, the cerium subgroup, and the yttrium subgroup; however, yttrium is preferred, with the oxide being yttrium oxide. The preferred process for forming or producing the dielectric member is by thermal processing of a powdered raw mixture comprising the ceramic compound, the oxide of a Group IIIB metal, a suitable additive agent, and a suitable binder agent.
  • In another application, a protective coating for a semiconductor processing apparatus component is described. The protective coating comprises aluminum or an aluminum alloy, where the coating includes a material selected from, for example, but not limited to: yttrium-aluminum-garnet (YAG); an oxide of an element selected from the group consisting of Y, Sc, La, Ce, Eu, and Dy; a fluoride of an element selected from the group consisting of Y, Sc, La, Ce, Eu, and Dy; and combinations thereof is used (e.g. U.S. patent application Ser. No. 10/898,113 of Sun et al., filed Jul. 22, 2004, and entitled “Clean, Dense Yttrium Oxide Coating Protecting Semiconductor Apparatus”, mentioned above). The coating is applied to a substrate surface by thermal/flame spraying, plasma spraying, sputtering, or chemical vapor deposition (CVD). The coating is placed in compression by applying the coating at a substrate surface temperature of at least about 150-200° C.
  • The kinds of protective coatings described above have been used to protect exposed surfaces of a plasma source gas distribution plate of the kind used in semiconductor and MEMS processing apparatus. However, due to the concentration of reactive species which are present at the surface of the gas distribution plate, the lifetime of the gas distribution plate has typically been limited, from about 8 processing days to about 80 processing days, depending on the corrosivity of the plasma created in the processing chamber. To increase the lifetime of a component such as a gas distribution plate, a gas distribution plate was fabricated from a solid yttrium oxide-comprising substrate, as described in U.S. application Ser. No. 10/918,232 of Sun et al., mentioned above. The solid yttrium oxide-comprising substrate contains up to about 10% aluminum oxide in some instances. The solid yttrium oxide-comprising substrate typically comprises about 99.99% yttrium oxide.
  • As device geometry continues to shrink, the on-wafer defect requirements become more stringent, as particulate generation from apparatus within the processing chamber increases in importance. For plasma dry etch chambers running various halogen, oxygen, and nitrogen chemistries, such as F, Cl, Br, O, N, and various combinations thereof, for example, the selection of the material used for apparatus components and chamber liners becomes more critical. The materials with good plasma resistance performance (which also have adequate mechanical, electrical and thermal properties), can reduce particle generation, metal contamination, and provide prolonged component life. This translates to low costs of manufacturing, reduced wafer defects, increased lifetime, and increased mean time between cleaning. Ceramic materials which have been used in such applications include Al2O3, AlN, and SiC. However, the plasma resistance properties of these ceramic materials is not adequate in many instances, particularly when a fluorine plasma source gas is involved. The recent introduction of Y2O3 ceramic shows improved plasma resistance properties, but this material generally exhibits weak mechanical properties that limits its applications for general use in semiconductor processing components, processing kits, and chamber liners.
  • SUMMARY
  • Semiconductor processing conditions expose semiconductor processing apparatus, such as the interior of processing chambers and the surfaces of components within the processing chambers, to a variety of chemical reagents and plasma ions which attack processing apparatus surfaces. The effect of the attack on an apparatus surface is frequently referred to as erosion of the apparatus surface. It is possible to reduce the erosion rate by selecting a particular material composition for the apparatus surfaces. A protective material may be applied as a coating over the apparatus surface; however, this may not be the best solution to avoiding erosion. The coating is constantly getting thinner (eroding) during a plasma etch, and there is an increased risk that the substrate beneath the coating will be attacked by the plasma penetrating the coating layer. The coating layer may flake off during plasma processing due to residual stress. While such problems will be significantly reduced by using a coating of the erosion-resistant materials described in embodiments herein, in many instances it may be advantageous to form an entire apparatus component from the erosion-resistant materials. However, frequently the materials which are more erosion-resistant are more crystalline, and an improvement in erosion resistance comes at a cost, in the form of decreased mechanical properties (such as ductility) of the apparatus. Ceramic materials which are formed from an oxide of a Group IIIA, IIIB, IVB and VB element, or combinations thereof, have been demonstrated to provide erosion resistance to halogen-comprising plasmas. Embodiments of the present invention pertain to reducing the erosion rate of a ceramic material, typically comprising a Group IIIA, IIIB, IVB, or a Group IVB element, or combinations thereof, while maintaining acceptable mechanical properties or improving mechanical properties of the component parts made of the ceramic material.
  • In one embodiment, sintered ceramics are formed which contain a single solid solution phase or which are multi-phase, such as two phase and three phase. The multi-phase ceramics typically contain a yttrium aluminate phase and one or two solid solution phases formed from yttrium oxide, zirconium oxide and/or rare earth oxides. The sintered ceramic has been evaluated under various plasma processing conditions to determine erosion resistance. The materials which were erosion tested were also tested for mechanical properties. For example, ceramic materials formed from starting compositions in which the Y2O3, yttrium oxide, molar concentration ranges from about 50 mole % to about 75 mole %; the ZrO2, zirconium oxide, molar concentration ranges from about 10 mole % to about 30 mole %; and, the Al2O3, aluminum oxide, molar concentration ranges from about 10 mole % to about 30 mole % provide excellent erosion resistance to halogen-containing plasmas while providing advanced mechanical properties which enable handling of solid ceramic processing components with less concern about damage to a component. In many embodiments, a starting composition for the ceramic materials may be one that comprises Y2O3 Molar concentration ranges from about 55 mole % to about 65 mole %, ZrO2 molar concentration ranges from about 15 mole % to about 25 mole %, and Al2O3 molar concentration ranges from about 10 mole % to about 25 mole %. When the erosion rate is of great concern, the starting material concentration of the ceramic material may be one that comprises Y2O3 molar concentration ranges from about 55 mole % to about 65 mole %, the ZrO2 Molar concentration ranges from about 20 mole % to about 25 mole % and the Al2O3 Molar concentration 10 mole % to about 15 mole %. In one embodiment, to produce a solid apparatus component, these starting material formrulations are compacted into a pelletized form and are sintered using a method selected from pressureless sintering, hot-press sintering (HP), or hot isostatic press sintering (HIP). These sintering techniques are well known in the art.
  • In other embodiments, the starting material compositions listed above may be used to form a ceramic coating over the surface of a variety of metal and ceramic substrates, including, but not limited to, aluminum, aluminum alloy, stainless steel, alumina, aluminum nitride and quartz, using a technique well known in the art, such as plasma spraying, for example and not by way of limitation. Typically the aluminum alloy used is a high purity aluminum alloy of the kind described in U.S. Pat. No. 6,766,873 to Sun et al., mentioned above. However, with the improved mechanical properties which have been obtained, it is recommended that solid ceramic apparatus components be used when possible, to avoid the eventual failure of the apparatus to function properly due to interfacial problems between the coating and the underlying substrate, or to prevent a sudden failure of plasma resistance due to the coating layer flaking off, or to prevent plasma penetration of the coating layer through defects which may be exposed from within the coating layer as the coating layer becomes thinner due to erosion.
  • The addition of zirconium oxide powder to yttrium oxide powder at a concentration of zirconium oxide, ranging from about 0.1 mole % to about 65 mole %, after consolidation by conventional ceramic processing, provides a single solid solution with a cubic yttria crystal structure phase or a cubic fluorite-type crystal structure phase, or provides a mixed solid solution of cubic yttria crystal structure phase and cubic fluorite-type crystal structure phase. For the cubic yttria crystal structure, the cell parameter of the solid solution is smaller than that of the pure cubic yttrium oxide crystalline structure, due to the formation of yttrium vacancy. For the cubic fluorite-type crystal structure, the cell parameter of the solid solution is smaller than that of the pure cubic fluorite-type structure, due to the formation of oxygen vacancy. The smaller cell parameter improves the plasma resistance properties of the solid solution of zirconium oxide in yttrium oxide. For example, the erosion rate of a pure solid yttrium oxide ceramic in a CF4/CHF3 plasma is about 0.3 μm/hr. The erosion rate (the rate at which a surface is removed in μm (of thickness)/hr) of a solid ceramic of about 69 mole % yttrium oxide and about 31 mole % zirconium oxide is about 0.1 μm/hr, a 3 times slower erosion rate than pure solid yttrium oxide. This unexpected decrease in erosion rate extends the lifetime of a process chamber liner or an internal apparatus component within the process chamber, so that the replacement frequency for such apparatus is reduced, reducing apparatus down time; and, the particle and metal contamination level generated during a plasma process is reduced, enabling a device fabrication with ever shrinking geometry with reduced overall cost of the processing apparatus per wafer processed, on the average.
  • While the 0.1 μm/hr erosion rate for the zirconium oxide-containing yttrium oxide solid solution is surprisingly better than that of yttrium oxide at 0.3 μm/hr, and considerably better than of a solid aluminum oxide ceramic at 1.44 μm/hr in the CF4/CHF3 plasma, the mechanical properties of the zirconium oxide-containing yttrium oxide solid solution illustrate that an improvement in flexural strength and fracture toughness would be helpful.
  • In one embodiment, the flexural strength and fracture toughness of the zirconium oxide-containing yttrium oxide solid solution are achieved, by adding various amounts of aluminum oxide to the formula for the solid solution ceramic to form an additional yttrium aluminate phase. The mixture of oxides was pelletized by unidirectional mechanical pressing or cold isoustatic pressing of a granular powder formed by spray drying, in combination with a typical content of binders. The green body was then pressureless sintered using techniques generally known in the art. The addition of 10 mole % to 30 mole % of alumina significantly improved the mechanical properties of the sintered ceramic composition in terms of flexural strength and fracture toughness, as discussed subsequently herein. This surprising change in mechanical properties, which indicates that fabricated parts could be handled with less risk of fracture, was achieved with minimal effect on the plasma erosion rate of the ceramic material. For example, the erosion rate of the ceramic containing 69 mole % yttrium oxide and 31 mole % zirconium oxide, after exposure to a plasma containing CF4 and CHF3, was about 0.1 μm/hr. For the ceramic containing about 14 mole % aluminum oxide, the erosion rate after exposure to the same plasma was also about 0.1 μm/hr. For the ceramic containing about 25 mole % aluminum oxide, the erosion rate after exposure to the same plasma was about 0.22 μm/hr. The relationship between aluminum oxide content, increase in flexural strength, and increase in erosion rate is not a linear relationship. However, one of skill in the art can optimize the formula with minimal experimentation, in view of the information provided herein.
  • As an alternative to adding aluminum oxide to a multi phase metal stable composition containing yttrium oxide and zirconium oxide, it is possible to add HfO2, hafnium oxide; Sc2O3, scandium oxide; Nd2O3, neodymium oxide; Nb2O5, niobium oxide; Sm2O3, samarium oxide; Yb2O3, ytterbium oxide; Er2O3, erbium oxide; Ce2O3 (or CeO2), cerium oxide, or combinations thereof. In the instance where one of these alternative oxides is used, the concentration of the oxide in the starting material formulation ranges from about 0.1 mole % to about 90 mole %, and typically ranges from about 10 mole % to about 30 mole %.
  • After mixing of at least one of the alternative oxides listed above with the Y2O3 and ZrO2 powders used to form a solid solution, the combination of powders was compacted by unidirectionally mechanical pressing or cold isostatic pressing of the granular powder formed by spray drying with a typical content of binders. The green body was then pressureless sintered using techniques known in the art. Upon cooling of the sintered body, a single phase or two phase solid solution forms, where the solid solution is a “multi-element-doped” solid solution. One solid solution exhibits a cubic yttria crystal structure, and another solid solution exhibits the cubic fluorite-type crystal structure. The solid solution has excellent plasma resistance, typically better erosion resistance than that of the aluminum oxide-comprising solid solutions discussed herein. However, the mechanical properties of the yttria-zirconia-alumina system are somewhat better. All of these multi-doped solid solutions exhibit excellent plasma erosion resistance and improved mechanical properties in comparison with previously known yttrium oxide-zirconium oxide solid solutions.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1A shows a photomicrograph of the as-sintered surface of a solid yttrium oxide ceramic at a magnification of 1,000 times.
  • FIG. 1B shows a photomicrograph of the as-sintered surface of a solid solution ceramic substrate formed from 63 mole % yttrium oxide, 23 mole % zirconium oxide, and 14 mole % aluminum oxide, at a magnification of 1,000 times.
  • FIG. 1C shows a photomicrograph of the as-sintered surface of a solid solution ceramic substrate formed from 55 mole % yttrium oxide, 20 mole % zirconium oxide, and 25 mole % aluminum oxide, at a magnification of 1,000 times.
  • FIG. 2A shows a photomicrograph of the surface of a solid yttrium oxide ceramic after a test etch using the processing plasmas and times typically used to etch the various layers of a contact via feature in a semiconductor device. The magnification is 1,000 times.
  • FIG. 2B shows a photomicrograph of the surface of a solid solution ceramic formed from 63 mole % yttrium oxide, 23 mole % zirconium oxide, and 14 mole % aluminum oxide after a test etch using the processing plasmas and times typically used to etch the various layers of a contact via feature in a semiconductor device. The magnification is 1,000 times.
  • FIG. 2C shows a photomicrograph of the surface of a solid solution ceramic formed from 55 mole % yttrium oxide, 20 mole % zirconium oxide, and 25 mole % aluminum oxide after a test etch using the processing plasmas and times typically used to etch the various layers of a contact via feature in a semiconductor device. The magnification is 1,000 times.
  • FIG. 3A shows a photomicrograph of the post-etch ceramic of FIG. 2A, but at a magnification of 5,000 times.
  • FIG. 3B shows a photomicrograph of the post-etch ceramic of FIG. 2B, but at a magnification of 5,000 times.
  • FIG. 3C shows a photomicrograph of the post-etch ceramic of FIG. 2C, but at a magnification of 5,000 times.
  • FIG. 4A shows a photomicrograph of the as-sintered surface of a solid solution ceramic formed from 63 mole % yttrium oxide, 23 mole % zirconium oxide, and 14 mole % aluminum oxide, at a magnification of 2,000 times.
  • FIG. 4B shows a photomicrograph of the surface of the solid solution ceramic shown in FIG. 4A, after exposure of the test coupon to a trench etch process of the kind described herein. The magnification is 2,000 times.
  • FIG. 4C shows a photomicrograph of the as sintered surface of a solid solution ceramic formed from 55 mole % yttrium oxide, 20 mole % zirconium oxide, and 25 mole % aluminum oxide, at a magnification of 2,000 times.
  • FIG. 4D shows a photomicrograph of the surface of the solid solution ceramic shown in FIG. 4C, after exposure of the test coupon to a trench etch process of the kind described herein. The magnification is 2,000 times.
  • FIG. 5A shows a photomicrograph of a solid solution ceramic formed from 63 mole % yttrium oxide, 23 mole % zirconium oxide, and 14 mole % aluminum oxide after exposure of the test coupon to a metal etch process of the kind described herein. The magnification is 5,000 times.
  • FIG. 5B shows a photomicrograph of a solid solution ceramic formed from 55 mole % yttrium oxide, 20 mole % zirconium oxide, and 25 mole % aluminum oxide after exposure of the test coupon to an etch by a CF4/CHF3 plasma. The magnification of 5,000 times.
  • DETAILED DESCRIPTION OF EXEMPLARY EMBODIMENTS
  • As a preface to the detailed description, it should be noted that, as used in this specification and the appended claims, the singular forms “a”, “an”, and “the” include plural referents, unless the context clearly dictates otherwise.
  • When the word “about” is used herein, this is intended to mean that the nominal value presented is precise within ±10%.
  • Bulk yttrium oxide has been shown to have very good corrosion resistance upon exposure to fluorine plasma and other corrosive plasmas which are typically used in semiconductor manufacturing processes (such as etch processes and chemical vapor deposition processes). However, pure crystalline yttrium oxide, while offering very good corrosion resistance to various etchant plasmas, does not offer good mechanical properties in terms of flexural strength and fracture toughness, for example. To improve the overall performance and handling capabilities of semiconductor component parts and liners, there is a need to improve the mechanical properties from those available in pure crystalline yttrium oxide. To obtain the improvement in mechanical properties, it is necessary to form an alloy of yttrium oxide with a compatible oxide. The improvement in mechanical properties needed to be accomplished without harming the very good plasma erosion properties of the pure yttrium oxide.
  • In consideration of the Gibbs Formation Free Energy of various ceramic materials which might be compatible with yttrium oxide, we determined that it is more difficult to form fluorides than oxides for yttrium and aluminum elements, so that yttrium oxide and aluminum oxide are expected to provide good resistance to a fluorine-containing plasma. The Gibbs Formation Free Energy of zirconium fluoride is similar to that for yttrium fluoride. Further, in a homogeneous amorphous oxyfluoride, or a glass-ceramic composite oxyfluoride, increasing the zirconium fluoride content can decrease the free energy of the final oxyfluoride to make it more stable.
  • EXAMPLE EMBODIMENTS Example One Etch Plasma Process Conditions For Erosion Rate Testing
  • Tables One—Three, below, provides the etch plasma compositions and etch plasma processing conditions which were used for evaluation of a series of test coupon materials. There were three basic different sets of etch plasma conditions which were used for the erosion rate testing: 1) Trench etching, where the etch plasma source gas and etch process conditions were representative of etching a trench feature size beyond 65 nm technology, i.e. smaller than 65 nm, into a multilayered semiconductor substrate. Such a substrate typically includes an antireflective coating (ARC or BARC) layer, an organic or inorganic dielectric layer, a metal layer, and an etch stop layer. Contact Via etching, where the etch plasma source gas and etch process conditions were representative of etching a contact via having an aspect ratio of about 30 in production and 40 plus in the developed device substrate, and having a diameter of beyond 65 nm technology into a multilayered semiconductor substrate including a buried ARC (BARC) layer, a dielectric layer and a stop layer; and 3) Metal etching, here the etch plasma source gas and etch process conditions were representative of etching an overlying titanium nitride hard mask and an aluminum layer, where the etch plasma source gas and etch process conditions are beyond 65 nm technology.
  • The trench etching process and the contact via etching process were carried out in the ENABLER™ processing system, and the metal etching process was carried out in the DPS™ processing system, all available from Applied Materials, Inc. of Santa Clara, Calif.
  • TABLE ONE
    Process Conditions for Trench Etch Erosion Rate Test
    Trench Plasma Subr
    Etch Source Bias Subr
    Simulation CF4* O2* CHF3* N2* Ar* Power1 Pr2 Power3 Temp4 Time5
    Etch Step 150 30 300 1,000 40 35
    One
    Etch Step 400 1200 220 400 40 40
    Two
    Etch Step 175 15 1500 150 500 40 39
    Three
    Etch Step 500 100 10 200 40 55
    Four
    *All gas flow rates are in sccm.
    1Plasma Source Power in W.
    2Pressure in mTorr.
    3Substrate Bias Power in W.
    4Substrate Temperature in ° C.
    5Time in seconds.
  • TABLE TWO
    Process Conditions for Via Etch Erosion Rate Test
    Via Etch
    Simulation CF4* C4F6* CHF3* CH2F2* Ar* O2* N2*
    Etch Step 80
    One
    Etch Step 28 15 20 500 31
    Two
    Etch Step 40 650 30
    Three
    Etch Step 200
    Four
    Etch Step 500
    Five
    Plasma Substrate
    Via Etch Source Bias Substrate
    Simulation Power1 Pr2 Power3 Temp4 Time5
    Etch Step One 80 400 40 50
    Etch Step Two  400 30 1700 40 60
    Etch Step Three 30 1700 40 60
    Etch Step Four 1000 50 100 40 45
    *All gas flow rates are in sccm.
    1Plasma Source Power in W.
    2Pressure in mTorr.
    3Substrate Bias Power in W.
    4Substrate Temperature in ° C.
    5Time in seconds.
  • TABLE THREE
    Process Conditions for Metal Etch Erosion Rate Test
    Metal Plasma Subr
    Etch Source Bias Prc Subr
    Simul. Cl2* BCl3* C2H4* Ar* CHF3* N2* Power1 Power2 Pr3 Temp4 Time5
    Etch 60 3 20 1000 100 8 40 30
    Step
    One
    Etch 25 40 10 5 500 150 10 40 18
    Step
    Two
    Etch 60 40 20 700 120 18 40 30
    Step
    Three
    Etch 60 40 3 1000 200 8 40 23
    Step
    Four
    Etch 30 60 5 50 5 800 170 6 40 15
    Step
    Five
    *All gas flow rates are in sccm.
    1Plasma Source Power in W.
    2Substrate Bias Power in W.
    3Pressure in mTorr.
    4Substrate Temperature in ° C.
    5Time in seconds.
  • Example Two Comparative Relative Erosion Rates Of Various Ceramic Materials Compared With Aluminum Oxide
  • Aluminum oxide has frequently been used as a protective layer or liner when a semiconductor process makes use of an etchant plasma. Using aluminum oxide as the base comparative material, we determined the relative etch rates, in a Trench Etch (CF4/CHF3) environment. With aluminum oxide having a relative erosion rate of 1, we found that the relative erosion rate of quartz was about 2.2 times that of aluminum oxide. The relative erosion rate of silicon carbide was about 1.6 times that of aluminum oxide. The relative erosion rate of zirconia was about 0.8 times that of aluminum oxide. The relative erosion rate of pure yttrium oxide was about 0.19 times that of aluminum oxide. The relative erosion rate of a yttrium oxide, zirconium oxide, aluminum oxide ceramic composite, formed from 55 mole % yttrium oxide, 20 mole % zirconium oxide, and 25 mole % aluminum oxide was about 0.2 times that of aluminum oxide. The relative erosion rate of a yttrium oxide, zirconium oxide, aluminum oxide ceramic composite, formed from 63 mole % yttrium oxide, 23 mole % zirconium oxide, and 14 mole % aluminum oxide was about 0.05 times that of aluminum oxide.
  • Example Three Measured Erosion Rates for Trench Etching Process
  • With reference to the trench etching method described above, the sample substrate test coupon erosion rates measured were as follows. The erosion rate of aluminum oxide was 1.1 μm/hr. The erosion rate of bulk yttrium oxide was 0.3 μm/hr. The erosion rate of a the a yttrium oxide, zirconium oxide, aluminum oxide ceramic composite, formed from 55 mole % yttrium oxide, 20 mole % zirconium oxide, and 25 mole % aluminum oxide was 0.1 μm/hr. The erosion rate of a yttrium oxide, zirconium oxide, aluminum oxide ceramic composite, formed from 63 mole % yttrium oxide, 23 mole % zirconium oxide, and 14 mole % aluminum oxide was 0.07 μm/hr.
  • Example Four Measured Erosion Rates for Via Etching Process
  • With reference to the via etching method described above, the sample substrate test coupon erosion rates measured were as follows. The erosion rate of aluminum oxide was not measured. The erosion rate of bulk yttrium oxide was 0.16 μm/hr. The erosion rate of a the a yttrium oxide, zirconium oxide, aluminum oxide solid solution, formed from 55 mole % yttrium oxide, 20 mole % zirconium oxide, and 25 mole % aluminum oxide was 0.21 μm/hr. The erosion rate of a yttrium oxide, zirconium oxide, aluminum oxide solid solution, formed from 63 mole % yttrium oxide, 23 mole % zirconium oxide, and 14 mole % aluminum oxide was 0.22 μm/hr.
  • Example Five Measured Erosion Rates for Metal Etching Process
  • With reference to the metal etching method described above, the sample substrate test coupon erosion rates measured were as follows. The erosion rate of aluminum oxide was 4.10 μm/hr. The erosion rate for bulk yttrium oxide was 0.14 μm/hr. The erosion rate of a the a yttrium oxide, zirconium oxide, aluminum oxide ceramic composite, formed from 55 mole % yttrium oxide, 20 mole % zirconium oxide, and 25 mole % aluminum oxide was 0.10 μm/hr. The erosion rate of a yttrium oxide, zirconium oxide, aluminum oxide ceramic composite, formed from 63 mole % yttrium oxide, 23 mole % zirconium oxide, and 14 mole % aluminum oxide was 0.18 μm/hr.
  • Example Six Photomicrographs Of Yttrium-Oxide-Based Ceramics After Exposure to A Via Etch Process
  • FIGS. 1A through 1C show photomicrographs of the surface of a sintered yttrium-oxide-containing ceramic composite prior to exposure to the via etch process described herein. The yttrium-oxide-containing ceramic composites include: 1) yttrium oxide-zirconium oxide solid solution; and 2) yttrium aluminate, when the composition was yttrium oxide 100 parts by weight, zirconium oxide 20 parts by weight, and aluminum oxide 10 parts by weight. (This composition is the same as 63 mole % yttrium oxide, 23 mole % zirconium oxide, and 14 mole % aluminum oxide); and 3) yttrium oxide—zirconium oxide—aluminum oxide solid solution, when the composition from which the solid solution was formed was yttrium oxide 100 parts by weight, zirconium oxide 20 parts by weight, and aluminum oxide 20 parts by weight. (This composition is the same as 55 mole % yttrium oxide, 20 mole % zirconium oxide, and 25 mole % aluminum oxide). All of the photomicrographs are at a magnification of 1,000 times.
  • FIGS. 2A through 2C show photomicrographs of the sintered yttrium-oxide-containing ceramic composite subsequent to exposure to the via etch process described herein. The yttrium-oxide-containing ceramic composites include: 1) yttrium oxide-zirconium oxide solid solution; and 2) yttrium aluminate, when the composition was yttrium oxide 100 parts by weight, zirconium oxide 20 parts by weight, and aluminum oxide 10 parts by weight (This composition is the same as 63 mole % yttrium oxide, 23 mole % zirconium oxide, and 14 mole % aluminum oxide); or when the composition was yttrium oxide 100 parts by weight, zirconium oxide 20 parts by weight, and aluminum oxide 20 parts by weight (This composition is the same as 55 mole % yttrium oxide, 20 mole % zirconium oxide, and 25 mole % aluminum oxide). All of the photomicrographs are at a magnification of 1,000 times.
  • The surface roughness of the bulk yttrium oxide shown in FIG. 2A has increased in roughness substantially. However, the overall surface roughness appears to be less than that of the zirconium oxide and aluminum oxide containing sample coupons. The surface roughness of the solid solution shown in FIG. 2B, which contains 10 parts by weight aluminum oxide appears to have hills and valleys which are flatter than the hills and valleys of the solid solution shown in FIG. 2C, which contains the 20 parts by weight of aluminum oxide. However, the hills and valleys on the 10 parts by weight aluminum oxide sample coupon shown in FIG. 2B have more pitting on the surface than in the 20 parts by weight sample coupon shown in FIG. 2C.
  • FIGS. 3A through 3C show photomicrographs which correspond with FIGS. 2A through 2C, respectively, but are at a magnification of 5,000 times. Looking at the surface of the bulk yttrium oxide sample coupon shown in FIG. 3A, the surface is relatively smooth but does show some evidence of small pits. The FIG. 3B solid solution formed from yttrium oxide 100 parts by weight, zirconium oxide 20 parts by weight, and aluminum oxide 10 parts by weight also shows some small scale pitting present on the rougher surface shown in FIG. 2B. The FIG. 3C solid solution formed from yttrium oxide 100 parts by weight, zirconium oxide 20 parts by weight, and aluminum oxide 20 parts by weigh shows negligible small scale pitting.
  • Looking at the erosion rates for the three test coupons, it appears that the 1,000 times magnification for the post-etch coupons shows better surface characteristics related to the erosion rates of the coupons. The erosion rates were 0.16 μm/hr for the solid yttrium oxide shown in FIG. 2A; 0.22 μm/hr for the solid solution of yttrium oxide—zirconium oxide—aluminum oxide which contained 10 parts by weight aluminum oxide; and 0.21 μm/hr for the solid solution of yttrium oxide—zirconium oxide—aluminum oxide which contained 20 parts by weight aluminum oxide.
  • Example Seven Photomicrographs Of Yttrium-Oxide-Containing Substrates
  • After Exposure to A Trench Etch Process
  • FIG. 4A shows a photomicrograph of the as-sintered surface of a solid solution ceramic composite containing 100 parts by weight yttrium oxide, 20 parts by weight aluminum oxide, and 10 parts by weight aluminum oxide (63 mole % yttrium oxide, 23 mole % zirconium oxide, and 14 mole % aluminum oxide), at a magnification of 2,000 times. FIG. 4B shows a photomicrograph of the surface of the solid ceramic composite of FIG. 4A after etching by a trench etch process of the kind shown herein. Both photomicrographs are at a magnification of 2,000. The post-etched surface appears to be flat and relatively homogeneous. This combination of photographs suggests that after fabrication of an apparatus such as a chamber liner or a component part, it may be advisable to “season” the part by exposing it to an exemplary plasma etch process prior to introducing the apparatus into a semiconductor device production process. The erosion rate for the solid solution ceramic composite containing the 10 parts by weight of aluminum oxide, after exposure to the trench etch process, was about 0.08 em/hr.
  • FIG. 4C shows a photomicrograph of the as-sintered surface of a solid solution ceramic composite containing 100 parts by weight yttrium oxide, 20 parts by weight aluminum oxide, and 20 parts by weight aluminum oxide (55 mole % yttrium oxide, 20 mole % zirconium oxide, and 25 mole % aluminum oxide). FIG. 4D shows a photomicrograph of the surface of the solid solution ceramic composite of FIG. 4C after etching by a trench etch process of the kind shown herein. Both photomicrographs are at a magnification of 2,000. The post-etched surface appears to be flat and relatively homogeneous. This combination of photographs suggests the same seasoning process described above for newly fabricated apparatus. The erosion rate of the solid solution ceramic composite containing the 20 parts by weight of aluminum oxide, after exposure to the trench etch process, was about 0.07 μm/hr.
  • Example Eight Photomicrographs Of Yttrium-Oxide-Containing Ceramic Composites After Exposure To A Metal Etch Process
  • FIG. 5A shows a photomicrograph of a two phase solid solution ceramic composite formed from 100 parts by weight of yttrium oxide, 20 parts by weight of zirconium oxide and 10 parts by weight of aluminum oxide (63 mole % yttrium oxide, 23 mole % zirconium oxide, and 14 mole % aluminum oxide) after exposure of the test coupon to a metal etch process of the kind described herein. The magnification is 5,000 times. FIG. 5B shows a photomicrograph of a two phase solid solution ceramic composite formed from 100 parts by weight of yttrium oxide, 20 parts by weight of zirconium oxide, and 10 parts by weight of aluminum oxide (55 mole % yttrium oxide, 20 mole % zirconium oxide, and 25 mole % aluminum oxide) after exposure of the test coupon to a metal etch process of the kind described herein. The magnification is 5,000 times. A comparison of these two photomicrographs shows that the two phase solid solution containing the higher content of aluminum oxide has an increased amount of the darker phase, which is yttrium aluminate. The erosion rate of the two phase solid solution ceramic composites containing the 10 parts by weight of aluminum oxide, after exposure to the trench etch process, was about 0.18 μm/hr, while the erosion rate of the two phase solid solution ceramic composite containing the 20 parts by weight of aluminum oxide, after exposure to the trench process was about 0.10 μm/hr.
  • Example Nine Relative Physical and Mechanical Properties Of Yttrium-Oxide-Containing Substrates
  • Table Four below shows comparative physical and mechanical properties for the bulk, pure yttrium oxide ceramic and for various yttrium-oxide containing solid solution ceramics.
  • TABLE FOUR
    100 Y2O3 100 Y2O3
    100 ZrO2 100 Y2O3 20 ZrO2 20 ZrO2
    Material 3 Y2O3 20 ZrO2 10 Al2O3 20 Al2O3
    Starting parts by parts by parts by parts by
    Composition Y2O3 Al2O3 weight weight. weight weight
    Flexural 100-150 400 1200 ± 100  137 215 172
    Strength
    (MPa)
    Vickers 5.7  17.2 11.9  9.3 9.4 9.6
    Hardness
    (5 Kgf)(GPa)
    Young's 140-170 380 373 190 190 202
    Modulus
    (GPa)
    Fracture 1.0-1.3  3.5 10.9  1.3 1.6 1.7
    Toughness
    (Mpa · m1/2)
    Thermal 13.7  33 2.9  4.7 3.5
    Conductivity
    (W/m/°K)
    Thermal 130-200 200 130-200 150-200
    Shock
    Resistance
    (ΔT) ° C.
    Thermal 7.2  7.7 9.4  9.0 8.5
    Expansion
    × 10−6/K
    (20-900° C.)
    Dielectric 12.3-13    9.9  15.0 15.5
    Constant
    (20° C. 13.56 MHZ)
    Dielectric Loss <20  0.5 <20 <20
    Tangent × 10−4
    (20° C. 13.56 MHZ)
    Volume 1012-1013  1015  1011 1016-1022
    Resistivity
    at RT (Ω · cm)
    Density 4.92  3.95 5.89  5.19 4.90 4.86
    (g/cm3)
    Mean Grain 10-25 0.5-1.0  5-10 3-6 3-6
    Size
    (μm)
    Phase Y2O3 Al2O2 Zr1−x YxO2 F/C-Y2O3 F/C-Y2O3 F/C-Y2O3
    Composition SS SS SS
    and Y4Al2O9
    Y4Al2O9 and
    YAlO3
    Plasma Erosion 0.3  1.44 0.3  0.1 0.1 0.2
    Rate (μm/hr)
    (CF4/CHF3)
    *All of the solid solution ceramic substrates were sintered using a pressureless sintering technique under a hydrogen protected atmosphere.
  • A review of the plasma erosion rate clearly shows the advantages of the solid solution yttrium oxide, zirconium oxide, aluminum oxide ceramics which have been described herein. We have demonstrated that it is possible to reduce the erosion rate of a ceramic material of this kind, while maintaining acceptable mechanical properties, which enable easier handling of the apparatus without risk of damage to the apparatus.
  • Combinations of yttrium oxide, zirconium oxide and aluminum oxide have been evaluated, and we have discovered that ceramic materials formed from starting compositions in which the Y2O3, yttrium oxide, molar concentration ranges from about 50 mole % to about 75 mole %; the ZrO2, zirconium oxide, molar concentration ranges from about 10 mole % to about 30 mole %; and, the Al2O3, aluminum oxide, molar concentration ranges from about 10 mole % to about 30 mole %, provide excellent erosion resistance to halogen containing plasmas while providing advanced mechanical properties which enable handling of solid ceramic processing components with less concern about damage to a component. In many applications, a starting composition for the ceramic materials may be one in which Y2O3 molar concentration ranges from about 55 mole % to about 65 mole %, the ZrO2 molar concentration ranges from about 10 mole % to about 25 mole % and the Al2O3 molar concentration ranges from about 10 mole % to about 20 mole %. When the erosion rate is of great concern, starting material concentration of the ceramic material may be one in which Y2O3 molar concentration ranges from about 55 mole % to about 65 mole %, the ZrO2 molar concentration ranges from about 20 mole % to about 25 mole % and the Al2O3 molar concentration 5 mole % to about 10 mole %.
  • Starting material compositions of the kind described above may be used to form a ceramic coating over the surface of a variety of metal or ceramic substrates, including but not limited to aluminum, aluminum alloy, stainless steel, alumina, aluminum nitride, and quartz, using a technique well known in the art, such as plasma spray, for example and not by way of limitation. However, with the improved mechanical properties which have been obtained, it is recommended that solid ceramic apparatus components be used when possible, to prevent sudden failure of plasma resistance due to coating layer flaking off, or defects in the coating which appear as the coating thins, or the formation of metal contamination by mobile impurities from the underlying substrate which migrate into the coating.
  • The addition of a concentration of zirconium oxide, ranging from about 0.1 mole % to about 65 mole % to what was a pure yttrium oxide, provides a solid solution of yttrium oxide and zirconium oxide with the cubic yttria crystal structure or cubic fluorite-type crystal structure, where the cell parameter is smaller than that of the pure structure, due to the formation of yttrium vacancy/oxygen vacancy, respectively. The smaller cell parameter of the solid solution crystal structure improves the plasma resistance properties of the solid solution of zirconium oxide in yttrium oxide. For example, the erosion rate of a solid yttrium oxide ceramic in a CF4/CHF3 plasma of the kind used to etch a trench in a multilayered semiconductor substrate is about 0.3 μm/hr. The erosion rate of a solid solution ceramic of about 69 mole % yttrium oxide and about 31 mole % zirconium oxide is about 0.1 μm/hr, a 3 times slower etch rate than solid yttrium oxide. This unexpected decrease in etch rate extends the lifetime of a process chamber liner or an internal apparatus component within the process chamber, so that: the replacement frequency for such apparatus is reduced, reducing apparatus down time; the particle amount generated during a process is reduced, improving the product properties; the metal contamination generated during a process is reduced, advancing the product properties; and the overall will reduce the overall cost of the processing apparatus per wafer processed will be reduced, on the average.
  • While the 0.1 μm/hr erosion rate for the zirconium oxide-containing yttrium oxide solid solution is surprisingly better than that of yttrium oxide at 0.3 μm/hr, and considerably better than of a solid aluminum oxide ceramic at 1.44 μm/hr in the CF4/CHF3 plasma, the mechanical properties of the zirconium oxide-containing yttrium oxide solid solution illustrate that an improvement in flexural strength and fracture toughness would be helpful.
  • In one embodiment, the flexural strength and fracture toughness of the zirconium oxide-containing yttrium oxide solid solution are achieved, by adding various amounts of aluminum oxide to the formula for the solid solution ceramic to form an additional yttrium aluminate phase. The mixture of oxides was compacted by unidirectional mechanical pressing or cold isostatic pressing of a granular powder formed by spray drying, in combination with a typical content of binders. The green body was then pressureless sintered using techniques generally known in the art. The addition of 10 mole % to 30 mole % of alumina significantly improved the mechanical properties of the sintered ceramic composition in terms of flexural strength and fracture toughness. For example, the erosion rate of the ceramic containing 69 mole % yttrium oxide and 31 mole % zirconium oxide, after exposure to a plasma containing CF4 and CHF3, was about 0.1 μm/hr. For the ceramic containing about 14 mole % aluminum oxide, the erosion rate after exposure to the same plasma was also about 0.1 μm/hr. For the ceramic containing about 25 mole % aluminum oxide, the erosion rate after exposure to the same plasma was about 0.2 μm/hr. With respect to the mechanical properties, for example, an overall starting composition which is about 69 mole % yttrium oxide and about 31 mole % zirconium oxide, after sintering exhibits a flexural strength of about 137 Mpa, and a fracture toughness of 1.3 Mpa*m1/2, as discussed above. When the overall ceramic composition is about 63 mole % yttrium oxide, about 23 mole % zirconium oxide, and about 14 mole % aluminum oxide, after sintering the flexural strength is about 215 Mpa and the fracture toughness is about 1.6 Mpa·m1/2. When the overall ceramic composition is about 55 mole % yttrium oxide, about 20 mole % zirconium oxide, and about 25 mole % aluminum oxide, after sintering the flexural strength is about 172 Mpa and the fracture toughness is about 1.7 Mpa·m1/2. The relationship between aluminum oxide content, increase in flexural strength, and increase in erosion rate is not a linear relationship. However, one of skill in the art can optimize the formula with minimal experimentation, in view of the information provided herein.
  • As an alternative to adding aluminum oxide to a multi phase metal stable composition containing yttrium oxide and zirconium oxide is to add HfO2, hafnium oxide; Sc2O3, scandium oxide; Nd2O3, neodymium oxide; Nb2O5, niobium oxide; Sm2O3, samarium oxide; Yb2O3, ytterbium oxide; Er2O3, erbium oxide; Ce2O3 (or CeO2), cerium oxide, or combinations thereof. In the instance where these alternative compounds are used, the concentration of the alternative compound in the starting material formulation ranges from about 0.1 mole % to about 90 mole %. Typically the concentration used will range from about 10 mole % to about 30 mole %.
  • After mixing of at least one of the alternative oxides listed above with the Y2O3 and ZrO2 powders used to form a solid solution, the combination of powders was compacted by unidirectionally mechanical pressing or cold isostatic pressing of the granular powder formed by spray drying with a typical content of binders. The green body was then pressureless sintered using techniques known in the art. Upon cooling of the sintered body, a single phase or two phase solid solution forms, where the solid solution is a “multi-element-doped” solid solution. One solid solution exhibits a cubic yttria crystal structure, and another solid solution exhibits the cubic fluorite-type crystal structure. The solid solution has excellent plasma resistance, typically better erosion resistance than that of the aluminum oxide-comprising solid solutions discussed herein. However, the mechanical properties of the yttria-zirconia-alumina system are somewhat better. All of these multi-doped solid solutions exhibit excellent plasma erosion resistance and improved mechanical properties in comparison with previously known yttrium oxide-zirconium oxide solid solutions.
  • Typical applications for a yttrium oxide-comprising substrate of the kind described herein include, but are not limited to components used internal to a plasma processing chamber, such as a lid, lid-liner, nozzle, gas distribution plate or shower head, electrostatic chuck components, shadow frame, substrate holding frame, processing kit, and chamber liner. All of these components are well known in the art to those who do plasma processing.
  • The above described exemplary embodiments are not intended to limit the scope of the present invention, as one skilled in the art can, in view of the present disclosure, expand such embodiments to correspond with the subject matter of the invention claimed below.

Claims (26)

1. A ceramic article which is resistant to erosion by halogen-containing plasmas used in semiconductor processing, said article comprising: a ceramic formed from a combination of yttrium oxide and zirconium oxide, with yttrium oxide at a molar concentration ranging from about 90 mole % to about 70 mole %, and zirconium oxide at a molar concentration ranging from about 10 mole % to about 30 mole %, wherein a mean grain size of said ceramic ranges from about 2 μm to about 8 μm.
2. A ceramic article in accordance with claim 1, wherein said ceramic is formed from yttrium oxide at a concentration ranging from about 90 mole % to about 80 mole %, and zirconium oxide at a concentration ranging from about 10 mole % to about 20 mole %.
3. (canceled)
4. A ceramic article in accordance with claim 1, wherein a flexural strength of said ceramic ranges from about 120 MPa to about 140 MPa.
5. A ceramic article in accordance with claim 1, wherein a fracture toughness of said ceramic ranges from about 1.1 MPa·mm1/2 to about 1.3 MPa·m1/2.
6. A ceramic article in accordance with claim 1 or claim 2, wherein said article is selected from the group consisting of a lid, a lid liner, a nozzle, a gas distribution plate, a shower head, an electrostatic chuck component, a shadow frame, a substrate holding frame, a processing kit, and a chamber liner.
7. A method of reducing the plasma erosion of a semiconductor processing apparatus contacted by a halogen-containing plasma, comprising: selecting said semiconductor processing apparatus to comprise ceramic, said ceramic formed from yttrium oxide at a molar concentration ranging from about 90 mole % to about 70 mole %, and zirconium oxide at a molar concentration ranging from about 10 mole % to about 30 mole %, wherein a mean grain size of said ceramic ranges from about 2 μm to about 8 μm.
8. A method in accordance with claim 7, further comprising selecting said ceramic for said semiconductor processing apparatus to be formed from yttrium oxide at a molar concentration ranging from about 90 mole % to about 80 mole %, and zirconium oxide at a concentration ranging from about 10 mole % to about 20 mole %.
9. A method in accordance with claim 7 or claim 8, wherein said plasma erosion rate for a surface of said semiconductor processing apparatus upon exposure to a halogen-comprising plasma is less than about 0.2 μm/hr.
10. A method in accordance with claim 9, wherein said plasma erosion rate for a surface of said semiconductor processing apparatus upon exposure to a halogen-comprising plasma ranges from about 0.1 μm/hr to about 0.2 μm/hr.
11. A semiconductor processing apparatus having at least one surface exposed to a halogen-comprising plasma during a process, wherein said semiconductor processing apparatus surface is a ceramic which is resistant to erosion by halogen-containing plasmas, wherein said ceramic is formed from yttrium oxide at a molar concentration ranging from about 90 mole % to about 70 mole %, and zirconium oxide at a molar concentration ranging from about 10 mole % to about 30 mole %, wherein a mean grain size of said ceramic ranges from about 2 μm to about 8 μm.
12. A semiconductor processing apparatus in accordance with claim 11, wherein underlying said ceramic is a high purity aluminum alloy.
13. A method in accordance with claim 11, wherein said semiconductor processing apparatus is a solid ceramic semiconductor processing apparatus.
14. A semiconductor processing apparatus, said apparatus having at least one surface exposed to a halogen-comprising plasma during a process, wherein said surface is a ceramic which is resistant to erosion by halogen-containing plasmas, wherein said ceramic is formed from zirconium oxide at a molar concentration ranging from about 96 mole % to about 94 mole %, and yttrium oxide at a molar concentration ranging from about 4 mole % to about 6 mole %.
15. A semiconductor processing apparatus in accordance with claim 14, wherein underlying said ceramic is a high purity aluminum alloy.
16. A semiconductor processing apparatus in accordance with claim 14, wherein said apparatus is a solid ceramic apparatus.
17. A semiconductor processing apparatus in accordance with claim 14, wherein said apparatus is selected from the group consisting of a lid, a lid liner, a nozzle, a gas distribution plate, a shower head, an electrostatic chuck component, a shadow frame, a substrate holding frame, a processing kit, and a chamber liner.
18. A semiconductor processing apparatus in accordance with claim 14, wherein a mean grain size of said ceramic ranges from about 0.5 μm to about 8.0 μm.
19. A semiconductor processing apparatus in accordance with claim 14, wherein a flexural strength of said ceramic ranges from about 1100 MPa to about 1300 MPa.
20. A semiconductor processing apparatus in accordance with claim 14, wherein a fracture toughness of said ceramic ranges from about 10 MPa·m1/2 to about 12 MPa·m1/2.
21. A method of reducing the plasma erosion of a semiconductor processing apparatus contacted by a halogen-containing plasma, comprising: selecting said semiconductor processing apparatus to be a ceramic-comprising article, said ceramic formed from zirconium oxide at a molar concentration ranging from about 96 mole % to about 94 mole %, and yttrium oxide at a molar concentration ranging from about 4 mole % to about 6 mole %.
22. A method in accordance with claim 21, wherein said plasma erosion rate for a surface of said semiconductor processing apparatus, upon exposure to a halogen-comprising plasma, is less than about 0.4 μm/hr.
23. A method in accordance with claim 22 wherein said plasma erosion rate for a surface of said semiconductor processing apparatus, upon exposure to a halogen-comprising plasma, ranges from about 0.1 μm/hr to about 0.4 μm/hr.
24. A method in accordance with claim 21, wherein at least one surface of said semiconductor processing apparatus, which surface is said ceramic, is exposed to said halogen-comprising plasma during said semiconductor processing.
25. A method in accordance with claim 24, wherein underlying said ceramic is a high purity aluminum alloy.
26. A method in accordance with claim 24, wherein said semiconductor processing apparatus is a solid ceramic semiconductor processing apparatus.
US11/796,210 2002-02-14 2007-04-27 Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas Abandoned US20080264564A1 (en)

Priority Applications (41)

Application Number Priority Date Filing Date Title
US11/796,210 US20080264564A1 (en) 2007-04-27 2007-04-27 Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
TW100117999A TWI483291B (en) 2007-04-27 2007-07-04 Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
TW108104769A TWI695822B (en) 2007-04-27 2007-07-04 Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
TW104122059A TWI571452B (en) 2007-04-27 2007-07-04 Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
TW107103413A TWI654159B (en) 2007-04-27 2007-07-04 Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
CN2007101227597A CN101293771B (en) 2007-04-27 2007-07-04 Apparatus and method which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
TW107103418A TWI654160B (en) 2007-04-27 2007-07-04 Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
CN2012105926835A CN103102157A (en) 2007-04-27 2007-07-04 Apparatus and method which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
TW109115828A TWI744898B (en) 2007-04-27 2007-07-04 Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
TW096124359A TWI351057B (en) 2007-04-27 2007-07-04 Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
TW103132299A TWI567793B (en) 2007-04-27 2007-07-04 Apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
TW103132298A TWI562205B (en) 2007-04-27 2007-07-04 Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
TW107103401A TWI654158B (en) 2007-04-27 2007-07-04 Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
TW105136802A TWI628154B (en) 2007-04-27 2007-07-04 Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
KR1020070073619A KR100917292B1 (en) 2007-04-27 2007-07-23 Apparatus and method which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US11/890,221 US20080213496A1 (en) 2002-02-14 2007-08-02 Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
JP2008099381A JP4975676B2 (en) 2007-04-27 2008-04-07 Apparatus and method for reducing the erosion rate of surfaces exposed to halogen-containing plasmas
EP08154940A EP1988187A3 (en) 2007-04-27 2008-04-22 Apparatus and method which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
SG10201803162TA SG10201803162TA (en) 2007-04-27 2008-04-24 Apparatus and method which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
SG10201508616SA SG10201508616SA (en) 2007-04-27 2008-04-24 Apparatus and method which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
SG200803151-0A SG142320A1 (en) 2007-04-27 2008-04-24 Apparatus and method which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
KR1020090016418A KR101365139B1 (en) 2007-04-27 2009-02-26 Apparatus and method which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US12/660,068 US8034734B2 (en) 2007-04-27 2010-02-19 Semiconductor processing apparatus which is formed from yttrium oxide and zirconium oxide to produce a solid solution ceramic apparatus
US13/199,521 US8623527B2 (en) 2007-04-27 2011-08-31 Semiconductor processing apparatus comprising a coating formed from a solid solution of yttrium oxide and zirconium oxide
JP2012001609A JP5805545B2 (en) 2007-04-27 2012-01-06 Apparatus and method for reducing the erosion rate of surfaces exposed to halogen-containing plasmas
KR1020120149865A KR101428646B1 (en) 2007-04-27 2012-12-20 Apparatus and method which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US13/986,040 US9051219B2 (en) 2007-04-27 2013-03-25 Semiconductor processing apparatus comprising a solid solution ceramic formed from yttrium oxide, zirconium oxide, and aluminum oxide
KR1020130101297A KR101441865B1 (en) 2007-04-27 2013-08-26 Apparatus and method which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US13/998,723 US10242888B2 (en) 2007-04-27 2013-11-26 Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
KR20140008866A KR101491568B1 (en) 2007-04-27 2014-01-24 A sintered solid solution coating which reduces the erosion rate of surfaces exposed to halogen plasma while exhibiting improved mechanical properties
KR1020140086042A KR101456539B1 (en) 2007-04-27 2014-07-09 A sintered solid solution coating which reduces the erosion rate of surfaces exposed to halogen plasma while exhibiting improved mechanical properties
JP2015108229A JP6314110B2 (en) 2007-04-27 2015-05-28 Apparatus and method for reducing the erosion rate of surfaces exposed to halogen-containing plasmas
US14/756,839 US10622194B2 (en) 2007-04-27 2015-10-20 Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
JP2016006345A JP6259844B2 (en) 2007-04-27 2016-01-15 Apparatus and method for reducing the erosion rate of surfaces exposed to halogen-containing plasmas
JP2016239304A JP2017095350A (en) 2007-04-27 2016-12-09 Apparatus and method for reducing erosion rate of surface exposed to halogen-containing plasmas
JP2018217295A JP2019069891A (en) 2007-04-27 2018-11-20 Method and apparatus for reducing erosion rate of surface exposed to halogen-containing plasma
JP2018218140A JP2019069892A (en) 2007-04-27 2018-11-21 Method and apparatus for reducing erosion rate of surface exposed to halogen-containing plasma
US16/252,348 US10840112B2 (en) 2007-04-27 2019-01-18 Coated article and semiconductor chamber apparatus formed from yttrium oxide and zirconium oxide
US16/252,372 US10847386B2 (en) 2007-04-27 2019-01-18 Method of forming a bulk article and semiconductor chamber apparatus from yttrium oxide and zirconium oxide
US16/252,381 US10840113B2 (en) 2007-04-27 2019-01-18 Method of forming a coated article and semiconductor chamber apparatus from yttrium oxide and zirconium oxide
US17/006,361 US11373882B2 (en) 2007-04-27 2020-08-28 Coated article and semiconductor chamber apparatus formed from yttrium oxide and zirconium oxide

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/796,210 US20080264564A1 (en) 2007-04-27 2007-04-27 Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US10/898,113 Continuation-In-Part US8067067B2 (en) 2002-02-14 2004-07-22 Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US12/660,068 Continuation US8034734B2 (en) 2007-04-27 2010-02-19 Semiconductor processing apparatus which is formed from yttrium oxide and zirconium oxide to produce a solid solution ceramic apparatus

Publications (1)

Publication Number Publication Date
US20080264564A1 true US20080264564A1 (en) 2008-10-30

Family

ID=39885599

Family Applications (4)

Application Number Title Priority Date Filing Date
US11/796,210 Abandoned US20080264564A1 (en) 2002-02-14 2007-04-27 Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US12/660,068 Active US8034734B2 (en) 2007-04-27 2010-02-19 Semiconductor processing apparatus which is formed from yttrium oxide and zirconium oxide to produce a solid solution ceramic apparatus
US13/199,521 Expired - Fee Related US8623527B2 (en) 2007-04-27 2011-08-31 Semiconductor processing apparatus comprising a coating formed from a solid solution of yttrium oxide and zirconium oxide
US13/986,040 Active US9051219B2 (en) 2007-04-27 2013-03-25 Semiconductor processing apparatus comprising a solid solution ceramic formed from yttrium oxide, zirconium oxide, and aluminum oxide

Family Applications After (3)

Application Number Title Priority Date Filing Date
US12/660,068 Active US8034734B2 (en) 2007-04-27 2010-02-19 Semiconductor processing apparatus which is formed from yttrium oxide and zirconium oxide to produce a solid solution ceramic apparatus
US13/199,521 Expired - Fee Related US8623527B2 (en) 2007-04-27 2011-08-31 Semiconductor processing apparatus comprising a coating formed from a solid solution of yttrium oxide and zirconium oxide
US13/986,040 Active US9051219B2 (en) 2007-04-27 2013-03-25 Semiconductor processing apparatus comprising a solid solution ceramic formed from yttrium oxide, zirconium oxide, and aluminum oxide

Country Status (2)

Country Link
US (4) US20080264564A1 (en)
CN (1) CN101293771B (en)

Cited By (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080237543A1 (en) * 2007-03-27 2008-10-02 Ngk Insulators, Ltd. Composite material and method of producing the same
US20100129670A1 (en) * 2008-11-12 2010-05-27 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
US7833401B2 (en) 2002-01-08 2010-11-16 Applied Materials, Inc. Electroplating an yttrium-containing coating on a chamber component
US20110036874A1 (en) * 2002-02-14 2011-02-17 Applied Materials, Inc. Solid yttrium oxide-containing substrate which has been cleaned to remove impurities
US20130284373A1 (en) * 2012-04-27 2013-10-31 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US20140154465A1 (en) * 2012-12-04 2014-06-05 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US20140345526A1 (en) * 2013-05-23 2014-11-27 Applied Materials, Inc. Coated liner assembly for a semiconductor processing chamber
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US20150143677A1 (en) * 2007-04-27 2015-05-28 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
US9428424B2 (en) 2014-03-05 2016-08-30 Applied Materials, Inc. Critical chamber component surface improvement to reduce chamber particles
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9666466B2 (en) 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US9669653B2 (en) 2013-03-14 2017-06-06 Applied Materials, Inc. Electrostatic chuck refurbishment
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US20170274493A1 (en) * 2014-06-27 2017-09-28 Applied Materials, Inc. Chamber components with polished internal apertures
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9850161B2 (en) 2016-03-29 2017-12-26 Applied Materials, Inc. Fluoride glazes from fluorine ion treatment
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
CN107554010A (en) * 2017-09-29 2018-01-09 江苏天时新材料科技有限公司 Three ply board interlayer anti-rust structure
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9887121B2 (en) 2013-04-26 2018-02-06 Applied Materials, Inc. Protective cover for electrostatic chuck
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
US10157731B2 (en) 2008-11-12 2018-12-18 Applied Materials, Inc. Semiconductor processing apparatus with protective coating including amorphous phase
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
WO2019084486A1 (en) * 2017-10-27 2019-05-02 Applied Materials, Inc. Nanopowders, nanoceramic materials and methods of making and use thereof
CN110386817A (en) * 2019-08-21 2019-10-29 重庆臻宝实业有限公司 Resisting plasma corrosion ceramics and preparation method
US10468235B2 (en) 2013-09-18 2019-11-05 Applied Materials, Inc. Plasma spray coating enhancement using plasma flame heat treatment
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
CN111620692A (en) * 2020-04-15 2020-09-04 深圳市商德先进陶瓷股份有限公司 Plasma etching resistant ceramic, preparation method thereof and plasma etching equipment
US11014853B2 (en) 2018-03-07 2021-05-25 Applied Materials, Inc. Y2O3—ZrO2 erosion resistant material for chamber components in plasma environments
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
TWI628154B (en) * 2007-04-27 2018-07-01 應用材料股份有限公司 Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
KR101645043B1 (en) * 2007-10-31 2016-08-02 램 리써치 코포레이션 A plasma processing chamber, a plasma processing component and a method of manufacturing a plasma etch chamber processing component
FR2954761B1 (en) * 2009-12-24 2015-11-27 Saint Gobain Ct Recherches ZIRCONIA PELLETS POWDER
FR2954767B1 (en) * 2009-12-24 2014-01-24 Saint Gobain Ct Recherches POWDER OF ZIRCONIA AND ALUMINA PELLETS
CN102268656B (en) * 2011-08-05 2013-05-01 中微半导体设备(上海)有限公司 Sprinkler of metal organic chemical vapor deposition (MOCVD) equipment as well as manufacture method and use method thereof
US9624593B2 (en) * 2013-08-29 2017-04-18 Applied Materials, Inc. Anodization architecture for electro-plate adhesion
CN104715994B (en) * 2013-12-13 2017-08-25 中微半导体设备(上海)有限公司 Inductive type plasma process chamber and its anticorrosive insulated window and manufacture method
US10388492B2 (en) 2016-04-14 2019-08-20 Fm Industries, Inc. Coated semiconductor processing members having chlorine and fluorine plasma erosion resistance and complex oxide coatings therefor
US11017984B2 (en) 2016-04-28 2021-05-25 Applied Materials, Inc. Ceramic coated quartz lid for processing chamber
US20180251406A1 (en) * 2017-03-06 2018-09-06 Applied Materials, Inc. Sintered ceramic protective layer formed by hot pressing

Citations (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4360598A (en) * 1980-03-26 1982-11-23 Ngk Insulators, Ltd. Zirconia ceramics and a method of producing the same
US5798016A (en) * 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5902763A (en) * 1995-01-19 1999-05-11 Ube Industries, Inc. Fused ceramic composite
US6027792A (en) * 1995-10-03 2000-02-22 Kabushiki Kaisha Kobe Seiko Sho Coating film excellent in resistance to halogen-containing gas corrosion and halogen-containing plasma corrosion, laminated structure coated with the same, and method for producing the same
US6123791A (en) * 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US20010003271A1 (en) * 1999-12-10 2001-06-14 Tokyo Electron Limited Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US20020009560A1 (en) * 2000-05-30 2002-01-24 Kyocera Corporation Container for treating with corrosive-gas and plasma and method for manufacturing the same
US6447937B1 (en) * 1997-02-26 2002-09-10 Kyocera Corporation Ceramic materials resistant to halogen plasma and components using the same
US6492042B2 (en) * 2000-07-10 2002-12-10 Toshiba Ceramics Co., Ltd. Ceramics material and producing the same
US6620520B2 (en) * 2000-12-29 2003-09-16 Lam Research Corporation Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
US20030215643A1 (en) * 2001-11-20 2003-11-20 Toshiba Ceramics Co., Ltd. Plasma-resistant articles and production method thereof
US6682627B2 (en) * 2001-09-24 2004-01-27 Applied Materials, Inc. Process chamber having a corrosion-resistant wall and method
US20040060657A1 (en) * 2002-09-30 2004-04-01 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US20040149210A1 (en) * 2001-05-17 2004-08-05 Fink Steven T Cylinder-based plasma processing system
US6776873B1 (en) * 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
US6780787B2 (en) * 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
US6783875B2 (en) * 2000-04-18 2004-08-31 Ngk Insulators, Ltd. Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
US6783863B2 (en) * 1999-12-10 2004-08-31 Tocalo Co., Ltd. Plasma processing container internal member and production method thereof
US20040191545A1 (en) * 2002-01-08 2004-09-30 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US20040245098A1 (en) * 2003-06-04 2004-12-09 Rodger Eckerson Method of fabricating a shield
US20040245089A1 (en) * 2003-06-04 2004-12-09 John Lawson Method of surface treating a processing element in a processing system
US6830622B2 (en) * 2001-03-30 2004-12-14 Lam Research Corporation Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
US20050037193A1 (en) * 2002-02-14 2005-02-17 Sun Jennifer Y. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US20050056218A1 (en) * 2002-02-14 2005-03-17 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US20050123288A1 (en) * 2003-11-12 2005-06-09 Ibiden Co., Ltd. Gas injection head, method for manufacturing the same, semiconductor manufacturing device with the gas injection head and anti-corrosion product
US6942929B2 (en) * 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US20050227118A1 (en) * 2001-03-30 2005-10-13 Toshiba Ceramics Co., Ltd. Plasma resistant member
US20050274320A1 (en) * 2004-05-25 2005-12-15 Applied Materials, Inc. Erosion resistant process chamber components
US20050279457A1 (en) * 2004-06-04 2005-12-22 Tokyo Electron Limited Plasma processing apparatus and method, and plasma control unit
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US20060037536A1 (en) * 2003-10-24 2006-02-23 Toshiba Ceramics Co., Ltd. Plasma resistant member, manufacturing method for the same and method of forming a thermal spray coat
US20060040508A1 (en) * 2004-08-23 2006-02-23 Bing Ji Method to protect internal components of semiconductor processing equipment using layered superlattice materials
US20060042754A1 (en) * 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
US20060043067A1 (en) * 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
US20060073354A1 (en) * 2004-09-30 2006-04-06 Toshiba Ceramics Co., Ltd. Gas diffusion plate and manufacturing method for the same
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7163585B2 (en) * 2002-09-30 2007-01-16 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7226673B2 (en) * 2003-01-15 2007-06-05 Ngk Insulators, Ltd. Integrated sintered bodies and a method of producing the same
US20070197368A1 (en) * 2006-02-17 2007-08-23 Tosoh Corporation Transparent zirconia sintered body
US20080237543A1 (en) * 2007-03-27 2008-10-02 Ngk Insulators, Ltd. Composite material and method of producing the same
US20080264565A1 (en) * 2007-04-27 2008-10-30 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4656455A (en) * 1984-07-20 1987-04-07 Toyama Prefecture Humidity-sensing element
JPS6131352A (en) 1984-07-20 1986-02-13 富山県 Post treatment for humidity sensitive element
US5746875A (en) 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
JP3180092B2 (en) 1997-12-03 2001-06-25 松下電工株式会社 Plasma processing system and plasma processing method
JP2000001362A (en) 1998-06-10 2000-01-07 Nippon Seratekku:Kk Corrosion resistant ceramic material
JP2000012666A (en) 1998-06-19 2000-01-14 Taiheiyo Cement Corp Electrostatic chuck
US6383964B1 (en) * 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
JP3241350B2 (en) 1999-09-28 2001-12-25 太平洋セメント株式会社 Conductive ceramics and method for producing the same
JP4548887B2 (en) * 1999-12-27 2010-09-22 京セラ株式会社 Corrosion-resistant ceramic member and manufacturing method thereof
JP2001203256A (en) 2000-01-19 2001-07-27 Taiheiyo Cement Corp Wafer holding tool
JP2001244246A (en) 2000-02-29 2001-09-07 Taiheiyo Cement Corp Focus ring
JP2001322871A (en) 2000-03-07 2001-11-20 Shin Etsu Chem Co Ltd Oxide sintered body containing rare earth element and method for manufacturing the same
JP2002249864A (en) * 2000-04-18 2002-09-06 Ngk Insulators Ltd Halogen gas plasma resistant member and production method therefor
JP4651166B2 (en) 2000-06-30 2011-03-16 京セラ株式会社 Corrosion resistant material
JP4688307B2 (en) 2000-07-11 2011-05-25 コバレントマテリアル株式会社 Plasma-resistant member for semiconductor manufacturing equipment
US6613442B2 (en) * 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
EP1310466A3 (en) * 2001-11-13 2003-10-22 Tosoh Corporation Quartz glass parts, ceramic parts and process of producing those
JP2003238250A (en) 2002-02-12 2003-08-27 Yotai Refractories Co Ltd Yttria refractory
JP2003257321A (en) * 2002-03-06 2003-09-12 Pioneer Electronic Corp Plasma display panel
JP3847198B2 (en) 2002-03-27 2006-11-15 京セラ株式会社 Electrostatic chuck
WO2004003968A2 (en) 2002-06-28 2004-01-08 Tokyo Electron Limited Method and system for arc suppression in a plasma processing system
CN1412150A (en) * 2002-11-19 2003-04-23 中国科学院兰州化学物理研究所 Self-lubricating ceramic composite material and its preparation process
KR100772740B1 (en) 2002-11-28 2007-11-01 동경 엘렉트론 주식회사 Internal member of a plasma processing vessel
JP2004292270A (en) 2003-03-27 2004-10-21 Kyocera Corp Corrosion resistant member and its manufacturing method
US7141522B2 (en) 2003-09-18 2006-11-28 3M Innovative Properties Company Ceramics comprising Al2O3, Y2O3, ZrO2 and/or HfO2, and Nb2O5 and/or Ta2O5 and methods of making the same
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
JP5062942B2 (en) * 2004-01-22 2012-10-31 株式会社ニッカトー High strength conductive zirconia sintered body and method for producing the same
JP4780932B2 (en) 2004-05-25 2011-09-28 京セラ株式会社 Corrosion-resistant member, method for manufacturing the same, and member for semiconductor / liquid crystal manufacturing apparatus
CN1278989C (en) * 2005-05-25 2006-10-11 宜兴新兴锆业有限公司 Improved yttrium partially stabilized zirconia production process
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US20070032072A1 (en) * 2005-08-02 2007-02-08 Stmicroelectronics Inc. Nucleation layer deposition on semiconductor process equipment parts
JP5005317B2 (en) 2005-10-31 2012-08-22 コバレントマテリアル株式会社 Translucent yttrium oxide sintered body and method for producing the same
US8367227B2 (en) * 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity

Patent Citations (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4360598A (en) * 1980-03-26 1982-11-23 Ngk Insulators, Ltd. Zirconia ceramics and a method of producing the same
US5798016A (en) * 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5902763A (en) * 1995-01-19 1999-05-11 Ube Industries, Inc. Fused ceramic composite
US6027792A (en) * 1995-10-03 2000-02-22 Kabushiki Kaisha Kobe Seiko Sho Coating film excellent in resistance to halogen-containing gas corrosion and halogen-containing plasma corrosion, laminated structure coated with the same, and method for producing the same
US6916559B2 (en) * 1997-02-26 2005-07-12 Kyocera Corporation Ceramic material resistant to halogen plasma and member utilizing the same
US6447937B1 (en) * 1997-02-26 2002-09-10 Kyocera Corporation Ceramic materials resistant to halogen plasma and components using the same
US6352611B1 (en) * 1998-07-29 2002-03-05 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6641697B2 (en) * 1998-07-29 2003-11-04 Applied Materials, Inc Substrate processing using a member comprising an oxide of a group IIIB metal
US6123791A (en) * 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US20010003271A1 (en) * 1999-12-10 2001-06-14 Tokyo Electron Limited Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US6884516B2 (en) * 1999-12-10 2005-04-26 Tocalo Co., Ltd. Internal member for plasma-treating vessel and method of producing the same
US6783863B2 (en) * 1999-12-10 2004-08-31 Tocalo Co., Ltd. Plasma processing container internal member and production method thereof
US6783875B2 (en) * 2000-04-18 2004-08-31 Ngk Insulators, Ltd. Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
US6645585B2 (en) * 2000-05-30 2003-11-11 Kyocera Corporation Container for treating with corrosive-gas and plasma and method for manufacturing the same
US20020009560A1 (en) * 2000-05-30 2002-01-24 Kyocera Corporation Container for treating with corrosive-gas and plasma and method for manufacturing the same
US6492042B2 (en) * 2000-07-10 2002-12-10 Toshiba Ceramics Co., Ltd. Ceramics material and producing the same
US6620520B2 (en) * 2000-12-29 2003-09-16 Lam Research Corporation Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
US20050227118A1 (en) * 2001-03-30 2005-10-13 Toshiba Ceramics Co., Ltd. Plasma resistant member
US6830622B2 (en) * 2001-03-30 2004-12-14 Lam Research Corporation Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
US20040149210A1 (en) * 2001-05-17 2004-08-05 Fink Steven T Cylinder-based plasma processing system
US6682627B2 (en) * 2001-09-24 2004-01-27 Applied Materials, Inc. Process chamber having a corrosion-resistant wall and method
US6933254B2 (en) * 2001-11-20 2005-08-23 Toshiba Ceramics Co., Ltd. Plasma-resistant articles and production method thereof
US20030215643A1 (en) * 2001-11-20 2003-11-20 Toshiba Ceramics Co., Ltd. Plasma-resistant articles and production method thereof
US6942929B2 (en) * 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US20040191545A1 (en) * 2002-01-08 2004-09-30 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US20050037193A1 (en) * 2002-02-14 2005-02-17 Sun Jennifer Y. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US20050056218A1 (en) * 2002-02-14 2005-03-17 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US6776873B1 (en) * 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
US6780787B2 (en) * 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US20040060657A1 (en) * 2002-09-30 2004-04-01 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7163585B2 (en) * 2002-09-30 2007-01-16 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7226673B2 (en) * 2003-01-15 2007-06-05 Ngk Insulators, Ltd. Integrated sintered bodies and a method of producing the same
US20040245098A1 (en) * 2003-06-04 2004-12-09 Rodger Eckerson Method of fabricating a shield
US20040245089A1 (en) * 2003-06-04 2004-12-09 John Lawson Method of surface treating a processing element in a processing system
US20060037536A1 (en) * 2003-10-24 2006-02-23 Toshiba Ceramics Co., Ltd. Plasma resistant member, manufacturing method for the same and method of forming a thermal spray coat
US20050123288A1 (en) * 2003-11-12 2005-06-09 Ibiden Co., Ltd. Gas injection head, method for manufacturing the same, semiconductor manufacturing device with the gas injection head and anti-corrosion product
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US20050274320A1 (en) * 2004-05-25 2005-12-15 Applied Materials, Inc. Erosion resistant process chamber components
US20050279457A1 (en) * 2004-06-04 2005-12-22 Tokyo Electron Limited Plasma processing apparatus and method, and plasma control unit
US20060042754A1 (en) * 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
US20060040508A1 (en) * 2004-08-23 2006-02-23 Bing Ji Method to protect internal components of semiconductor processing equipment using layered superlattice materials
US20060043067A1 (en) * 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
US20060073354A1 (en) * 2004-09-30 2006-04-06 Toshiba Ceramics Co., Ltd. Gas diffusion plate and manufacturing method for the same
US20070197368A1 (en) * 2006-02-17 2007-08-23 Tosoh Corporation Transparent zirconia sintered body
US20080237543A1 (en) * 2007-03-27 2008-10-02 Ngk Insulators, Ltd. Composite material and method of producing the same
US20080264565A1 (en) * 2007-04-27 2008-10-30 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas

Cited By (115)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8110086B2 (en) 2002-01-08 2012-02-07 Applied Materials, Inc. Method of manufacturing a process chamber component having yttrium-aluminum coating
US9012030B2 (en) 2002-01-08 2015-04-21 Applied Materials, Inc. Process chamber component having yttrium—aluminum coating
US8114525B2 (en) 2002-01-08 2012-02-14 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US7833401B2 (en) 2002-01-08 2010-11-16 Applied Materials, Inc. Electroplating an yttrium-containing coating on a chamber component
US20110036874A1 (en) * 2002-02-14 2011-02-17 Applied Materials, Inc. Solid yttrium oxide-containing substrate which has been cleaned to remove impurities
US20080237543A1 (en) * 2007-03-27 2008-10-02 Ngk Insulators, Ltd. Composite material and method of producing the same
US7776774B2 (en) * 2007-03-27 2010-08-17 Ngk Insulators, Ltd. Composite material and method of producing the same
US20150143677A1 (en) * 2007-04-27 2015-05-28 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US10840113B2 (en) 2007-04-27 2020-11-17 Applied Materials, Inc. Method of forming a coated article and semiconductor chamber apparatus from yttrium oxide and zirconium oxide
US10847386B2 (en) 2007-04-27 2020-11-24 Applied Materials, Inc. Method of forming a bulk article and semiconductor chamber apparatus from yttrium oxide and zirconium oxide
US10242888B2 (en) * 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US11373882B2 (en) 2007-04-27 2022-06-28 Applied Materials, Inc. Coated article and semiconductor chamber apparatus formed from yttrium oxide and zirconium oxide
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US10840112B2 (en) 2007-04-27 2020-11-17 Applied Materials, Inc. Coated article and semiconductor chamber apparatus formed from yttrium oxide and zirconium oxide
US20100129670A1 (en) * 2008-11-12 2010-05-27 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
US9017765B2 (en) 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
US10157731B2 (en) 2008-11-12 2018-12-18 Applied Materials, Inc. Semiconductor processing apparatus with protective coating including amorphous phase
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US10336656B2 (en) 2012-02-21 2019-07-02 Applied Materials, Inc. Ceramic article with reduced surface defect density
US10364197B2 (en) 2012-02-22 2019-07-30 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US11279661B2 (en) 2012-02-22 2022-03-22 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9394615B2 (en) * 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US20130284373A1 (en) * 2012-04-27 2013-10-31 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US11587771B2 (en) 2012-07-27 2023-02-21 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US10020170B2 (en) 2012-07-27 2018-07-10 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
CN104904005A (en) * 2012-12-04 2015-09-09 应用材料公司 Substrate support assembly having a plasma resistant protective layer
US9916998B2 (en) * 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US20140154465A1 (en) * 2012-12-04 2014-06-05 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US20180151401A1 (en) * 2012-12-04 2018-05-31 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US20170271179A1 (en) * 2012-12-11 2017-09-21 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
US9669653B2 (en) 2013-03-14 2017-06-06 Applied Materials, Inc. Electrostatic chuck refurbishment
US10056284B2 (en) 2013-03-14 2018-08-21 Applied Materials, Inc. Electrostatic chuck optimized for refurbishment
US11179965B2 (en) 2013-03-14 2021-11-23 Applied Materials, Inc. Electrostatic chuck optimized for refurbishment
US9887121B2 (en) 2013-04-26 2018-02-06 Applied Materials, Inc. Protective cover for electrostatic chuck
US10541171B2 (en) 2013-04-26 2020-01-21 Applied Materials, Inc. Protective cover for electrostatic chuck
US10177023B2 (en) 2013-04-26 2019-01-08 Applied Materials, Inc. Protective cover for electrostatic chuck
US9991148B2 (en) 2013-05-07 2018-06-05 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US10304715B2 (en) 2013-05-07 2019-05-28 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US11088005B2 (en) 2013-05-07 2021-08-10 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US9666466B2 (en) 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US20140345526A1 (en) * 2013-05-23 2014-11-27 Applied Materials, Inc. Coated liner assembly for a semiconductor processing chamber
US10418229B2 (en) 2013-05-24 2019-09-17 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US10734202B2 (en) 2013-06-05 2020-08-04 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US11053581B2 (en) 2013-06-20 2021-07-06 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US20180087144A1 (en) * 2013-06-20 2018-03-29 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US10119188B2 (en) 2013-06-20 2018-11-06 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US11680308B2 (en) 2013-06-20 2023-06-20 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US10501843B2 (en) * 2013-06-20 2019-12-10 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US10796888B2 (en) 2013-07-19 2020-10-06 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US11424136B2 (en) 2013-07-20 2022-08-23 Applied Materials, Inc. Rare-earth oxide based coatings based on ion assisted deposition
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US10930526B2 (en) 2013-07-20 2021-02-23 Applied Materials, Inc. Rare-earth oxide based coatings based on ion assisted deposition
US9812341B2 (en) 2013-07-20 2017-11-07 Applied Materials, Inc. Rare-earth oxide based coatings based on ion assisted deposition
US9869012B2 (en) 2013-07-20 2018-01-16 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings
US10468235B2 (en) 2013-09-18 2019-11-05 Applied Materials, Inc. Plasma spray coating enhancement using plasma flame heat treatment
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9884787B2 (en) 2013-11-12 2018-02-06 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US10934216B2 (en) 2013-11-12 2021-03-02 Applied Materials, Inc. Rare-earth oxide based chamber material
US9617188B2 (en) * 2013-11-12 2017-04-11 Applied Material, Inc. Rare-earth oxide based coating
US9890086B2 (en) 2013-11-12 2018-02-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US10577286B2 (en) 2013-11-12 2020-03-03 Applied Materials, Inc. Rare-earth oxide based chamber material
US10584068B2 (en) 2013-11-12 2020-03-10 Applied Materials, Inc. Rare-earth oxide based chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9797037B2 (en) 2013-12-06 2017-10-24 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US11566318B2 (en) 2013-12-06 2023-01-31 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US11566319B2 (en) 2013-12-06 2023-01-31 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US11566317B2 (en) 2013-12-06 2023-01-31 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9428424B2 (en) 2014-03-05 2016-08-30 Applied Materials, Inc. Critical chamber component surface improvement to reduce chamber particles
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10563297B2 (en) 2014-04-25 2020-02-18 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9970095B2 (en) 2014-04-25 2018-05-15 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10544500B2 (en) 2014-04-25 2020-01-28 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10815562B2 (en) 2014-04-25 2020-10-27 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US11773479B2 (en) 2014-04-25 2023-10-03 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US11578398B2 (en) 2014-05-16 2023-02-14 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US10604831B2 (en) 2014-05-16 2020-03-31 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US20170274493A1 (en) * 2014-06-27 2017-09-28 Applied Materials, Inc. Chamber components with polished internal apertures
US11724353B2 (en) 2014-06-27 2023-08-15 Applied Materials, Inc. Chamber components with polished internal apertures
US10189141B2 (en) * 2014-06-27 2019-01-29 Applied Materials, Inc. Chamber components with polished internal apertures
US11370078B2 (en) 2014-06-27 2022-06-28 Applied Materials, Inc. Chamber components with polished internal apertures
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
US11769683B2 (en) 2015-11-17 2023-09-26 Applied Materials, Inc. Chamber component with protective ceramic coating containing yttrium, aluminum and oxygen
US10679885B2 (en) 2015-11-17 2020-06-09 Applied Materials, Inc. Substrate support assembly with deposited surface features
US11476146B2 (en) 2015-11-17 2022-10-18 Applied Materials, Inc. Substrate support assembly with deposited surface features
US9957192B2 (en) 2016-03-29 2018-05-01 Applied Materials, Inc. Low temperature fluoride glasses and glazes
US9850161B2 (en) 2016-03-29 2017-12-26 Applied Materials, Inc. Fluoride glazes from fluorine ion treatment
US10773995B2 (en) 2016-03-29 2020-09-15 Applied Materials, Inc. Low temperature fluoride glasses and glazes
CN107554010A (en) * 2017-09-29 2018-01-09 江苏天时新材料科技有限公司 Three ply board interlayer anti-rust structure
US20220234959A1 (en) * 2017-10-27 2022-07-28 Applied Materials, Inc. Methods of making nanopowders, nanoceramic materials and nanoceramic components
TWI816707B (en) * 2017-10-27 2023-10-01 美商應用材料股份有限公司 Nanopowders, nanoceramic materials and methods of making and use thereof
US11279656B2 (en) * 2017-10-27 2022-03-22 Applied Materials, Inc. Nanopowders, nanoceramic materials and methods of making and use thereof
WO2019084486A1 (en) * 2017-10-27 2019-05-02 Applied Materials, Inc. Nanopowders, nanoceramic materials and methods of making and use thereof
US11667578B2 (en) * 2017-10-27 2023-06-06 Applied Materials, Inc. Methods of making nanopowders, nanoceramic materials and nanoceramic components
JP2021501106A (en) * 2017-10-27 2021-01-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Nanopowder, nanoceramic materials and their manufacturing and usage methods
US20230322628A1 (en) * 2017-10-27 2023-10-12 Applied Materials, Inc. Methods of making nanopowders, nanoceramic materials and nanoceramic components
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US11667577B2 (en) 2018-03-07 2023-06-06 Applied Materials, Inc. Y2O3—ZrO2 erosion resistant material for chamber components in plasma environments
US11014853B2 (en) 2018-03-07 2021-05-25 Applied Materials, Inc. Y2O3—ZrO2 erosion resistant material for chamber components in plasma environments
CN110386817A (en) * 2019-08-21 2019-10-29 重庆臻宝实业有限公司 Resisting plasma corrosion ceramics and preparation method
CN111620692A (en) * 2020-04-15 2020-09-04 深圳市商德先进陶瓷股份有限公司 Plasma etching resistant ceramic, preparation method thereof and plasma etching equipment

Also Published As

Publication number Publication date
US8623527B2 (en) 2014-01-07
US20130224498A1 (en) 2013-08-29
CN101293771A (en) 2008-10-29
CN101293771B (en) 2013-06-26
US8034734B2 (en) 2011-10-11
US20100160143A1 (en) 2010-06-24
US9051219B2 (en) 2015-06-09
US20120034469A1 (en) 2012-02-09

Similar Documents

Publication Publication Date Title
US11373882B2 (en) Coated article and semiconductor chamber apparatus formed from yttrium oxide and zirconium oxide
US9051219B2 (en) Semiconductor processing apparatus comprising a solid solution ceramic formed from yttrium oxide, zirconium oxide, and aluminum oxide
US7696117B2 (en) Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US10622194B2 (en) Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
EP1988187A2 (en) Apparatus and method which reduce the erosion rate of surfaces exposed to halogen-containing plasmas

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SUN, JENNIFER Y.;DUAN, REN-GUAN;YUAN, JIE;AND OTHERS;REEL/FRAME:019686/0171;SIGNING DATES FROM 20070614 TO 20070618

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION