US20080217183A1 - Electropolishing metal features on a semiconductor wafer - Google Patents

Electropolishing metal features on a semiconductor wafer Download PDF

Info

Publication number
US20080217183A1
US20080217183A1 US11/716,247 US71624707A US2008217183A1 US 20080217183 A1 US20080217183 A1 US 20080217183A1 US 71624707 A US71624707 A US 71624707A US 2008217183 A1 US2008217183 A1 US 2008217183A1
Authority
US
United States
Prior art keywords
conductive
metal bumps
stack layer
electropolishing
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/716,247
Inventor
Sriram Muthukumar
Wojciech Worwag
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Priority to US11/716,247 priority Critical patent/US20080217183A1/en
Publication of US20080217183A1 publication Critical patent/US20080217183A1/en
Assigned to INTEL CORPORATION reassignment INTEL CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MUTHUKUMAR, SRIRAM, WORWAG, WOJCIECH
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • C25D5/022Electroplating of selected surface areas using masking means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23HWORKING OF METAL BY THE ACTION OF A HIGH CONCENTRATION OF ELECTRIC CURRENT ON A WORKPIECE USING AN ELECTRODE WHICH TAKES THE PLACE OF A TOOL; SUCH WORKING COMBINED WITH OTHER FORMS OF WORKING OF METAL
    • B23H5/00Combined machining
    • B23H5/06Electrochemical machining combined with mechanical working, e.g. grinding or honing
    • B23H5/08Electrolytic grinding
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25FPROCESSES FOR THE ELECTROLYTIC REMOVAL OF MATERIALS FROM OBJECTS; APPARATUS THEREFOR
    • C25F3/00Electrolytic etching or polishing
    • C25F3/16Polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/1146Plating
    • H01L2224/11462Electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/1147Manufacturing methods using a lift-off mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/118Post-treatment of the bump connector
    • H01L2224/1183Reworking, e.g. shaping
    • H01L2224/11831Reworking, e.g. shaping involving a chemical process, e.g. etching the bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00011Not relevant to the scope of the group, the symbol of which is combined with the symbol of this group
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00014Technical content checked by a classifier the subject-matter covered by the group, the symbol of which is combined with the symbol of this group, being disclosed without further technical details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01015Phosphorus [P]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01019Potassium [K]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01022Titanium [Ti]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01023Vanadium [V]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01073Tantalum [Ta]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04944th Group
    • H01L2924/04941TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04955th Group
    • H01L2924/04953TaN

Definitions

  • metal features such as copper (Cu) bumps are formed near the end of processing.
  • Such bumps act as interconnects that can be used to couple completed die on the semiconductor wafer to a package and thus act as die-package interconnects.
  • metal features such as through silicon vias (TSVs) may be present that can be used as interconnects between multiple dies within a semiconductor package, i.e., die-die interconnects.
  • TSVs through silicon vias
  • Such metal features are formed via an electroplating process to build up the features to the desired heights. These features can be tens of microns in height. However, electroplating can leave relatively rough surfaces and furthermore, variations across a wafer may cause significant inconsistencies in height between individual features. It is commonplace for electroplated Cu bumps to have bump height variations of over 10 microns. While conventional chemical mechanical polishing (CMP) methods can reduce this height variation, significant costs are incurred and potential damage can occur.
  • CMP chemical mechanical polishing
  • FIG. 1 is a cross section view of a semiconductor wafer prior to electropolishing in accordance with an embodiment of the present invention.
  • FIG. 2 is a cross section view of a semiconductor wafer after electropolishing in accordance with the embodiment of FIG. 1 .
  • FIG. 3 is a cross section view of a semiconductor wafer prior to electropolishing in accordance with another embodiment of the present invention.
  • FIG. 4 is a cross section view of a semiconductor wafer after electropolishing in accordance with the embodiment of FIG. 3 .
  • Embodiments of the present invention may use low-current electropolishing to reduce height differentials between individual metal features formed on a semiconductor wafer.
  • Such features may be, for example controlled collapse connection (C4) bumps such as C4 flip-chip bumps, through silicon vias (TSVs) or the like.
  • C4 bumps such as C4 flip-chip bumps
  • TSVs through silicon vias
  • a substantially planar bump surface may be realized across a wafer, providing improved uniformity to meet assembly tolerances when used as interconnects to a package or other die.
  • a conventional electroplating apparatus may be used for electropolishing (with a reversed current polarity and a different electrolyte to enable removal of material).
  • a current density ranging between 2 and 10 milliamperes per square centimeter (ma/cm 2 ) may be applied to the electrodes.
  • Electropolishing may occur using various electrolytic solutions.
  • a solution including phosphoric acid e.g., concentrated or full strength phosphoric acid may be used with additional components having sequestering properties with respect to the metal to be removed.
  • the electrolytic solution may have a viscosity of between approximately 10 to 40 centipoise. Note that the amount of metal to be removed may depend on various factors including the applied current density, electrolyte viscosity, polishing time and temperature and so forth.
  • a substrate 10 may have many layers formed thereon.
  • a barrier layer 20 which may be a barrier metal layer such as an aluminum or other metal layer.
  • Such layer may be formed over a dielectric layer, such as an inter-layer dielectric (ILD) for example.
  • ILD inter-layer dielectric
  • layer 20 may be formed at the completion of forming completed semiconductor devices on the wafer.
  • barrier layer 20 may include materials such as titanium (Ti), titanium nitride (TiN), nickel vanadium (NiVa), tungsten (W), tungsten nitride (WN) or tantalum nitride (TaN), although other materials are possible.
  • Barrier layer 20 may be formed using chemical vapor deposition (CVD), physical vapor deposition (PVD) or other such manner.
  • Above barrier layer 20 may be formed a metal stack 30 , which may act as a seed layer for additional metal features to be formed thereon.
  • metal stack 30 may be a copper layer, for example, formed electrolessly.
  • a mask layer such as a photoresist layer 40 may be formed and patterned to provide a plurality of openings that expose portions of stack 30 .
  • a metal feature may be formed, e.g., via electroplating.
  • a plurality of conductive features 50 a - 50 b (generically metal feature 50 ), which may be C4 bumps, TSVs or the like may be formed by the electroplating.
  • an electropolishing process may be performed to substantially planarize the plurality of metal bumps 50 .
  • the resulting wafer includes metal bumps 50 that are substantially uniform, in other words the bumps have reduced bump height differences.
  • Electropolishing of plated copper deposits may be accomplished in process called electrochemical dissolution.
  • current polarity is the opposite to that of electroplating.
  • the wafer may be at least partially immersed (i.e., the device side or fab side of a wafer including completed microelectric devices) in an electrolyte (such as described above), with an anode electrode coupled to the wafer and a cathode electrode present in the electrolyte.
  • the plated deposit facing anode is in an electrical field that pulls away metal/ions from the deposit toward the cathode.
  • some plated and neutral particles or crystals oxidize to become ions in a solution and leave the plated surface.
  • This first phase of electropolishing thus loosens up the plated structure and releases more solid particles from the plated deposit into the solution. These solid particles in the electrical field are transported to an insoluble anode. With that, the underlying electropolishing phenomenon is controlled by two steps: electrochemical reaction of metal oxidation and transport of metallic particles away from the plated part.
  • electrochemical dissolution needs a conducting solution and chemical environment that even chemically may facilitate the dissolution. This can be accomplished using an electrolyte of concentrated phosphoric acid with some compound(s) having sequestering properties with respect to plated metal.
  • the additional compounds may include citric acid, ethylenediaminetetraacetic acid (EDTA), or both.
  • the solution may be present compounds that increase the overpotential of oxygen formation preventing solution decomposition before metal dissolution.
  • Polyethylene glycol (PEG) type compounds of high molecular weight may be present in the electrolyte to inhibit oxygen formation and wet the deposit.
  • the final effect of electropolishing depends on chemistries used and current level. With the same solution, at low currents only small solid particles are removed from the surface. Such low currents may be between 2 and 4 ma/cm 2 . This phase may occur for between approximately 0.5 to 5 minutes. At mid and high currents (e.g., between approximately 4 and 10 ma/cm 2 ), deposit thickness can be dramatically reduced at much faster than plating rates. This phase may occur for between approximately 1 to 10 minutes, with the time varying based on desired thickness reduction. This can be followed by a low current step to control deposit roughness.
  • a mask layer may be removed prior to electropolishing.
  • FIG. 3 shown is a cross section view of a semiconductor wafer prior to electropolishing in accordance with another embodiment of the present invention.
  • metal bumps 50 a - 50 d on a device side of the wafer have varying degrees of height. It is further noted that no photoresist or other mask layer is present. Accordingly, the wafer may be electropolished to obtain the wafer shown in FIG. 4 .
  • metal bumps 50 may be of substantially uniform height due to the electropolishing.
  • electropolishing of metal bump features can be accomplished using either or both the options described below depending on the application.
  • the C4 patterned resist is kept intact post C4 Cu electroplating.
  • the wafers are transferred to an electropolishing bath and an anodic current is applied to the wafer.
  • electrical contact to the wafer may be made through an exposed portion of a barrier layer at an edge of the wafer. Electropolishing thus planarizes the surface of the bumps and reduces the bump height difference between the Cu bump features induced from the electroplating process.
  • the C4 patterned resist may be stripped post C4 Cu electroplating.
  • the wafers are transferred to an electropolishing bath and anodic current is applied as described above. Since there is no mask layer to protect the conductive barrier layer of the stack, these layers may also be etched away during the electropolishing.
  • the electrical current then may conduct through a non-Cu stack layer, causing a change in the potential and thus a change in the electropolishing rate.
  • electropolishing can be achieved in the same type of toolsets and similar chemistries as employed for electroplating, in contrast to CMP methods which need additional toolsets and slurries, adding cost to the process.
  • electropolishing can be applied to planarize mixed C4 bump diameter and mixed C4 pitch designs to meet flip-chip assembly tolerances.
  • electropolished features may offer better control of metal removal and thus help achieve better uniformity through higher polishing rates, scratch and residue free polishing, lower particle generation and lower waste streams than conventional CMP-based methods. Further, electropolishing is stress free compared to CMP-based methods and thus may be more beneficial for technologies which employ low-dielectric constant (k) inter-layer dielectric (ILD) architectures.
  • k low-dielectric constant
  • ILD inter-layer dielectric

Abstract

In one embodiment, the present invention includes a method for electroplating a plurality of metal bumps on a device side of a semiconductor wafer and planarizing the metal bumps by electropolishing to obtain a substantially uniform thickness for the plurality of metal bumps. Other embodiments are described and claimed.

Description

    BACKGROUND
  • In forming semiconductor chips, various processing steps are performed on a semiconductor wafer to obtain completed semiconductor dies from the wafer. Oftentimes, metal features such as copper (Cu) bumps are formed near the end of processing. Such bumps act as interconnects that can be used to couple completed die on the semiconductor wafer to a package and thus act as die-package interconnects. In other semiconductor devices metal features such as through silicon vias (TSVs) may be present that can be used as interconnects between multiple dies within a semiconductor package, i.e., die-die interconnects.
  • Typically such metal features are formed via an electroplating process to build up the features to the desired heights. These features can be tens of microns in height. However, electroplating can leave relatively rough surfaces and furthermore, variations across a wafer may cause significant inconsistencies in height between individual features. It is commonplace for electroplated Cu bumps to have bump height variations of over 10 microns. While conventional chemical mechanical polishing (CMP) methods can reduce this height variation, significant costs are incurred and potential damage can occur.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a cross section view of a semiconductor wafer prior to electropolishing in accordance with an embodiment of the present invention.
  • FIG. 2 is a cross section view of a semiconductor wafer after electropolishing in accordance with the embodiment of FIG. 1.
  • FIG. 3 is a cross section view of a semiconductor wafer prior to electropolishing in accordance with another embodiment of the present invention.
  • FIG. 4 is a cross section view of a semiconductor wafer after electropolishing in accordance with the embodiment of FIG. 3.
  • DETAILED DESCRIPTION
  • Embodiments of the present invention may use low-current electropolishing to reduce height differentials between individual metal features formed on a semiconductor wafer. Such features may be, for example controlled collapse connection (C4) bumps such as C4 flip-chip bumps, through silicon vias (TSVs) or the like. In this way, a substantially planar bump surface may be realized across a wafer, providing improved uniformity to meet assembly tolerances when used as interconnects to a package or other die.
  • In some embodiments, a conventional electroplating apparatus may be used for electropolishing (with a reversed current polarity and a different electrolyte to enable removal of material). In some embodiments, a current density ranging between 2 and 10 milliamperes per square centimeter (ma/cm2) may be applied to the electrodes. Electropolishing may occur using various electrolytic solutions. For example, in one embodiment a solution including phosphoric acid, e.g., concentrated or full strength phosphoric acid may be used with additional components having sequestering properties with respect to the metal to be removed. The electrolytic solution may have a viscosity of between approximately 10 to 40 centipoise. Note that the amount of metal to be removed may depend on various factors including the applied current density, electrolyte viscosity, polishing time and temperature and so forth.
  • Referring now to FIG. 1, shown is a cross section view of a semiconductor wafer prior to electropolishing in accordance with an embodiment of the present invention. As shown in FIG. 1, a substrate 10 may have many layers formed thereon. Shown for purposes of illustration is a barrier layer 20, which may be a barrier metal layer such as an aluminum or other metal layer. Such layer may be formed over a dielectric layer, such as an inter-layer dielectric (ILD) for example. However, in many embodiments, layer 20 may be formed at the completion of forming completed semiconductor devices on the wafer. In various embodiments, barrier layer 20 may include materials such as titanium (Ti), titanium nitride (TiN), nickel vanadium (NiVa), tungsten (W), tungsten nitride (WN) or tantalum nitride (TaN), although other materials are possible. Barrier layer 20 may be formed using chemical vapor deposition (CVD), physical vapor deposition (PVD) or other such manner.
  • Above barrier layer 20 may be formed a metal stack 30, which may act as a seed layer for additional metal features to be formed thereon. In one embodiment, metal stack 30 may be a copper layer, for example, formed electrolessly. Above stack layer 30, a mask layer such as a photoresist layer 40 may be formed and patterned to provide a plurality of openings that expose portions of stack 30.
  • Then, a metal feature may be formed, e.g., via electroplating. Specifically, as shown in FIG. 1, a plurality of conductive features 50 a-50 b (generically metal feature 50), which may be C4 bumps, TSVs or the like may be formed by the electroplating. After the electroplating, and before removal of patterned photoresist layer 40, an electropolishing process may be performed to substantially planarize the plurality of metal bumps 50. Thus as shown in FIG. 2, the resulting wafer includes metal bumps 50 that are substantially uniform, in other words the bumps have reduced bump height differences.
  • Electropolishing of plated copper deposits may be accomplished in process called electrochemical dissolution. In this process, current polarity is the opposite to that of electroplating. Accordingly, the wafer may be at least partially immersed (i.e., the device side or fab side of a wafer including completed microelectric devices) in an electrolyte (such as described above), with an anode electrode coupled to the wafer and a cathode electrode present in the electrolyte. Thus the plated deposit facing anode is in an electrical field that pulls away metal/ions from the deposit toward the cathode. On a microscopic level, some plated and neutral particles or crystals oxidize to become ions in a solution and leave the plated surface. This first phase of electropolishing thus loosens up the plated structure and releases more solid particles from the plated deposit into the solution. These solid particles in the electrical field are transported to an insoluble anode. With that, the underlying electropolishing phenomenon is controlled by two steps: electrochemical reaction of metal oxidation and transport of metallic particles away from the plated part. Naturally, the electrochemical dissolution needs a conducting solution and chemical environment that even chemically may facilitate the dissolution. This can be accomplished using an electrolyte of concentrated phosphoric acid with some compound(s) having sequestering properties with respect to plated metal. As examples, in case of copper the additional compounds may include citric acid, ethylenediaminetetraacetic acid (EDTA), or both. Further, in the solution may be present compounds that increase the overpotential of oxygen formation preventing solution decomposition before metal dissolution. Polyethylene glycol (PEG) type compounds of high molecular weight may be present in the electrolyte to inhibit oxygen formation and wet the deposit.
  • The final effect of electropolishing depends on chemistries used and current level. With the same solution, at low currents only small solid particles are removed from the surface. Such low currents may be between 2 and 4 ma/cm2. This phase may occur for between approximately 0.5 to 5 minutes. At mid and high currents (e.g., between approximately 4 and 10 ma/cm2), deposit thickness can be dramatically reduced at much faster than plating rates. This phase may occur for between approximately 1 to 10 minutes, with the time varying based on desired thickness reduction. This can be followed by a low current step to control deposit roughness.
  • In other embodiments, a mask layer may be removed prior to electropolishing. Referring now to FIG. 3, shown is a cross section view of a semiconductor wafer prior to electropolishing in accordance with another embodiment of the present invention. As shown in FIG. 3, prior to electropolishing, metal bumps 50 a-50 d on a device side of the wafer have varying degrees of height. It is further noted that no photoresist or other mask layer is present. Accordingly, the wafer may be electropolished to obtain the wafer shown in FIG. 4. In FIG. 4, note that metal bumps 50 may be of substantially uniform height due to the electropolishing.
  • Thus in various embodiments, electropolishing of metal bump features (for both C4 flip-chip and TSV's) can be accomplished using either or both the options described below depending on the application. In the first embodiment, the C4 patterned resist is kept intact post C4 Cu electroplating. The wafers are transferred to an electropolishing bath and an anodic current is applied to the wafer. In one embodiment, electrical contact to the wafer may be made through an exposed portion of a barrier layer at an edge of the wafer. Electropolishing thus planarizes the surface of the bumps and reduces the bump height difference between the Cu bump features induced from the electroplating process.
  • In the second embodiment described above, electropolishing of the bump features occurs on all exposed conductive regions unlike the first embodiment where only the surface is exposed and is electropolished. In this embodiment, the C4 patterned resist may be stripped post C4 Cu electroplating. The wafers are transferred to an electropolishing bath and anodic current is applied as described above. Since there is no mask layer to protect the conductive barrier layer of the stack, these layers may also be etched away during the electropolishing. The electrical current then may conduct through a non-Cu stack layer, causing a change in the potential and thus a change in the electropolishing rate.
  • In various embodiments, electropolishing can be achieved in the same type of toolsets and similar chemistries as employed for electroplating, in contrast to CMP methods which need additional toolsets and slurries, adding cost to the process. In some embodiments, electropolishing can be applied to planarize mixed C4 bump diameter and mixed C4 pitch designs to meet flip-chip assembly tolerances. In some embodiments, electropolished features may offer better control of metal removal and thus help achieve better uniformity through higher polishing rates, scratch and residue free polishing, lower particle generation and lower waste streams than conventional CMP-based methods. Further, electropolishing is stress free compared to CMP-based methods and thus may be more beneficial for technologies which employ low-dielectric constant (k) inter-layer dielectric (ILD) architectures.
  • Referring now to Table 1, provided are example results of processing in accordance with an embodiment of the present invention. As shown in Table 1, improved bump height variation (i.e., reduced variation, as shown by the standard deviation values) occurs after electropolishing performed as described herein.
  • TABLE 1
    Electropolished As Plated
    Bump height 50.1 50.7 53.6 43.3
    50.8 49.7 47.3 49.0
    49.1 50.5 49.0 55.2
    Average 50.0 50.3 50.0 49.2
    StDev. 0.83 0.54 3.27 5.97
  • While the present invention has been described with respect to a limited number of embodiments, those skilled in the art will appreciate numerous modifications and variations therefrom. It is intended that the appended claims cover all such modifications and variations as fall within the true spirit and scope of this present invention.

Claims (14)

1. A method comprising:
forming a barrier layer over a semiconductor wafer;
forming a conductive stack layer over the barrier layer;
patterning a mask layer over the conductive stack layer to expose a portion of the conductive stack layer;
electroplating a plurality of conductive features over the exposed conductive stack layer; and
electropolishing the plurality of conductive features by applying an anodic current to the semiconductor wafer, wherein at least a portion of the semiconductor wafer is located in an electrolytic solution.
2. The method of claim 1, further comprising removing the patterned mask layer before electropolishing the plurality of conductive features, wherein the electropolishing removes at least a portion of the conductive stack layer and the plurality of conductive features to obtain a substantially uniform height for the plurality of conductive features.
3. The method of claim 1, further comprising applying the anodic current for a predetermined time period.
4. The method of claim 1, further comprising applying the anodic current at a first level for a first predetermined time period and at a second level for a second predetermined time period.
5. The method of claim 4, further comprising applying the anodic current through an anode electrode coupled to an edge portion of the barrier layer.
6. The method of claim 5, wherein the barrier layer comprises a non-copper conductive material, the conductive stack layer comprises copper, and the plurality of conductive features comprises copper, and further comprising removing the conductive stack layer while electropolishing the plurality of conductive features, wherein the plurality of conductive features is etched at a first rate when the conductive stack layer is present and at a second rate when the conductive stack layer is not present.
7. A method comprising:
electroplating a plurality of metal bumps on a device side of a semiconductor wafer, the plurality of metal bumps comprising interconnects to a package or a second die; and
planarizing the plurality of metal bumps by electropolishing to obtain a substantially uniform thickness for the plurality of metal bumps.
8. The method of claim 7, further comprising:
forming a barrier layer on the device side of the semiconductor wafer;
forming a conductive stack layer over the barrier layer; and
patterning a mask layer over the conductive stack layer to expose a portion of the conductive stack layer and electroplating the metal bumps on the exposed portion of the conductive stack layer.
9. The method of claim 8, wherein the barrier layer comprises a non-copper conductive material, the conductive stack layer comprises copper, and the plurality of metal bumps comprises copper, and further comprising removing the conductive stack layer while electropolishing the plurality of metal bumps, wherein the plurality of metal bumps is etched at a first rate when the conductive stack layer is present and at a second rate when the conductive stack layer is not present.
10. The method of claim 8, further comprising planarizing the plurality of metal bumps while the patterned mask layer covers at least a portion of the semiconductor wafer not having the plurality of metal bumps.
11. The method of claim 8, wherein the plurality of metal bumps comprises copper bumps for interconnection to the package.
12. The method of claim 8, wherein the plurality of metal bumps comprises through silicon vias for interconnection to the second die.
13. The method of claim 8, further comprising applying an electrical current to the barrier layer, the barrier layer comprising a non-copper metal, the plurality of metal bumps comprising copper bumps formed over the barrier layer, wherein the semiconductor wafer is at least partially immersed in an electrolytic solution during the electropolishing.
14. The method of claim 13, wherein the electrolytic solution comprises phosphoric acid, polyethylene glycol, and at least one of citric acid and ethylenediaminetetraacetic acid (EDTA).
US11/716,247 2007-03-09 2007-03-09 Electropolishing metal features on a semiconductor wafer Abandoned US20080217183A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/716,247 US20080217183A1 (en) 2007-03-09 2007-03-09 Electropolishing metal features on a semiconductor wafer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/716,247 US20080217183A1 (en) 2007-03-09 2007-03-09 Electropolishing metal features on a semiconductor wafer

Publications (1)

Publication Number Publication Date
US20080217183A1 true US20080217183A1 (en) 2008-09-11

Family

ID=39740542

Family Applications (1)

Application Number Title Priority Date Filing Date
US11/716,247 Abandoned US20080217183A1 (en) 2007-03-09 2007-03-09 Electropolishing metal features on a semiconductor wafer

Country Status (1)

Country Link
US (1) US20080217183A1 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090200668A1 (en) * 2008-02-07 2009-08-13 International Business Machines Corporation Interconnect structure with high leakage resistance
US20100090339A1 (en) * 2008-09-12 2010-04-15 Kumar Ananda H Structures and Methods for Wafer Packages, and Probes
CN105895580A (en) * 2016-06-30 2016-08-24 华进半导体封装先导技术研发中心有限公司 Manufacturing technology of semi-conductor packaging metal interconnection structure
US9935069B2 (en) * 2013-06-24 2018-04-03 Lumileds Llc Reducing solder pad topology differences by planarization
US10692735B2 (en) 2017-07-28 2020-06-23 Lam Research Corporation Electro-oxidative metal removal in through mask interconnect fabrication

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5096550A (en) * 1990-10-15 1992-03-17 The United States Of America As Represented By The United States Department Of Energy Method and apparatus for spatially uniform electropolishing and electrolytic etching
US6153521A (en) * 1998-06-04 2000-11-28 Advanced Micro Devices, Inc. Metallized interconnection structure and method of making the same
US6395152B1 (en) * 1998-07-09 2002-05-28 Acm Research, Inc. Methods and apparatus for electropolishing metal interconnections on semiconductor devices
US20020088709A1 (en) * 2000-06-29 2002-07-11 Akihisa Hongo Method and apparatus for forming interconnects, and polishing liquid and polishing method
US20030080431A1 (en) * 2001-10-27 2003-05-01 Cyprian Uzoh Method and structure for thru-mask contact electrodeposition
US6558231B1 (en) * 2000-10-17 2003-05-06 Faraday Technology Marketing Goup, Llc Sequential electromachining and electropolishing of metals and the like using modulated electric fields
US6664197B2 (en) * 1998-03-13 2003-12-16 Semitool, Inc. Process for etching thin-film layers of a workpiece used to form microelectronic circuits or components
US20050136635A1 (en) * 2003-12-17 2005-06-23 Sergey Savastiouk Attachment of integrated circuit structures and other substrates to substrates with vias
US6951599B2 (en) * 2002-01-22 2005-10-04 Applied Materials, Inc. Electropolishing of metallic interconnects
US7025866B2 (en) * 2002-08-21 2006-04-11 Micron Technology, Inc. Microelectronic workpiece for electrochemical deposition processing and methods of manufacturing and using such microelectronic workpieces

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5096550A (en) * 1990-10-15 1992-03-17 The United States Of America As Represented By The United States Department Of Energy Method and apparatus for spatially uniform electropolishing and electrolytic etching
US6664197B2 (en) * 1998-03-13 2003-12-16 Semitool, Inc. Process for etching thin-film layers of a workpiece used to form microelectronic circuits or components
US6153521A (en) * 1998-06-04 2000-11-28 Advanced Micro Devices, Inc. Metallized interconnection structure and method of making the same
US6395152B1 (en) * 1998-07-09 2002-05-28 Acm Research, Inc. Methods and apparatus for electropolishing metal interconnections on semiconductor devices
US20020088709A1 (en) * 2000-06-29 2002-07-11 Akihisa Hongo Method and apparatus for forming interconnects, and polishing liquid and polishing method
US6558231B1 (en) * 2000-10-17 2003-05-06 Faraday Technology Marketing Goup, Llc Sequential electromachining and electropolishing of metals and the like using modulated electric fields
US20030080431A1 (en) * 2001-10-27 2003-05-01 Cyprian Uzoh Method and structure for thru-mask contact electrodeposition
US6951599B2 (en) * 2002-01-22 2005-10-04 Applied Materials, Inc. Electropolishing of metallic interconnects
US7025866B2 (en) * 2002-08-21 2006-04-11 Micron Technology, Inc. Microelectronic workpiece for electrochemical deposition processing and methods of manufacturing and using such microelectronic workpieces
US20050136635A1 (en) * 2003-12-17 2005-06-23 Sergey Savastiouk Attachment of integrated circuit structures and other substrates to substrates with vias

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090200668A1 (en) * 2008-02-07 2009-08-13 International Business Machines Corporation Interconnect structure with high leakage resistance
US20090298281A1 (en) * 2008-02-07 2009-12-03 International Business Machines Corporation Interconnect structure with high leakage resistance
US20100090339A1 (en) * 2008-09-12 2010-04-15 Kumar Ananda H Structures and Methods for Wafer Packages, and Probes
US9935069B2 (en) * 2013-06-24 2018-04-03 Lumileds Llc Reducing solder pad topology differences by planarization
TWI622108B (en) * 2013-06-24 2018-04-21 皇家飛利浦有限公司 Reducing solder pad topology differences by planarization
CN105895580A (en) * 2016-06-30 2016-08-24 华进半导体封装先导技术研发中心有限公司 Manufacturing technology of semi-conductor packaging metal interconnection structure
US10692735B2 (en) 2017-07-28 2020-06-23 Lam Research Corporation Electro-oxidative metal removal in through mask interconnect fabrication
US11610782B2 (en) 2017-07-28 2023-03-21 Lam Research Corporation Electro-oxidative metal removal in through mask interconnect fabrication

Similar Documents

Publication Publication Date Title
DE69836313T2 (en) Method of selectively filling trenches with conductive metal
US6566250B1 (en) Method for forming a self aligned capping layer
TWI474436B (en) Process for through silicon via filling
CN100378954C (en) Semiconductor component and method for manufacture copper lead
US20100206737A1 (en) Process for electrodeposition of copper chip to chip, chip to wafer and wafer to wafer interconnects in through-silicon vias (tsv)
US20010032787A1 (en) Method to plate C4 to copper stud
US10037940B2 (en) Reliable packaging and interconnect structures
Datta Electrochemical processing technologies in chip fabrication: challenges and opportunities
US20080217183A1 (en) Electropolishing metal features on a semiconductor wafer
US7585760B2 (en) Method for forming planarizing copper in a low-k dielectric
US20130249096A1 (en) Through silicon via filling
KR20030091967A (en) Electrochemical methods for polishing copper films on semiconductor substrates
CN111254478B (en) Electrochemical plating system and process execution method, method for forming semiconductor structure
JP4536809B2 (en) Copper plated high aspect ratio vias and methods of manufacturing the same
US20220359415A1 (en) Superconducting through substrate vias
JP2000294518A (en) Manufacture of semiconductor device
KR100788352B1 (en) Method for Forming Copper Line of Semiconductor
KR102301933B1 (en) Fabricating method of Semiconductor device
US20220301980A1 (en) Through substrate via structure and manufacturing method thereof, redistribution layer structure and manufacturing method thereof
CN110957265A (en) Semiconductor interconnection structure and preparation method thereof
US20040196697A1 (en) Method of improving surface mobility before electroplating
US20080213995A1 (en) Ultrasonic electropolishing of conductive material
US20040118692A1 (en) Plating-rinse-plating process for fabricating copper interconnects
US20040235297A1 (en) Reverse electroplating for damascene conductive region formation
US7312149B2 (en) Copper plating of semiconductor devices using single intermediate low power immersion step

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTEL CORPORATION,CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:MUTHUKUMAR, SRIRAM;WORWAG, WOJCIECH;REEL/FRAME:024285/0688

Effective date: 20070306

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION