US20080210273A1 - Batch photoresist dry strip and ash system and process - Google Patents

Batch photoresist dry strip and ash system and process Download PDF

Info

Publication number
US20080210273A1
US20080210273A1 US12/117,981 US11798108A US2008210273A1 US 20080210273 A1 US20080210273 A1 US 20080210273A1 US 11798108 A US11798108 A US 11798108A US 2008210273 A1 US2008210273 A1 US 2008210273A1
Authority
US
United States
Prior art keywords
plasma
gas
column
processing
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/117,981
Inventor
Raymond Joe
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to US12/117,981 priority Critical patent/US20080210273A1/en
Publication of US20080210273A1 publication Critical patent/US20080210273A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3342Resist stripping

Abstract

Photoresist stripping is provided that employs batch processing to maximize throughput and an upstream plasma activation source using vapor or gas processing to efficiently create reactive species and minimize chemical consumption. An upstream plasma activation source efficiently creates reactive species remote from the photoresist on the substrate surfaces. Either a remote plasma generator upstream of the processing chamber or an integrated plasma unit within the processing chamber upstream of the processing volume may be used. Plasma processing gas is introduced from a side of a stack of wafers and flows across the wafers. Processing gas may be forced across the surfaces of the wafers in the column to an exhaust on the opposite side of the column, and the column may be rotated. An upstream plasma activation source enables a strip process to occur at low temperatures, for example below 600 degrees C., which are particularly advantageous in BEOL process flow. Integrated processes that combine dry and wet-like sequential processes are also provided. Oxidizing, reducing or fluorine-containing plasma can be employed. Wet stripping, using, for example, wafer vapor or ozone or both may be included, simultaneously or sequentially.

Description

  • This application is a divisional of U.S. patent application Ser. No. 11/269,007, filed on Nov. 8, 2005, the entirety of which is incorporated by reference herein.
  • FIELD OF THE INVENTION
  • This invention relates to the removal of photoresist in semiconductor wafer processing.
  • BACKGROUND OF THE INVENTION
  • Part of semiconductor manufacturing includes the patterning of structures onto silicon wafers. The patterning is carried out by depositing a layer of photoresist material, then exposing a pattern onto the photoresist layer to selectively and lithographically alter the properties of the layer, then etching the exposed photoresist layer to selectively remove an underlying material in accordance with the exposed pattern, then removing the remaining photoresist.
  • Photoresist removal has been carried out by either batch wet-processing or single-wafer plasma dry processing. Batch wet-processing is commonly found in front-end on-line systems (FEOL) while single-wafer dry processing is commonly found in back-end on-line systems (BEOL). Initially, batch wet-stripping was used for resist removal, but processing costs were high and processing requirements were not satisfactorily met. Batch barrel plasma ashers were then tried, but wafer damage resulted. This led to the use of remote-plasma single-wafer dry systems. Companies providing the current dry stripping systems include Mattson, Novellus, Applied Materials and Axcelis. Patents describing some of these systems include U.S. Pat. Nos. 6,693,043, 6,638,875, 6,281,135, 6,630,406 and 6,834,656.
  • Wet strip systems today still provide low throughput, high chemical consumption cost and high equipment cost and require an excessive oxidizing environment. Dry plasma strip systems still suffer from plasma damage to the wafer.
  • Accordingly, there is a need for photoresist stripping that overcomes problems with the prior art.
  • SUMMARY OF THE INVENTION
  • In accordance with principles of the present invention, photoresist stripping is provided that employs batch processing to maximize throughput and an upstream plasma activation source using vapor or gas processing to efficiently create reactive species and minimize chemical consumption.
  • In accordance with the described embodiments of the present invention, a plasma activation source is provided upstream of the substrates to be processed to efficiently create reactive species remote from the photoresist on the substrate surfaces. In particular, a batch photoresist dry strip and ash system and method applies a plasma generated either by a remote plasma generator upstream of the processing chamber or by an integrated plasma unit within the processing chamber upstream of the processing volume in which are situated the substrates to be processed.
  • In accordance with illustrated embodiments of the invention, plasma processing gas is introduced from a side of the wafers and caused to flow across the wafers. In certain embodiments, a plurality of wafers are stacked in a column in a vacuum processing chamber with the plasma generated or introduced along one side of the column and forced to flow across the surfaces of the wafers in the column to an exhaust on the opposite side of the column. The wafers are stacked in the column and may be rotated on a common axis as the processing gas flows across the wafers.
  • In certain embodiments, the use of an upstream plasma activation source enables a strip process to occur at low temperatures, for example below 600 degrees C., which are particularly advantageous in BEOL process flow.
  • Embodiments of the present invention combine batch photoresist-strip processing, plasma generation of reactive species remote from the wafers, and cross-flow of the reactive species across the wafers. With certain embodiments, integrated processes that combine dry and wet-like sequential processes are also provided. The integrated processes can include thermal processes, and can utilize wet-like strip processes using, for example, wafer vapor and ozone.
  • The use of remote plasma activation allows the advantages of single wafer plasma dry strip processes to be applied to a batch processing system in addition to reducing wafer damage.
  • Various embodiments of the process of the invention include providing oxidizing, reducing or fluorine-containing plasma environment, and providing damage minimization to advanced materials such as low-k films and copper.
  • The invention provides the advantages that a conventional single-wafer dry-strip system provides over wet-strip systems, such as reduced chemical consumption and reduced chemical disposal as well as providing less damage to underlying advanced materials such as low-k film and copper. At the same time, the invention provides the advantages of wet-strip processes over dry-strip processes, such as the ability for water vapor and ozone processing to be used in a non-plasma environment in the same system.
  • Embodiments of the invention may use a hot wall process chamber, which can mitigate residue buildup and effectively increase system uptime.
  • Systems according to the present invention can utilize a smaller footprint apparatus than certain prior art strip systems.
  • These and other objects and advantages of the present invention will be more readily apparent from the following detailed description of illustrated embodiments of the invention.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a diagram of one embodiment of a batch photoresist dry strip and ash system according to principles of the present invention.
  • FIG. 2 is a diagram similar to FIG. 1 of another embodiment of a batch photoresist dry strip and ash system according to principles of the present invention.
  • FIG. 3 is another diagram similar to FIGS. 1 and 2 of still another embodiment of a batch photoresist dry strip and ash system according to principles of the present invention.
  • FIG. 3A is a cross-sectional plan diagram of the embodiment of FIG. 3.
  • FIG. 3B is a cross-sectional elevational diagram of the embodiment of FIG. 3.
  • FIG. 4 is a flowchart of a batch photoresist dry strip and ash process according to principles of the present invention.
  • DETAILED DESCRIPTION OF THE DRAWINGS
  • FIG. 1 illustrates one embodiment of a photo-resist stripping processing apparatus 100 according to principles of the present invention. The apparatus 100 is a batch processing apparatus that includes a process chamber 110 that contains a process tube 125. The chamber 110 has an exhaust port 180 connected to a vacuum pumping system (not shown) that is configured to maintain a pre-determined atmospheric or below atmospheric pressure in the processing chamber 110. A substrate holder is in the form of a column 135 contained in the tube 125 and configured to hold a plurality of semiconductor wafer substrates 40 in a vertical stack.
  • A gas source 198 is provided to supply a plurality of gases into the process tube 25 through the gas supply lines. The gas source 198 is connected to a remote plasma generating unit 196 which forms a plasma in the process gas and delivers the gas to a gas supply line 145 through which the plasma gas is introduced into the chamber 110.
  • In the apparatus 100, plasma containing gas is introduced into the chamber 110 through inlet line 145 from which it flows along one side 197 of the tube 125. From the one side 197 of the tube 125, the plasma gas flows across the surfaces of the wafers 40 supported on the column 135 to an opposite side 199 of the tube 125, from which it flows through the outlet 180 out of the chamber 110.
  • Another embodiment of a processing apparatus 10 according to the present invention is illustrated in the simplified block diagram of FIG. 2. The apparatus 10 is a batch processing apparatus that includes a process chamber 11 and a process tube 25 that has an upper end connected to an exhaust pipe 80 and a lower end hermetically joined to a lid 27 of a cylindrical manifold 12. The exhaust pipe 80 discharges gases from the process tube 25 to a vacuum pumping system 88 to maintain a pre-determined atmospheric or below atmospheric pressure in the processing system 10. A substrate holder is in the form of a column 35 and is configured for holding a plurality of semiconductor wafer substrates 40 in a vertical stack, in respective horizontal planes at vertical intervals, in the process tube 25. The substrate holder 35 resides on a turntable 26 that is mounted on a rotating shaft 21 penetrating the lid 27 and driven by a motor 28. The turntable 26 can be rotated during processing or, alternately, can be stationary during processing. The lid 27 is mounted on an elevator 22 for transferring the substrate holder 35 in and out of the process tube 25. When the lid 27 is positioned at its uppermost position, the lid 27 is adapted to close the open end of the manifold 12.
  • A plurality of gas supply lines can be arranged around the manifold 12 to supply a plurality of gases into the process tube 25 through the gas supply lines. In FIG. 2, only one gas supply line 45 among the plurality of gas supply lines is shown. The gas supply line 45 is connected to a gas injection system 94. A cylindrical heat reflector 30 is disposed so as to cover the reaction tube 25. The heat reflector 30 has a mirror-finished inner surface to suppress dissipation of radiation heat radiated by main heater 20, bottom heater 65, top heater 15, and exhaust pipe heater 70. A helical cooling water passage (not shown) is formed in the wall of the process chamber 10 as a cooling medium passage.
  • A vacuum pumping system 88 comprises a vacuum pump 86, a trap 84, and automatic pressure controller 82. The vacuum pump 86 can, for example, include a dry vacuum pump capable of a pumping speed up to at least 20,000 liters per second. During processing, gases can be introduced into the process chamber 10 via the gas injection system 94 and the process pressure can be adjusted by the automatic pressure controller 82. The trap 84 can collect unreacted precursor material and by-products from the process chamber 11.
  • The process monitoring system 92 comprises a sensor 75 capable of real-time process monitoring. A controller 90 includes a microprocessor, a memory, and a digital I/O port capable of generating control voltages sufficient to communicate and activate inputs to the processing system 10 as well as monitor outputs from the processing system 10. Moreover, the controller 90 is coupled to and can exchange information with gas injection system 94, motor 28, process monitoring system 92, heaters 20, 15, 65, and 70, and vacuum pumping system 88.
  • Coupled to an inlet of the gas injection system 94 is a plasma generator 96, which has an inlet to which is coupled a process gas source 98. Gas from the process gas source 98 is injected into the plasma generator 96 which forms a plasma in the process gas. This plasma containing gas is introduced into the gas injection system 94, which injects the gas into the chamber 11 along one side 97 of the column 35, from which the plasma containing gas flows across the surfaces of wafers 40 supported on the column 35 to the opposite side 99 of the column 35, from which it is exhausted through the exhaust pipe 80.
  • FIGS. 3, 3A and 3B illustrate another embodiment of the invention in which a processing apparatus 200 is provided with in integrated plasma generator in the form of a plasma generating manifold 296, where a plasma is produced in an injected gas, for example, by coupling RF energy thereto. The apparatus 200 includes a processing chamber 210 having a process tube 225 therein. An exhaust pipe 280 is connected to the chamber 210 to discharge gases from the process tube 225 from along one side 299 of the process tube 225 to a vacuum pumping system (not shown). A substrate holder is provided in the form of a column 235 that is configured to hold a plurality of semiconductor wafer substrates 40 in a vertical stack. The substrate holder 235 resides on a turntable 226 that is mounted on a rotating shaft 221 driven by a motor (not shown). The turntable 226 can be rotated for rotating the wafers 40 thereon during processing.
  • One or more gas supply sources can be connected to an inlet manifold 212 to supply one or more gases into a plasma gas generating manifold 296 along one side 297 of the process tube 225, from which side the plasma process gas can flow across the surfaces of wafers 40 on the column 235 while the wafers 40 are being rotated on the column 235 by the rotating turntable 226. The gas flows across the wafers 40 removing the photo-resist therefrom, then flows to the exhaust collecting manifold 299 on the opposite side of the tube 225 from the plasma generating manifold 297. From the exhaust manifold 299 the gases and removed photo-resist are removed from the chamber 210 via the exhaust 280.
  • With the systems 10, 100 and 200 described above, process gas to be input into the plasma unit can include, but is not necessarily limited to, the following examples: For certain applications including certain photoresist removal applications, an oxidizing environment may be best suited to remove the photoresist. In these situations, to create the oxidizing environment, process gases such as O2, O2 and inert gas, NO, NO and inert gas, N2O, or N2O and inert gas are introduced into a plasma generating unit or manifold. The inert gas can be, but is not necessarily limited to, N2, He or Ar. The oxidizing process gas can comprise 0.01 to 50% by volume of the total gas.
  • For certain other applications, including photoresist removal applications, a reducing environment may be best suited to remove the photoresist. For these situations, to create the reducing environment, process gases, such as H2, H2 and inert gas, NH3, or NH3, are introduced into the plasma generating unit or manifold. The inert gas can be, but is not necessarily limited to, N2, He, or Ar. The reducing process gas can be 0.01 to 50% by volume of the total gas.
  • For certain other applications, including photoresist removal applications, a fluorine-containing environment might be best suited to remove the photoresist. For these situations, to create the fluorine-containing environment, process gases such as F2, F2 and inert gas, NF3, NF3 and inert gas, CF4, or CF4 and inert gas can be introduced into the plasma generating unit or manifold. The inert gas can be, but is not necessarily limited to, N2, He, and Ar. The fluorine-containing process gas can be 0.01 to 50% by volume of the total gas.
  • For still other applications that may include photoresist removal, an oxidizing or reducing environment with a small amount of fluorine or fluorine-containing compounds may be best suited to remove the photoresist. For these situations, a fluorine-containing gas such as F2, F2 and inert gas, NF3, NF3 and inert gas, CF4, or CF4 and inert gas may be introduced into the plasma generating unit or manifold at a compositional percentage between 0.01% and 50% by volume. The remaining compositional volume may be made up of either an oxidizing or reducing gas as described above. The inert gas can be, but is not necessarily limited to, N2, He, or Ar.
  • Total flows of such gases can range from 100 sccm to 50,000 sccm during the stripping process. Preferred gas flow range lies in the 1000 to 10,000 sccm range. Pressure can range from 50 milliTorr to 650 Torr range. Preferred pressure range lies in the 100 milliTorr to 10 Torr range. Temperature can range from 80 C to 900 C. Preferred temperature range lies in the 100 C to 600 C range.
  • The plasma gas can be caused to flow across the substrates from one side to another from which it can be removed from the process chamber through an exhaust manifold. Prior art using multiple substrates relied on diffusion of the etching gases to reach the substrates. Herein, the reactive gas flows across the substrate surface by convection or convection and diffusion. At the same time, substrates can be rotating during the process.
  • The processes described above may proceed in accordance with the steps set forth in the flow chart of FIG. 4.
  • For certain applications in which combination dry-plasma and wet-strip processes are determined to be best, high temperature water vapor, ozone, or a combination of water vapor and ozone, can assist in the removal of the photoresist. The water vapor or ozone process would be similar to a wet strip process, but in this case, the water would be in a vapor state rather than a liquid state. In this case, the water vapor or ozone or both may be generated by a third party vendor and can be equivalently substituted with radical oxygen generated by the plasma generating unit. The water vapor and ozone would then react on the substrate surface to remove photoresist. The process conditions (gas flow, temperature, pressure) would be similar to those set forth above. The water vapor or ozone may used in place of, in combination with, or in sequence with, the plasma alternatives described above.
  • In certain embodiments of the invention, sequential processing is enabled. For example, a photoresist can have a top layer of heavily carbonized nature while the bottom layer might be of a normal hydrogenated photoresist nature. Typically, semiconductor manufacturers would need to employ plasma resist stripping techniques on the upper layer in equipment suitable for that process and then use wet stripping techniques on the bottom layer in other equipment suitable for that process. With the present invention, one can employ a sequential dry-etch and wet-strip-like sequence, with water vapor and ozone or plasma stripping following a dry plasma environment stripping process to improve the removal of the resist.
  • Although only certain exemplary embodiments of this invention have been described in detail above, those skilled in the art will readily appreciate that many modifications are possible in the exemplary embodiments without materially departing from the novel teachings and advantages of this invention. Accordingly, all such modifications are intended to be included within the scope of this invention.

Claims (10)

1. An apparatus for removing photo-resist from semiconductor wafers comprising:
a vacuum chamber having a substrate-support column therein for supporting a plurality of semiconductor wafers in a vertical stack thereon;
a processing gas inlet coupled to the chamber and configured to introduce process gas into the chamber along one side of the column;
an exhaust outlet coupled to the chamber and configured to remove gas from the chamber from a side thereof opposite said one side;
a plasma generator situated in-line with the processing gas inlet and operable to form a plasma in process gas being introduced along said one side of the column; and
a gas flow control system coupled to the inlet and the outlet and configured to flow process gas containing plasma from said one side of the column and horizontally across surfaces of wafers supported on the column and toward the outlet.
2. The apparatus of claim 1 wherein:
the substrate-support column being rotatable about a vertical axis to rotate the semiconductor wafers supported thereon.
3. The apparatus of claim 1 wherein:
the plasma generator includes a remote plasma generating unit connected to the inlet upstream thereof and operable to form a plasma in process gas flowing from a source thereof to the processing gas inlet.
4. The apparatus of claim 1 wherein:
the plasma generator includes a plasma generating system situated in the chamber between the processing gas inlet and the column and operable to form a plasma in process gas flowing from the inlet to the wafers supported on the column.
5. The apparatus of claim 1 further comprising:
a wet strip process fluid supply connected to the processing chamber and operable to supply ozone, water vapor or a mixture containing ozone and water vapor to the processing chamber.
6. The apparatus of claim 1 wherein:
the gas flow control system is operable to flow a reactive gas plasma at a rate of from 1,000 sccm to 10,000 sccm through the chamber, and maintaining pressure in the chamber at from 100 milliTorr to 10 Torr.
7. The apparatus of claim 1 wherein:
the column includes a temperature control system operable to maintain temperature of wafers on the column in the range of from 100 degrees C. to 600 degrees C.
8. The apparatus of claim 1 further comprising:
a source of reactive gas connected to the inlet.
9. The apparatus of claim 8 wherein the source of reactive gas includes:
means for providing to the plasma gas generator either:
oxidizing chemistry, or
reducing chemistry, or
fluorine-containing chemistry, or
oxidizing fluorine-containing chemistry, or
reducing fluorine-containing chemistry.
10. The apparatus of claim 8 wherein the source of reactive gas includes:
means for providing to the plasma gas generator either:
oxidizing chemistry containing either O2, NO, N2O, or inert gas in combination with O2, NO, or N2O, or
reducing chemistry containing either H2, NH3, or inert gas in combination with H2 or NH3, or
either F2, NF3, CF4, or inert gas in combination with F2, NF3 or CF4.
US12/117,981 2005-11-08 2008-05-09 Batch photoresist dry strip and ash system and process Abandoned US20080210273A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/117,981 US20080210273A1 (en) 2005-11-08 2008-05-09 Batch photoresist dry strip and ash system and process

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/269,007 US7387968B2 (en) 2005-11-08 2005-11-08 Batch photoresist dry strip and ash system and process
US12/117,981 US20080210273A1 (en) 2005-11-08 2008-05-09 Batch photoresist dry strip and ash system and process

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/269,007 Division US7387968B2 (en) 2005-11-08 2005-11-08 Batch photoresist dry strip and ash system and process

Publications (1)

Publication Number Publication Date
US20080210273A1 true US20080210273A1 (en) 2008-09-04

Family

ID=37875497

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/269,007 Expired - Fee Related US7387968B2 (en) 2005-11-08 2005-11-08 Batch photoresist dry strip and ash system and process
US12/117,981 Abandoned US20080210273A1 (en) 2005-11-08 2008-05-09 Batch photoresist dry strip and ash system and process

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/269,007 Expired - Fee Related US7387968B2 (en) 2005-11-08 2005-11-08 Batch photoresist dry strip and ash system and process

Country Status (4)

Country Link
US (2) US7387968B2 (en)
JP (1) JP2009515366A (en)
TW (1) TWI349965B (en)
WO (1) WO2007056369A2 (en)

Cited By (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110006034A1 (en) * 2009-07-13 2011-01-13 Applied Materials, Inc. Method for removing implanted photo resist from hard disk drive substrates
US20110143170A1 (en) * 2009-12-10 2011-06-16 Applied Materials, Inc. Methods for substrate surface planarization during magnetic patterning by plasma immersion ion implantation
US8273259B1 (en) * 2009-01-17 2012-09-25 Novellus Systems, Inc. Ashing method
WO2018222771A1 (en) * 2017-06-02 2018-12-06 Applied Materials, Inc. Dry stripping of boron carbide hardmask
US10179941B1 (en) 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10234630B2 (en) 2017-07-12 2019-03-19 Applied Materials, Inc. Method for creating a high refractive index wave guide
US10269571B2 (en) 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10636677B2 (en) 2017-08-18 2020-04-28 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10636669B2 (en) 2018-01-24 2020-04-28 Applied Materials, Inc. Seam healing using high pressure anneal
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US10685830B2 (en) 2017-11-17 2020-06-16 Applied Materials, Inc. Condenser system for high pressure processing system
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10720341B2 (en) 2017-11-11 2020-07-21 Micromaterials, LLC Gas delivery system for high pressure processing chamber
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10854483B2 (en) 2017-11-16 2020-12-01 Applied Materials, Inc. High pressure steam anneal processing apparatus
US10957533B2 (en) 2018-10-30 2021-03-23 Applied Materials, Inc. Methods for etching a structure for semiconductor applications
US10998200B2 (en) 2018-03-09 2021-05-04 Applied Materials, Inc. High pressure annealing process for metal containing materials
US11177128B2 (en) 2017-09-12 2021-11-16 Applied Materials, Inc. Apparatus and methods for manufacturing semiconductor structures using protective barrier layer
US11227797B2 (en) 2018-11-16 2022-01-18 Applied Materials, Inc. Film deposition using enhanced diffusion process
US11581183B2 (en) 2018-05-08 2023-02-14 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US11749555B2 (en) 2018-12-07 2023-09-05 Applied Materials, Inc. Semiconductor processing system
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
KR102660954B1 (en) * 2016-10-26 2024-04-26 에스케이하이닉스 주식회사 Plasma Processing Apparatus And Method of Cleaning Native Oxide Using The Same

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100909750B1 (en) * 2005-03-01 2009-07-29 가부시키가이샤 히다치 고쿠사이 덴키 Substrate processing apparatus and semiconductor device manufacturing method
JP5019741B2 (en) * 2005-11-30 2012-09-05 東京エレクトロン株式会社 Semiconductor device manufacturing method and substrate processing system
WO2007111348A1 (en) * 2006-03-28 2007-10-04 Hitachi Kokusai Electric Inc. Substrate treating apparatus
WO2008073954A2 (en) * 2006-12-12 2008-06-19 Applied Materials, Inc. Wet photoresist stripping process and apparatus
JP5568212B2 (en) * 2007-09-19 2014-08-06 株式会社日立国際電気 Substrate processing apparatus, coating method therefor, substrate processing method, and semiconductor device manufacturing method
WO2009039551A1 (en) * 2007-09-26 2009-04-02 Silverbrook Research Pty Ltd Method of removing photoresist
US20090078675A1 (en) * 2007-09-26 2009-03-26 Silverbrook Research Pty Ltd Method of removing photoresist
DE102007059717B4 (en) 2007-12-12 2011-02-03 R3T Gmbh Rapid Reactive Radicals Technology Device and method for the production of microcomponents and use of such a device
US20090197424A1 (en) * 2008-01-31 2009-08-06 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
JP5508701B2 (en) * 2008-08-28 2014-06-04 岩谷産業株式会社 Semiconductor processing apparatus and processing method
JP2011077209A (en) * 2009-09-30 2011-04-14 Casio Computer Co Ltd Method of removing resist film and method of manufacturing display device
US8119522B1 (en) 2010-11-08 2012-02-21 International Business Machines Corporation Method of fabricating damascene structures
KR101445224B1 (en) * 2012-09-18 2014-09-29 피에스케이 주식회사 Apparatus and method for treating substrate
KR101931692B1 (en) * 2017-10-11 2018-12-21 주식회사 유진테크 Batch type plasma substrate processing apparatus
US10998205B2 (en) * 2018-09-14 2021-05-04 Kokusai Electric Corporation Substrate processing apparatus and manufacturing method of semiconductor device
US11703229B2 (en) * 2018-12-05 2023-07-18 Yi-Ming Hung Temperature adjustment apparatus for high temperature oven

Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4812201A (en) * 1986-07-25 1989-03-14 Tokyo Electron Limited Method of ashing layers, and apparatus for ashing layers
US5015330A (en) * 1989-02-28 1991-05-14 Kabushiki Kaisha Toshiba Film forming method and film forming device
US5217560A (en) * 1991-02-19 1993-06-08 Tokyo Electron Limited Vertical type processing apparatus
US5225378A (en) * 1990-11-16 1993-07-06 Tokyo Electron Limited Method of forming a phosphorus doped silicon film
US5284547A (en) * 1991-01-22 1994-02-08 Tokyo Electron Limited Plasma-process system with batch scheme
US5773201A (en) * 1987-08-19 1998-06-30 Fujitsu Limited Method of stripping a resist mask
US6204194B1 (en) * 1998-01-16 2001-03-20 F.T.L. Co., Ltd. Method and apparatus for producing a semiconductor device
US6281135B1 (en) * 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
US20030045131A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US20030164143A1 (en) * 2002-01-10 2003-09-04 Hitachi Kokusai Electric Inc. Batch-type remote plasma processing apparatus
US20030186517A1 (en) * 2002-04-01 2003-10-02 Mikio Takagi Method of and apparatus for manufacturing semiconductor device
US6630406B2 (en) * 2001-05-14 2003-10-07 Axcelis Technologies Plasma ashing process
US6633132B2 (en) * 2001-01-23 2003-10-14 Wafermasters Inc. Plasma gereration apparatus and method
US6693043B1 (en) * 2002-09-20 2004-02-17 Novellus Systems, Inc. Method for removing photoresist from low-k films in a downstream plasma system
US6834656B2 (en) * 2001-05-23 2004-12-28 Axcelis Technology, Inc. Plasma process for removing polymer and residues from substrates

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05251391A (en) * 1992-03-04 1993-09-28 Tokyo Electron Tohoku Kk Plasma processing device for semiconductor wafer
JP2764690B2 (en) * 1994-05-20 1998-06-11 東京エレクトロン株式会社 Ashing method and ashing device
KR100377711B1 (en) 1998-01-28 2003-03-26 애논 인코포레이티드 Process for ashing organic materials from substrates
JP2001102369A (en) * 1999-09-28 2001-04-13 Sony Corp Resist-removing method
JP4700224B2 (en) * 2001-05-24 2011-06-15 芝浦メカトロニクス株式会社 Resist stripping device
JP2003142462A (en) * 2001-11-05 2003-05-16 Shibaura Mechatronics Corp Plasma treatment device
JP4329403B2 (en) * 2003-05-19 2009-09-09 東京エレクトロン株式会社 Plasma processing equipment
JP2005064443A (en) * 2003-08-18 2005-03-10 Ipb:Kk Substrate treatment method and substrate treatment apparatus

Patent Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4812201A (en) * 1986-07-25 1989-03-14 Tokyo Electron Limited Method of ashing layers, and apparatus for ashing layers
US5773201A (en) * 1987-08-19 1998-06-30 Fujitsu Limited Method of stripping a resist mask
US5015330A (en) * 1989-02-28 1991-05-14 Kabushiki Kaisha Toshiba Film forming method and film forming device
US5225378A (en) * 1990-11-16 1993-07-06 Tokyo Electron Limited Method of forming a phosphorus doped silicon film
US5284547A (en) * 1991-01-22 1994-02-08 Tokyo Electron Limited Plasma-process system with batch scheme
US5217560A (en) * 1991-02-19 1993-06-08 Tokyo Electron Limited Vertical type processing apparatus
US6204194B1 (en) * 1998-01-16 2001-03-20 F.T.L. Co., Ltd. Method and apparatus for producing a semiconductor device
US6281135B1 (en) * 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
US6638875B2 (en) * 1999-08-05 2003-10-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
US6633132B2 (en) * 2001-01-23 2003-10-14 Wafermasters Inc. Plasma gereration apparatus and method
US6630406B2 (en) * 2001-05-14 2003-10-07 Axcelis Technologies Plasma ashing process
US6834656B2 (en) * 2001-05-23 2004-12-28 Axcelis Technology, Inc. Plasma process for removing polymer and residues from substrates
US20030045131A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US20030164143A1 (en) * 2002-01-10 2003-09-04 Hitachi Kokusai Electric Inc. Batch-type remote plasma processing apparatus
US20030186517A1 (en) * 2002-04-01 2003-10-02 Mikio Takagi Method of and apparatus for manufacturing semiconductor device
US6693043B1 (en) * 2002-09-20 2004-02-17 Novellus Systems, Inc. Method for removing photoresist from low-k films in a downstream plasma system

Cited By (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8273259B1 (en) * 2009-01-17 2012-09-25 Novellus Systems, Inc. Ashing method
US20110006034A1 (en) * 2009-07-13 2011-01-13 Applied Materials, Inc. Method for removing implanted photo resist from hard disk drive substrates
US8354035B2 (en) 2009-07-13 2013-01-15 Applied Materials, Inc. Method for removing implanted photo resist from hard disk drive substrates
US20110143170A1 (en) * 2009-12-10 2011-06-16 Applied Materials, Inc. Methods for substrate surface planarization during magnetic patterning by plasma immersion ion implantation
KR102660954B1 (en) * 2016-10-26 2024-04-26 에스케이하이닉스 주식회사 Plasma Processing Apparatus And Method of Cleaning Native Oxide Using The Same
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10529603B2 (en) 2017-03-10 2020-01-07 Micromaterials, LLC High pressure wafer processing systems and related methods
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US11705337B2 (en) 2017-05-25 2023-07-18 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10529585B2 (en) 2017-06-02 2020-01-07 Applied Materials, Inc. Dry stripping of boron carbide hardmask
WO2018222771A1 (en) * 2017-06-02 2018-12-06 Applied Materials, Inc. Dry stripping of boron carbide hardmask
US10234630B2 (en) 2017-07-12 2019-03-19 Applied Materials, Inc. Method for creating a high refractive index wave guide
US10269571B2 (en) 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
US10179941B1 (en) 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
US11469113B2 (en) 2017-08-18 2022-10-11 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11462417B2 (en) 2017-08-18 2022-10-04 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11018032B2 (en) 2017-08-18 2021-05-25 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11694912B2 (en) 2017-08-18 2023-07-04 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10636677B2 (en) 2017-08-18 2020-04-28 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11177128B2 (en) 2017-09-12 2021-11-16 Applied Materials, Inc. Apparatus and methods for manufacturing semiconductor structures using protective barrier layer
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
US11527421B2 (en) 2017-11-11 2022-12-13 Micromaterials, LLC Gas delivery system for high pressure processing chamber
US10720341B2 (en) 2017-11-11 2020-07-21 Micromaterials, LLC Gas delivery system for high pressure processing chamber
US11756803B2 (en) 2017-11-11 2023-09-12 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
US10854483B2 (en) 2017-11-16 2020-12-01 Applied Materials, Inc. High pressure steam anneal processing apparatus
US11610773B2 (en) 2017-11-17 2023-03-21 Applied Materials, Inc. Condenser system for high pressure processing system
US10685830B2 (en) 2017-11-17 2020-06-16 Applied Materials, Inc. Condenser system for high pressure processing system
US10636669B2 (en) 2018-01-24 2020-04-28 Applied Materials, Inc. Seam healing using high pressure anneal
US11881411B2 (en) 2018-03-09 2024-01-23 Applied Materials, Inc. High pressure annealing process for metal containing materials
US10998200B2 (en) 2018-03-09 2021-05-04 Applied Materials, Inc. High pressure annealing process for metal containing materials
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US11581183B2 (en) 2018-05-08 2023-02-14 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US11361978B2 (en) 2018-07-25 2022-06-14 Applied Materials, Inc. Gas delivery module
US11110383B2 (en) 2018-08-06 2021-09-07 Applied Materials, Inc. Gas abatement apparatus
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US10957533B2 (en) 2018-10-30 2021-03-23 Applied Materials, Inc. Methods for etching a structure for semiconductor applications
US11227797B2 (en) 2018-11-16 2022-01-18 Applied Materials, Inc. Film deposition using enhanced diffusion process
US11749555B2 (en) 2018-12-07 2023-09-05 Applied Materials, Inc. Semiconductor processing system
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film

Also Published As

Publication number Publication date
WO2007056369A3 (en) 2007-07-05
WO2007056369A2 (en) 2007-05-18
TW200731399A (en) 2007-08-16
US7387968B2 (en) 2008-06-17
US20070105392A1 (en) 2007-05-10
JP2009515366A (en) 2009-04-09
TWI349965B (en) 2011-10-01

Similar Documents

Publication Publication Date Title
US7387968B2 (en) Batch photoresist dry strip and ash system and process
TWI427684B (en) Methods and apparatus for in-situ substrate processing
US7604042B2 (en) Cooling mechanism with coolant, and treatment device with cooling mechanism
US9735002B2 (en) Integrated apparatus for efficient removal of halogen residues from etched substrates
US6255222B1 (en) Method for removing residue from substrate processing chamber exhaust line for silicon-oxygen-carbon deposition process
US7402523B2 (en) Etching method
TWI415188B (en) Collecting unit for semiconductor process
US20090320884A1 (en) Controls of ambient environment during wafer drying using proximity head
EP1691408A2 (en) Method of and program for manufacturing an electronic device
US20070238301A1 (en) Batch processing system and method for performing chemical oxide removal
US20060150432A1 (en) Multi-zone shower head for drying single semiconductor substrate
JPH028361A (en) Treatment apparatus and method
JP2008192643A (en) Substrate treating equipment
JPH1174258A (en) Method and device for obtaining final point in plasma cleaning process
TW201025482A (en) Apparatus for efficient removal of halogen residues from etched substrates
CN1295504A (en) Apparatus and method for cleaning semiconductor wafers
KR20150101927A (en) Cleaning method for plasma processing apparatus
US6277235B1 (en) In situ plasma clean gas injection
JPH1072672A (en) Non-plasma type chamber cleaning method
US7279431B2 (en) Vapor phase etching MEMS devices
TWI398920B (en) Surface treatment of substrates
US20090212014A1 (en) Method and system for performing multiple treatments in a dual-chamber batch processing system
JP2008117867A (en) Substrate processing method, and substrate processing system
US20020025684A1 (en) Gaseous process for surface preparation
US20050284572A1 (en) Heating system for load-lock chamber

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION