US20080131789A1 - Method for photomask fabrication utilizing a carbon hard mask - Google Patents

Method for photomask fabrication utilizing a carbon hard mask Download PDF

Info

Publication number
US20080131789A1
US20080131789A1 US11/565,271 US56527106A US2008131789A1 US 20080131789 A1 US20080131789 A1 US 20080131789A1 US 56527106 A US56527106 A US 56527106A US 2008131789 A1 US2008131789 A1 US 2008131789A1
Authority
US
United States
Prior art keywords
layer
hard mask
etching
chromium
processing chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US11/565,271
Other versions
US20080280212A9 (en
US7718539B2 (en
Inventor
Ajay Kumar
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US11/565,271 priority Critical patent/US7718539B2/en
Publication of US20080131789A1 publication Critical patent/US20080131789A1/en
Publication of US20080280212A9 publication Critical patent/US20080280212A9/en
Application granted granted Critical
Publication of US7718539B2 publication Critical patent/US7718539B2/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/72Repair or correction of mask defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma

Definitions

  • the present invention generally relates to a method for plasma etching chromium. More specifically, the present invention provides a method for etching chromium layer through a carbon hard mask for photomask fabrication.
  • IC integrated circuits
  • a series of reusable masks, or photomasks are created from these patterns in order to transfer the design of each chip layer onto a semiconductor substrate during the manufacturing process.
  • Mask pattern generation systems use precision lasers or electron beams to image the design of each layer of the chip onto a respective mask.
  • the masks are then used much like photographic negatives to transfer the circuit patterns for each layer onto a semiconductor substrate.
  • These layers are built up using a sequence of processes and translate into the tiny transistors and electrical circuits that comprise each completed chip. Thus, any defects in the mask may be transferred to the chip, potentially adversely affecting performance. Defects that are severe enough may render the mask completely useless.
  • a set of 15 to 30 masks is used to construct a chip and can be used repeatedly.
  • a mask is typically a glass or a quartz substrate that has a layer of chromium on one side.
  • the mask may also contain a layer of silicon nitride (SiN) doped with molybdenum (Mb).
  • SiN silicon nitride
  • Mb molybdenum
  • the chromium layer is covered with an anti-reflective coating and a photosensitive resist.
  • the circuit design is written onto the mask by exposing portions of the resist to ultraviolet light, making the exposed portions soluble in a developing solution.
  • the soluble portion of the resist is then removed, allowing the exposed underlying chromium to be etched.
  • the etch process removes the chromium and anti-reflective layers from the mask at locations where the resist was removed, i.e., the exposed chromium is removed.
  • quartz phase shift mask Another mask utilized for patterning is known as a quartz phase shift mask.
  • the quartz phase shift mask is similar to the mask described above, except that alternating adjacent areas of quartz regions exposed through the patterned chromium layer are etched to a depth about equal to half the wavelength of light which will be utilized to transfer the circuit patterns to a substrate during fabrication.
  • the quartz phase shift mask As the light is shown through the quartz phase shift mask to expose resist disposed on the substrate, the light impinging in the resist through one opening in the mask is 180 degrees out of phase relative to the light passing through the immediately adjacent opening. Therefore, light that may be scattered at the edges of the mask opening is cancelled out by the 180 degree light scattering at the edge of the adjacent opening, causing a tighter distribution of light in a predefined region of the resist.
  • masks used for chromeless etch lithography also utilize the phase shift of light passing through quartz portions of two masks to sequentially image the resist, thereby improving the light distribution utilized to develop the resist pattern.
  • etch process known as dry etching, reactive ion etching, or plasma etching
  • plasma is used to enhance a chemical reaction and etch the patterned chromium area of the mask.
  • conventional chromium etch processes often suffer etch bias problems due to attack on the photoresist material utilized to pattern the chromium. As the photoresist is attacked during the chromium etch, the critical dimension of patterned photoresist is not accurately transferred to the chromium layer.
  • Hard masks have been recently used to provide more accurate critical dimension (CD) transfer during chromium etching for photomask fabrication.
  • existing chromium etch processes have poor selectivity to carbon hard mask materials.
  • conventional chromium etch chemistries include oxygen, carbon hard mask materials are often etched as fast or faster than the chromium layers, resulting in unacceptable CD control, thus, making conventional chromium etch processes unsuitable for photomask fabrication.
  • a method of forming a photomask includes providing a substrate having a patterned carbon hard mask disposed over a chromium layer and a quartz layer on a substrate support disposed in a processing chamber, introducing a process gas containing chlorine and carbon monoxide into the processing chamber, forming a plasma of the process gas, biasing the substrate, etching the chromium layer exposed through said patterned carbon hard mask to expose portions of the quartz layer, and removing the hard mask layer.
  • a method of etching a chromium layer includes providing a substrate having a chromium layer partially exposed through a patterned carbon hard mask layer, flowing a process gas containing chlorine and carbon monoxide into a processing chamber, and maintaining a plasma of the process gas to etch the chromium layer though the patterned carbon hard mask layer.
  • FIG. 1 is a schematic sectional view of one embodiment of an etch reactor suitable for etching a chromium layer
  • FIG. 2 depicts one embodiment of a flow diagram for a method of fabricating a photomask in accordance with one embodiment of the present invention
  • FIGS. 3A-3G depict a series of schematic, partial cross-sectional views of a film stack fabricated into a photomask accordance with the method of FIG. 2 ;
  • FIG. 4 is a schematic diagram of one embodiment of a processing system, e.g., a cluster tool, including the reactor of FIG. 1 .
  • Embodiments of the present invention provide an improved chromium etching process and a method for photomask fabrication.
  • the methods utilize a chromium etch chemistry which has high selectivity to carbon hard mask materials.
  • FIG. 1 depicts a schematic diagram of one embodiment of an etch processing chamber 100 in which a method of chromium etching of the present invention may be practiced.
  • Suitable reactors that may be adapted for use with the teachings disclosed herein include, for example, the Decoupled Plasma Source (DPS®) II reactor, or the Tetra I and Tetra II Photomask etch systems, all of which are available from Applied Materials, Inc. of Santa Clara, Calif.
  • the etch processing chamber 100 may also be used as a processing module of a processing system 170 as shown in FIG. 4 , such as, for example, a Centura® integrated semiconductor wafer processing system, also available from Applied Materials, Inc.
  • the processing system may also include a first chamber 172 suitable for ashing and a second chamber suitable for polymer deposition 174 .
  • suitable ashing and deposition chambers include AXIOM HTTM and Tetra II processing chambers, also available from Applied Materials, Inc.
  • the particular embodiment of the processing chamber 100 shown herein is provided for illustrative purposes and should not be used to limit the scope of the invention.
  • the processing chamber 100 generally comprises a process chamber body 102 having a substrate pedestal 124 , and a controller 146 .
  • the chamber body 102 has a conductive wall 104 that supports a substantially flat dielectric ceiling 108 .
  • Other embodiments of the processing chamber 100 may have other types of ceilings, e.g., a dome-shaped ceiling.
  • An antenna 110 having one or more inductive coils, such as two co-axial elements 110 A and 110 B, is disposed above the ceiling 108 .
  • the coaxial elements 110 A and 110 B may be selectively controlled.
  • the antenna 110 is coupled through a first matching network 114 to a plasma power source 112 .
  • the plasma power source 112 is typically capable of producing up to about 3000 Watts (W) at a tunable frequency in a range from about 50 kHz to about 13.56 MHz. In one embodiment, the plasma power source 112 provides about 100 to about 600 W of inductively coupled RF power, and in another embodiment, the plasma power source 112 provides about 250 to about 600 W of inductively coupled RF power.
  • the substrate pedestal (cathode) 124 is coupled through a second matching network 142 to a biasing power source 140 .
  • the biasing source 140 provides between about zero to about 600 W at a tunable pulse frequency in the range of about 1 to about 10 kHz.
  • the biasing source 140 is capable of producing a pulsed RF power output.
  • the biasing source 140 may produce a pulsed DC power output. It is contemplated that the source 140 may also be configured to provide a constant DC and/or RF power output.
  • the biasing source 140 is configured to provide pulsed RF power less than about 600 Watts at a frequency between about 1 to about 10 kHz, with a duty cycle between about 10 to about 95 percent. In another embodiment, the biasing source 140 is configured to provide pulsed RF power between about 10 to about 150 W, at a frequency between about 2 to about 5 kHz, with a duty cycle between about 80 to about 95 percent. In yet another embodiment, the biasing source provides a pulsed RF power of about 10 W.
  • the substrate support pedestal 124 includes an electrostatic chuck 160 .
  • the electrostatic chuck 160 comprises at least one clamping electrode 132 and is controlled by a chuck power supply 166 .
  • the substrate pedestal 124 may comprise substrate retention mechanisms such as a susceptor clamp ring, a mechanical chuck, and the like.
  • a gas panel 120 is coupled to the processing chamber 100 to provide process and/or other gases to the interior of the chamber body 102 .
  • the gas panel 120 is coupled to one or more inlets 116 formed in a channel 118 in the sidewall 104 of the chamber body 102 . It is contemplated that the one or more inlets 116 may be provided in other locations, for example, in the ceiling 108 of the processing chamber 100 .
  • the gas panel 120 is adapted to provide process gas through the inlets 116 and into the interior of the chamber body 102 .
  • a plasma is formed of the process gas in the chamber body 102 and maintained through inductive coupling of power from the plasma power source 112 .
  • the plasma may alternatively be formed remotely or ignited by other methods.
  • the process gas provided from the gas panel 120 includes at least one chlorine containing gas and carbon monoxide (CO) gas. Examples of chlorine containing gases include Cl 2 , BCl 3 , and HCl, among others.
  • the pressure in the processing chamber 100 is controlled using a throttle valve 162 and a vacuum pump 164 .
  • the vacuum pump 164 and throttle valve 162 are capable of maintaining chamber pressures in the range of about 1 to about 20 mTorr.
  • the temperature of the wall 104 may be controlled using liquid-containing conduits (not shown) that run through the wall 104 .
  • Wall temperature is generally maintained at about 65 degrees Celsius.
  • the chamber wall 104 is formed from a metal (e.g., aluminum, stainless steel, and the like) and is coupled to an electrical ground 106 .
  • the processing chamber 100 also comprises conventional systems for process control, internal diagnostic, end point detection, and the like. Such systems are collectively shown as support systems 154 .
  • a reticle adapter 182 is used to secure a substrate (such as a reticle or other workpiece) 122 onto the substrate support pedestal 124 .
  • the reticle adapter 182 generally includes a lower portion 184 milled to cover an upper surface of the pedestal 124 (for example, the electrostatic chuck 160 ) and a top portion 186 having an opening 188 that is sized and shaped to hold the substrate 122 .
  • the opening 188 is generally substantially centered with respect to the pedestal 124 .
  • the adapter 182 is generally formed from a single piece of etch resistant, high temperature resistant material such as polyimide ceramic or quartz.
  • a suitable reticle adapter is disclosed in U.S. Pat. No. 6,251,217, issued on Jun. 26, 2001, and incorporated herein by reference.
  • An edge ring 126 may cover and/or secure the adapter 182 to the pedestal 124 .
  • a lift mechanism 138 is used to lower or raise the adapter 182 , and hence, the substrate 122 , onto or off of the substrate support pedestals 124 .
  • the lift mechanism 162 comprises a plurality of lift pins (one lift pin 130 is shown) that travel through respective guide holes 136 .
  • the temperature of the substrate 122 is controlled by stabilizing the temperature of the substrate pedestal 124 .
  • the substrate support pedestal 124 comprises a heater 144 and an optional heat sink 128 .
  • the heater 144 may be one or more fluid conduits configured to flow a heat transfer fluid therethrough.
  • the heater 144 may include at least one heating element 134 that is regulated by a heater power supply 168 .
  • a backside gas e.g., helium (He)
  • He helium
  • the backside gas is used to facilitate heat transfer between the pedestal 124 and the substrate 122 .
  • the pedestal 124 may be heated by the embedded heater 144 to a steady-state temperature, which in combination with the helium backside gas, facilitates uniform heating of the substrate 122 .
  • the controller 146 comprises a central processing unit (CPU) 150 , a memory 148 , and support circuits 152 for the CPU 150 and facilitates control of the components of the processing chamber 100 and, as such, of the etch process, as discussed below in further detail.
  • the controller 146 may be one of any form of general-purpose computer processor that can be used in an industrial setting for controlling various chambers and sub-processors.
  • the memory 148 of the CPU 150 may be one or more of readily available memory such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote.
  • the support circuits 152 are coupled to the CPU 150 for supporting the processor in a conventional manner.
  • circuits include cache, power supplies, clock circuits, input/output circuitry and subsystems, and the like.
  • the inventive method is generally stored in the memory 148 or other computer-readable medium accessible to the CPU 150 as a software routine.
  • software routine may also be stored and/or executed by a second CPU (not shown) that is remotely located from the hardware being controlled by the CPU 150 .
  • FIG. 2 depicts one embodiment of a flow diagram for a method 200 of fabricating a photomask 320 as shown in FIGS. 3A-G in accordance with one embodiment of the present invention.
  • the method 200 is generally stored in the memory 148 , typically as a software routine.
  • the method 200 of the present invention is discussed as being implemented as a software routine, some or all of the method steps that are disclosed therein may be performed in hardware as well as by the software controller.
  • the invention may be implemented in software as executed upon a computer system, in hardware as an application specific integrated circuit or other type of hardware implementation, or a combination of software and hardware.
  • the method 200 begins at step 202 when substrate 122 is placed on a support pedestal 124 .
  • the substrate 122 generally comprises a film stack 300 of the photomask structure being fabricated.
  • the film stack 300 includes an optically transparent silicon based material, such as quartz (i.e., silicon dioxide (SiO2)) layer 302 , having an opaque light-shielding chromium layer 304 , known as a photomask material, processed to form a patterned mask on the surface of the quartz layer 302 .
  • the chromium layer 304 may be chromium and/or chromium oxynitride.
  • the substrate 122 may also include an attenuating layer 306 (shown in phantom), such as silicon nitride (SiN) doped with molybdenum (Mo) or Molybdenum silicon (MoSi), interposed between the quartz layer 302 and chromium layer 304 , as shown in FIG. 3A .
  • an attenuating layer 306 shown in phantom
  • SiN silicon nitride
  • Mo molybdenum
  • MoSi Molybdenum silicon
  • a carbon hard mask layer 308 is deposited on the substrate 122 utilizing a chemical vapor depositing process as shown in FIG. 3B .
  • the carbon hard mask layer 308 has a thickness of, but not limited to, about 50 ⁇ to about 1000 ⁇ .
  • the carbon hard mask layer 308 may be a high-temperature inorganic carbon material, referred to as a-carbon.
  • the mask layer 308 may be a material sold under trade name Advanced Patterning Film (APF ), by Applied Materials, Inc., located in Santa Clara, Calif.
  • API Advanced Patterning Film
  • a carbon hard mask layer 308 is deposited by heating a gas mixture comprising one or more hydrocarbon compounds and an inert gas to thermally decompose the one or more hydrocarbon compounds and an inert gas to thermally decompose the one or more hydrocarbon compounds in the gas mixture to form an amorphous carbon layer.
  • Suitable hydrocarbon compounds include gases having the general formula C x H y , wherein x has a range of 2 to 4 and y has a range of 2 to 10.
  • the gas mixture may be heated to a temperature between about 100 to about 700° C.
  • a bias power may be configured to engineer the stress of the deposited material to improve adhesion of the hard mask layer 308 to the underlying film. Particularly, as the critical dimensions of the photomask approach the 45 nm range, poor adherence of the carbon hard mask layer 308 which promotes etch bias will result in unacceptable transfer of CDs from the masking materials to the photomask.
  • the carbon hard mask layer 308 may include a dielectric anti-reflective coating (DARC) layer used to control the reflection of light during a lithographic patterning process.
  • the DARC layer comprises silicon dioxide (SiO 2 ), silicon oxynitride (SiON), silicon nitride (Si 3 N 4 ), and the like.
  • a hard mask layer includes ⁇ -carbon film and a DARC layer having a combined thickness of about 1800 Angstroms.
  • a resist layer 310 is patterned over the carbon hard mask layer 308 , as commonly known in the art.
  • the resist layer may be patterned by any suitable method.
  • the carbon hard mask layer 308 is etched using the patterned photoresist mask 310 layer as an etch mask, as shown in FIG. 3D .
  • the carbon hard mask layer 308 may be etched by providing hydrogen bromide (HBr) at a rate of 20 to 200 sccm, oxygen (O 2 ) at a rate of 10 to 40 sccm (i.e., a HBr:O 2 flow ratio ranging from 1:2 to 20:1), and argon (Ar) at a rate of 20 to 200 sccm, applying power to an inductively coupled antenna between 200 to 1500 W, applying a cathode bias power between 50 and 200 W, and maintaining a wafer temperature between 50 and 200 degrees Celsius at a pressure in the process chamber between 2 and 20 mTorr.
  • HBr hydrogen bromide
  • oxygen oxygen
  • Ar argon
  • One process recipe provides HBr at a rate of 60 sccm, O 2 at a rate of 26 sccm, (i.e., a HBr:O 2 flow ratio of about 2.3:1), and Ar at a rate of 60 sccm, applies 600 W of power to the antenna, 60 W of a bias power, maintains a wafer temperature of 80 degrees Celsius, and maintains a pressure of 4 mTorr.
  • the photoresist mask 310 is removed from the carbon hard mask layer 308 , as shown in FIG. 3E .
  • the photoresist mask 310 may be removed contemporarily with the etching of the carbon hard mask layer 308 at step 208 .
  • the photoresist mask 310 may be removed in-situ the hard mask etch chamber by exposure to an oxygen containing plasma.
  • the photoresist mask 310 may alternatively be left on the film stack 300 .
  • the photoresist 310 will eventually be consumed during subsequent etch processes, or be removed with the patterned carbon hard mask layer 308 at step 214 as described below.
  • the chromium layer 304 is etched using the patterned carbon hard mask layer 308 as an etch mask.
  • FIG. 3F depicts the film stack 300 with the chromium layer 304 etched.
  • the etch step 212 utilizes a plasma formed from a chlorine containing gas and carbon monoxide (CO) introduced into the process chamber 100 .
  • exemplary chlorine containing gas may include one or more of Cl 2 , BCl 3 , and HCl, among others.
  • the chromium layer 304 is etched at step 212 by providing C; 2 at a rate of 50 to 1000 standard cubic centimeters per minute (sccm) and CO at a rate of 10 to 500 sccm.
  • the chromium layer 304 is etched by providing Cl 2 at a rate of 20 to 400 sccm and CO at a rate of 100 to 300 sccm .
  • One specific process recipe provides Cl 2 at a rate of 300 sccm , CO at a rate of 150 sccm.
  • the pressure in the process chamber is maintained at between 2 and 50 mTorr, and in one embodiment, between 2 and 10 mTorr. In yet another embodiment, the pressure is maintained at around 3 mTorr.
  • a pulsed bias power is applied to the support pedestal 124 to bias the substrate 122 .
  • the substrate 122 is biased with a pulsed RF power of less than about 100 W, and in a second example, the substrate 122 is biased with a pulsed RF of about 8 W.
  • the bias power may be pulsed with a frequency and duty cycle as described above, for example, with a frequency in the range of about 1 to about 10 kHz, and with a duty cycle between about 10 to about 95 percent.
  • the pulsed bias power may be DC and/or RF.
  • the biasing source 140 is provides pulsed RF power between about 5 to about 25 W, at a frequency between about 2 to about 5 kHz, with a duty cycle between about 80 to about 95 percent. In yet another embodiment, the biasing source provides a pulsed RF power of about 10 W.
  • plasma formed of the process gases
  • the chamber 100 by applying RF power of between about 100 to about 1000 W from the plasma power source 112 to the antenna 110 .
  • the plasma is maintained by applying RF power of between 100 to about 500 W.
  • the RF power is applied at around 300 W. It is contemplated that the plasma may be ignited by any number of methods.
  • the chromium layer 304 exposed on the substrate 122 through the patterned carbon hard mask layer 308 is etched until an endpoint is reached.
  • the endpoint may be determined by time, optical interferometry, chamber gas emission spectrograph or by other suitable methods.
  • the carbon hard mask layer 308 is removed to form a photomask 320 .
  • the carbon hard mask layer 308 may be removed in-situ the processing chamber 170 in which the etching step 212 was performed.
  • a carbon hard mask layer 308 may be removed by exposure to an oxygen containing plasma in-situ processing chamber 170 following the chromium layer etch.
  • the carbon hard mask layer 308 may be removed using a plasma formed from hydrogen bromide and oxygen.
  • a HBr:O 2 flow ratio may range between about 1:10 to 10:1. Argon may also be present in the plasma.
  • the plasma is energized with a source power of 20 to 1000 W and a bias power of 0 to 300 W, while the temperature of the substrate is maintained between about 20 to about 80 degrees Celsius.
  • a plasma formed from hydrogen (H 2 ) or a mixture of oxygen and a diluent gas such as argon (Ar) may be used to remove the carbon hard mask layer 308 .
  • a method for etching chromium layer has been provided that advantageously improves critical dimension transfer by high selectivity of the chromium layer to the carbon hard mask layer. Accordingly, the method of etching a chromium layer with improved CD control described herein advantageously facilitates fabrication of photomask suitable for patterning features having small critical dimensions.

Abstract

Methods for forming a photomask using a carbon hard mask are provided. In one embodiment, a method of forming a photomask includes etching a chromium layer through a patterned carbon hard mask layer in the presence of a plasma formed from a process gas containing chlorine and carbon monoxide.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is related to U.S. patent application Ser. No. 11/238,424, filed Sep. 28, 2005 (Attorney Docket No. APPM/10365), which is herein incorporated by reference in its entirety.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention generally relates to a method for plasma etching chromium. More specifically, the present invention provides a method for etching chromium layer through a carbon hard mask for photomask fabrication.
  • 2. Description of the Related Art
  • In the manufacture of integrated circuits (IC), or chips, patterns representing different layers of the chip are created by a chip designer. A series of reusable masks, or photomasks, are created from these patterns in order to transfer the design of each chip layer onto a semiconductor substrate during the manufacturing process. Mask pattern generation systems use precision lasers or electron beams to image the design of each layer of the chip onto a respective mask. The masks are then used much like photographic negatives to transfer the circuit patterns for each layer onto a semiconductor substrate. These layers are built up using a sequence of processes and translate into the tiny transistors and electrical circuits that comprise each completed chip. Thus, any defects in the mask may be transferred to the chip, potentially adversely affecting performance. Defects that are severe enough may render the mask completely useless. Typically, a set of 15 to 30 masks is used to construct a chip and can be used repeatedly.
  • A mask is typically a glass or a quartz substrate that has a layer of chromium on one side. The mask may also contain a layer of silicon nitride (SiN) doped with molybdenum (Mb). The chromium layer is covered with an anti-reflective coating and a photosensitive resist. During a patterning process, the circuit design is written onto the mask by exposing portions of the resist to ultraviolet light, making the exposed portions soluble in a developing solution. The soluble portion of the resist is then removed, allowing the exposed underlying chromium to be etched. The etch process removes the chromium and anti-reflective layers from the mask at locations where the resist was removed, i.e., the exposed chromium is removed.
  • Another mask utilized for patterning is known as a quartz phase shift mask. The quartz phase shift mask is similar to the mask described above, except that alternating adjacent areas of quartz regions exposed through the patterned chromium layer are etched to a depth about equal to half the wavelength of light which will be utilized to transfer the circuit patterns to a substrate during fabrication. Thus, as the light is shown through the quartz phase shift mask to expose resist disposed on the substrate, the light impinging in the resist through one opening in the mask is 180 degrees out of phase relative to the light passing through the immediately adjacent opening. Therefore, light that may be scattered at the edges of the mask opening is cancelled out by the 180 degree light scattering at the edge of the adjacent opening, causing a tighter distribution of light in a predefined region of the resist. The tighter distribution of light facilitates writing of features having smaller critical dimensions. Similarly, masks used for chromeless etch lithography also utilize the phase shift of light passing through quartz portions of two masks to sequentially image the resist, thereby improving the light distribution utilized to develop the resist pattern.
  • In one etch process, known as dry etching, reactive ion etching, or plasma etching, plasma is used to enhance a chemical reaction and etch the patterned chromium area of the mask. Unfortunately, conventional chromium etch processes often suffer etch bias problems due to attack on the photoresist material utilized to pattern the chromium. As the photoresist is attacked during the chromium etch, the critical dimension of patterned photoresist is not accurately transferred to the chromium layer. Additionally, since etching using a photoresist mask is subject to etch bias, the use of photoresist masks for fabricating critical dimensions less than about 5 μm is extremely challenging to the fabricator as these problems result in non-uniformity of the etched features of the photomask and correspondingly diminishes the ability to produce features having small critical dimensions using the mask. As the critical dimensions of mask continue to shrink, the importance of etch uniformity dominates.
  • Hard masks have been recently used to provide more accurate critical dimension (CD) transfer during chromium etching for photomask fabrication. However, existing chromium etch processes have poor selectivity to carbon hard mask materials. As conventional chromium etch chemistries include oxygen, carbon hard mask materials are often etched as fast or faster than the chromium layers, resulting in unacceptable CD control, thus, making conventional chromium etch processes unsuitable for photomask fabrication.
  • Therefore, there is a need for a chromium etch process having high etching selectivity to carbon hard mask materials.
  • SUMMARY OF THE INVENTION
  • The present invention generally provides a method for etching a chromium layer using a carbon hard mask. In one embodiment, a method of forming a photomask includes providing a substrate having a patterned carbon hard mask disposed over a chromium layer and a quartz layer on a substrate support disposed in a processing chamber, introducing a process gas containing chlorine and carbon monoxide into the processing chamber, forming a plasma of the process gas, biasing the substrate, etching the chromium layer exposed through said patterned carbon hard mask to expose portions of the quartz layer, and removing the hard mask layer.
  • In another embodiment, a method of etching a chromium layer includes providing a substrate having a chromium layer partially exposed through a patterned carbon hard mask layer, flowing a process gas containing chlorine and carbon monoxide into a processing chamber, and maintaining a plasma of the process gas to etch the chromium layer though the patterned carbon hard mask layer.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The teachings of the present invention can be readily understood by considering the following detailed description in conjunction with the accompanying drawings, in which:
  • FIG. 1 is a schematic sectional view of one embodiment of an etch reactor suitable for etching a chromium layer;
  • FIG. 2 depicts one embodiment of a flow diagram for a method of fabricating a photomask in accordance with one embodiment of the present invention;
  • FIGS. 3A-3G depict a series of schematic, partial cross-sectional views of a film stack fabricated into a photomask accordance with the method of FIG. 2; and
  • FIG. 4 is a schematic diagram of one embodiment of a processing system, e.g., a cluster tool, including the reactor of FIG. 1.
  • To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. It is contemplated that elements and features of one embodiment may be beneficially incorporated in other embodiments without further recitation.
  • It is to be noted, however, that the appended drawings illustrate only exemplary embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • DETAILED DESCRIPTION
  • Embodiments of the present invention provide an improved chromium etching process and a method for photomask fabrication. The methods utilize a chromium etch chemistry which has high selectivity to carbon hard mask materials.
  • FIG. 1 depicts a schematic diagram of one embodiment of an etch processing chamber 100 in which a method of chromium etching of the present invention may be practiced. Suitable reactors that may be adapted for use with the teachings disclosed herein include, for example, the Decoupled Plasma Source (DPS®) II reactor, or the Tetra I and Tetra II Photomask etch systems, all of which are available from Applied Materials, Inc. of Santa Clara, Calif. The etch processing chamber 100 may also be used as a processing module of a processing system 170 as shown in FIG. 4, such as, for example, a Centura® integrated semiconductor wafer processing system, also available from Applied Materials, Inc. The processing system may also include a first chamber 172 suitable for ashing and a second chamber suitable for polymer deposition 174. Examples of suitable ashing and deposition chambers include AXIOM HT™ and Tetra II processing chambers, also available from Applied Materials, Inc. The particular embodiment of the processing chamber 100 shown herein is provided for illustrative purposes and should not be used to limit the scope of the invention.
  • The processing chamber 100 generally comprises a process chamber body 102 having a substrate pedestal 124, and a controller 146. The chamber body 102 has a conductive wall 104 that supports a substantially flat dielectric ceiling 108. Other embodiments of the processing chamber 100 may have other types of ceilings, e.g., a dome-shaped ceiling. An antenna 110 having one or more inductive coils, such as two co-axial elements 110A and 110B, is disposed above the ceiling 108. The coaxial elements 110A and 110B may be selectively controlled. The antenna 110 is coupled through a first matching network 114 to a plasma power source 112. The plasma power source 112 is typically capable of producing up to about 3000 Watts (W) at a tunable frequency in a range from about 50 kHz to about 13.56 MHz. In one embodiment, the plasma power source 112 provides about 100 to about 600 W of inductively coupled RF power, and in another embodiment, the plasma power source 112 provides about 250 to about 600 W of inductively coupled RF power.
  • The substrate pedestal (cathode) 124 is coupled through a second matching network 142 to a biasing power source 140. The biasing source 140 provides between about zero to about 600 W at a tunable pulse frequency in the range of about 1 to about 10 kHz. The biasing source 140 is capable of producing a pulsed RF power output. Alternatively, the biasing source 140 may produce a pulsed DC power output. It is contemplated that the source 140 may also be configured to provide a constant DC and/or RF power output.
  • In one embodiment, the biasing source 140 is configured to provide pulsed RF power less than about 600 Watts at a frequency between about 1 to about 10 kHz, with a duty cycle between about 10 to about 95 percent. In another embodiment, the biasing source 140 is configured to provide pulsed RF power between about 10 to about 150 W, at a frequency between about 2 to about 5 kHz, with a duty cycle between about 80 to about 95 percent. In yet another embodiment, the biasing source provides a pulsed RF power of about 10 W.
  • In one embodiment configured as a DPS® reactor, the substrate support pedestal 124 includes an electrostatic chuck 160. The electrostatic chuck 160 comprises at least one clamping electrode 132 and is controlled by a chuck power supply 166. In alternative embodiments, the substrate pedestal 124 may comprise substrate retention mechanisms such as a susceptor clamp ring, a mechanical chuck, and the like.
  • A gas panel 120 is coupled to the processing chamber 100 to provide process and/or other gases to the interior of the chamber body 102. In the embodiment depicted in FIG. 1, the gas panel 120 is coupled to one or more inlets 116 formed in a channel 118 in the sidewall 104 of the chamber body 102. It is contemplated that the one or more inlets 116 may be provided in other locations, for example, in the ceiling 108 of the processing chamber 100.
  • In one embodiment, the gas panel 120 is adapted to provide process gas through the inlets 116 and into the interior of the chamber body 102. During processing, a plasma is formed of the process gas in the chamber body 102 and maintained through inductive coupling of power from the plasma power source 112. The plasma may alternatively be formed remotely or ignited by other methods. In one embodiment, the process gas provided from the gas panel 120 includes at least one chlorine containing gas and carbon monoxide (CO) gas. Examples of chlorine containing gases include Cl2, BCl3, and HCl, among others.
  • The pressure in the processing chamber 100 is controlled using a throttle valve 162 and a vacuum pump 164. The vacuum pump 164 and throttle valve 162 are capable of maintaining chamber pressures in the range of about 1 to about 20 mTorr.
  • The temperature of the wall 104 may be controlled using liquid-containing conduits (not shown) that run through the wall 104. Wall temperature is generally maintained at about 65 degrees Celsius. Typically, the chamber wall 104 is formed from a metal (e.g., aluminum, stainless steel, and the like) and is coupled to an electrical ground 106. The processing chamber 100 also comprises conventional systems for process control, internal diagnostic, end point detection, and the like. Such systems are collectively shown as support systems 154.
  • A reticle adapter 182 is used to secure a substrate (such as a reticle or other workpiece) 122 onto the substrate support pedestal 124. The reticle adapter 182 generally includes a lower portion 184 milled to cover an upper surface of the pedestal 124 (for example, the electrostatic chuck 160) and a top portion 186 having an opening 188 that is sized and shaped to hold the substrate 122. The opening 188 is generally substantially centered with respect to the pedestal 124. The adapter 182 is generally formed from a single piece of etch resistant, high temperature resistant material such as polyimide ceramic or quartz. A suitable reticle adapter is disclosed in U.S. Pat. No. 6,251,217, issued on Jun. 26, 2001, and incorporated herein by reference. An edge ring 126 may cover and/or secure the adapter 182 to the pedestal 124.
  • A lift mechanism 138 is used to lower or raise the adapter 182, and hence, the substrate 122, onto or off of the substrate support pedestals 124. Generally, the lift mechanism 162 comprises a plurality of lift pins (one lift pin 130 is shown) that travel through respective guide holes 136.
  • In operation, the temperature of the substrate 122 is controlled by stabilizing the temperature of the substrate pedestal 124. In one embodiment, the substrate support pedestal 124 comprises a heater 144 and an optional heat sink 128. The heater 144 may be one or more fluid conduits configured to flow a heat transfer fluid therethrough. In another embodiment, the heater 144 may include at least one heating element 134 that is regulated by a heater power supply 168. Optionally, a backside gas (e.g., helium (He)) from a gas source 156 is provided via a gas conduit 158 to channels that are formed in the pedestal surface under the substrate 122. The backside gas is used to facilitate heat transfer between the pedestal 124 and the substrate 122. During processing, the pedestal 124 may be heated by the embedded heater 144 to a steady-state temperature, which in combination with the helium backside gas, facilitates uniform heating of the substrate 122.
  • The controller 146 comprises a central processing unit (CPU) 150, a memory 148, and support circuits 152 for the CPU 150 and facilitates control of the components of the processing chamber 100 and, as such, of the etch process, as discussed below in further detail. The controller 146 may be one of any form of general-purpose computer processor that can be used in an industrial setting for controlling various chambers and sub-processors. The memory 148 of the CPU 150 may be one or more of readily available memory such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote. The support circuits 152 are coupled to the CPU 150 for supporting the processor in a conventional manner. These circuits include cache, power supplies, clock circuits, input/output circuitry and subsystems, and the like. The inventive method is generally stored in the memory 148 or other computer-readable medium accessible to the CPU 150 as a software routine. Alternatively, such software routine may also be stored and/or executed by a second CPU (not shown) that is remotely located from the hardware being controlled by the CPU 150.
  • FIG. 2 depicts one embodiment of a flow diagram for a method 200 of fabricating a photomask 320 as shown in FIGS. 3A-G in accordance with one embodiment of the present invention. The method 200 is generally stored in the memory 148, typically as a software routine. Although the method 200 of the present invention is discussed as being implemented as a software routine, some or all of the method steps that are disclosed therein may be performed in hardware as well as by the software controller. As such, the invention may be implemented in software as executed upon a computer system, in hardware as an application specific integrated circuit or other type of hardware implementation, or a combination of software and hardware.
  • The method 200 begins at step 202 when substrate 122 is placed on a support pedestal 124. The substrate 122 generally comprises a film stack 300 of the photomask structure being fabricated. The film stack 300 includes an optically transparent silicon based material, such as quartz (i.e., silicon dioxide (SiO2)) layer 302, having an opaque light-shielding chromium layer 304, known as a photomask material, processed to form a patterned mask on the surface of the quartz layer 302. The chromium layer 304 may be chromium and/or chromium oxynitride. The substrate 122 may also include an attenuating layer 306 (shown in phantom), such as silicon nitride (SiN) doped with molybdenum (Mo) or Molybdenum silicon (MoSi), interposed between the quartz layer 302 and chromium layer 304, as shown in FIG. 3A.
  • At step 204, a carbon hard mask layer 308 is deposited on the substrate 122 utilizing a chemical vapor depositing process as shown in FIG. 3B. The carbon hard mask layer 308 has a thickness of, but not limited to, about 50 Å to about 1000 Å. The carbon hard mask layer 308 may be a high-temperature inorganic carbon material, referred to as a-carbon. In another embodiment, the mask layer 308 may be a material sold under trade name Advanced Patterning Film (APF ), by Applied Materials, Inc., located in Santa Clara, Calif.
  • In one embodiment, a carbon hard mask layer 308 is deposited by heating a gas mixture comprising one or more hydrocarbon compounds and an inert gas to thermally decompose the one or more hydrocarbon compounds and an inert gas to thermally decompose the one or more hydrocarbon compounds in the gas mixture to form an amorphous carbon layer. Suitable hydrocarbon compounds include gases having the general formula CxHy, wherein x has a range of 2 to 4 and y has a range of 2 to 10. The gas mixture may be heated to a temperature between about 100 to about 700° C. During deposition, a bias power may be configured to engineer the stress of the deposited material to improve adhesion of the hard mask layer 308 to the underlying film. Particularly, as the critical dimensions of the photomask approach the 45 nm range, poor adherence of the carbon hard mask layer 308 which promotes etch bias will result in unacceptable transfer of CDs from the masking materials to the photomask.
  • In one embodiment, the carbon hard mask layer 308 may include a dielectric anti-reflective coating (DARC) layer used to control the reflection of light during a lithographic patterning process. Typically, the DARC layer comprises silicon dioxide (SiO2), silicon oxynitride (SiON), silicon nitride (Si3N4), and the like. In one illustrative embodiment, a hard mask layer includes α-carbon film and a DARC layer having a combined thickness of about 1800 Angstroms.
  • At step 206, a resist layer 310 is patterned over the carbon hard mask layer 308, as commonly known in the art. The resist layer may be patterned by any suitable method.
  • At step 208, the carbon hard mask layer 308 is etched using the patterned photoresist mask 310 layer as an etch mask, as shown in FIG. 3D.
  • In one embodiment, the carbon hard mask layer 308 may be etched by providing hydrogen bromide (HBr) at a rate of 20 to 200 sccm, oxygen (O2) at a rate of 10 to 40 sccm (i.e., a HBr:O2 flow ratio ranging from 1:2 to 20:1), and argon (Ar) at a rate of 20 to 200 sccm, applying power to an inductively coupled antenna between 200 to 1500 W, applying a cathode bias power between 50 and 200 W, and maintaining a wafer temperature between 50 and 200 degrees Celsius at a pressure in the process chamber between 2 and 20 mTorr. One process recipe provides HBr at a rate of 60 sccm, O2 at a rate of 26 sccm, (i.e., a HBr:O2 flow ratio of about 2.3:1), and Ar at a rate of 60 sccm, applies 600 W of power to the antenna, 60 W of a bias power, maintains a wafer temperature of 80 degrees Celsius, and maintains a pressure of 4 mTorr.
  • At optional step 210, the photoresist mask 310 is removed from the carbon hard mask layer 308, as shown in FIG. 3E. In one embodiment, the photoresist mask 310 may be removed contemporarily with the etching of the carbon hard mask layer 308 at step 208. In another embodiment, the photoresist mask 310 may be removed in-situ the hard mask etch chamber by exposure to an oxygen containing plasma. The photoresist mask 310 may alternatively be left on the film stack 300. The photoresist 310 will eventually be consumed during subsequent etch processes, or be removed with the patterned carbon hard mask layer 308 at step 214 as described below.
  • At step 212, the chromium layer 304 is etched using the patterned carbon hard mask layer 308 as an etch mask. FIG. 3F depicts the film stack 300 with the chromium layer 304 etched. In one embodiment, the etch step 212 utilizes a plasma formed from a chlorine containing gas and carbon monoxide (CO) introduced into the process chamber 100. Exemplary chlorine containing gas may include one or more of Cl2, BCl3, and HCl, among others.
  • In another embodiment, the chromium layer 304 is etched at step 212 by providing C;2 at a rate of 50 to 1000 standard cubic centimeters per minute (sccm) and CO at a rate of 10 to 500 sccm. In yet another embodiment, the chromium layer 304 is etched by providing Cl2 at a rate of 20 to 400 sccm and CO at a rate of 100 to 300 sccm . One specific process recipe provides Cl2 at a rate of 300 sccm , CO at a rate of 150 sccm. The pressure in the process chamber is maintained at between 2 and 50 mTorr, and in one embodiment, between 2 and 10 mTorr. In yet another embodiment, the pressure is maintained at around 3 mTorr.
  • During the chromium layer etch step 212, a pulsed bias power is applied to the support pedestal 124 to bias the substrate 122. In a first example, the substrate 122 is biased with a pulsed RF power of less than about 100 W, and in a second example, the substrate 122 is biased with a pulsed RF of about 8 W. The bias power may be pulsed with a frequency and duty cycle as described above, for example, with a frequency in the range of about 1 to about 10 kHz, and with a duty cycle between about 10 to about 95 percent. The pulsed bias power may be DC and/or RF. In another embodiment, the biasing source 140 is provides pulsed RF power between about 5 to about 25 W, at a frequency between about 2 to about 5 kHz, with a duty cycle between about 80 to about 95 percent. In yet another embodiment, the biasing source provides a pulsed RF power of about 10 W.
  • During step 212, plasma, formed of the process gases, is maintained in the chamber 100 by applying RF power of between about 100 to about 1000 W from the plasma power source 112 to the antenna 110. In another example, the plasma is maintained by applying RF power of between 100 to about 500 W. In yet another embodiment, the RF power is applied at around 300 W. It is contemplated that the plasma may be ignited by any number of methods.
  • The chromium layer 304 exposed on the substrate 122 through the patterned carbon hard mask layer 308 is etched until an endpoint is reached. The endpoint may be determined by time, optical interferometry, chamber gas emission spectrograph or by other suitable methods.
  • At step 214, the carbon hard mask layer 308 is removed to form a photomask 320. In another embodiment, the carbon hard mask layer 308 may be removed in-situ the processing chamber 170 in which the etching step 212 was performed. For example, a carbon hard mask layer 308 may be removed by exposure to an oxygen containing plasma in-situ processing chamber 170 following the chromium layer etch. In another embodiment, the carbon hard mask layer 308 may be removed using a plasma formed from hydrogen bromide and oxygen. A HBr:O2 flow ratio may range between about 1:10 to 10:1. Argon may also be present in the plasma. In another embodiment, the plasma is energized with a source power of 20 to 1000 W and a bias power of 0 to 300 W, while the temperature of the substrate is maintained between about 20 to about 80 degrees Celsius. Alternatively, a plasma formed from hydrogen (H2) or a mixture of oxygen and a diluent gas such as argon (Ar) may be used to remove the carbon hard mask layer 308.
  • Thus, a method for etching chromium layer has been provided that advantageously improves critical dimension transfer by high selectivity of the chromium layer to the carbon hard mask layer. Accordingly, the method of etching a chromium layer with improved CD control described herein advantageously facilitates fabrication of photomask suitable for patterning features having small critical dimensions.
  • While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (20)

1. A method of fabricating a photomask, comprising:
depositing a carbon layer on an optically transparent substrate having a chromium layer;
depositing and patterning a photoresist layer on the carbon layer;
etching the carbon layer through the photoresist layer to form a patterned hard mask;
etching chromium layer in the presence of a plasma formed from a process gas containing chlorine and carbon monoxide through the carbon hard mask; and
removing the hard mask.
2. The method of claim 1, wherein the process gas comprises at least one of Cl2, BCl3 or HCl.
3. The method of claim 1, wherein providing the process gas comprises:
flowing about 50 to about 1000 sccm of Cl2 into the processing chamber; and
flowing about 10 to about 500 sccm of CO into the processing chamber.
4. The method of claim 1, wherein providing the process gas comprises:
flowing about 20 to about 400 sccm of Cl2 into the processing chamber; and
flowing about 100 to about 300 sccm of CO into the processing chamber.
5. The method of claim 1 further comprising:
removing the patterned photoresist layer prior to etching the carbon layer.
6. The method of claim 1 further comprising:
removing the patterned photoresist layer while etching the chromium layer.
7. The method of claim 1 further comprising:
removing the patterned photoresist layer while removing the hard mask.
8. The method of claim 1, wherein the hard mask is removed in-situ a processing chamber in which the chromium layer is etched.
9. A method of fabricating a photomask, comprising:
depositing an α-carbon layer on an optically transparent substrate having a chromium layer;
depositing and patterning a photoresist layer on the a-carbon layer;
etching the a-carbon layer through the photoresist layer to form a patterned hard mask;
etching the chromium layer in the presence of a plasma formed from a process gas containing at least one chlorine containing gas and carbon monoxide through the carbon hard mask, wherein the substrate is biased during chromium etching; and
removing the hard mask.
10. The method of claim 9, wherein etching the chromium layer further comprises:
flowing at least one of Cl2, BCl3, or HCl into the processing chamber.
11. The method of claim 9, wherein etching the chromium layer further comprises:
flowing about 300 sccm of Cl2 into the processing chamber;
flowing about 150 sccm of CO into the processing chamber;
maintaining chamber pressure at around 3 mTorr;
applying a plasma source power at around 300 Watts; and
supplying a plasma bias power at around 8 Watts.
12. The method of claim 9 further comprising:
removing the patterned photoresist layer prior to etching the carbon layer.
13. The method of claim 9 further comprising:
removing the patterned photoresist layer while at least one of etching the chromium layer or removing the hard mask.
14. The method of claim 9, wherein etching the chromium layer further comprises:
flowing about 50 to about 1000 sccm of Cl2 into the processing chamber; and
flowing about 10 to about 500 sccm of CO into the processing chamber.
15. The method of claim 9, wherein etching the chromium layer further comprises:
flowing about 20 to about 400 sccm of Cl2 into the processing chamber; and
flowing about 100 to about 300 sccm of CO into the processing chamber.
16. The method of claim 9, wherein biasing the substrate during etching the chromium layer further comprises:
biasing the substrate with a power of less than 100 W.
17. The method of claim 16, wherein the biasing the substrate during etching the chromium layer further comprises:
pulsing the bias power.
18. The method of claim 9, wherein the hard mask is removed in-situ a processing chamber in which the chromium layer is etched.
19. A method of fabricating a photomask, comprising:
depositing an α-carbon layer on an optically transparent substrate having a chromium layer;
depositing and patterning a photoresist layer on the α-carbon layer;
etching the α-carbon layer through the photoresist layer to form a patterned hard mask;
etching the chromium layer in the presence of a plasma formed from a process gas containing carbon monoxide and at least one of Cl2, BCl3, or HCl through the carbon hard mask, wherein the substrate is biased with a power of less than 100 W during chromium etching; and
removing the hard mask.
20. The method of claim 19, wherein the hard mask is removed in-situ a processing chamber in which the chromium layer is etched.
US11/565,271 2005-09-28 2006-11-30 Method for photomask fabrication utilizing a carbon hard mask Active 2027-01-29 US7718539B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/565,271 US7718539B2 (en) 2005-09-28 2006-11-30 Method for photomask fabrication utilizing a carbon hard mask

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/238,424 US7375038B2 (en) 2005-09-28 2005-09-28 Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
US11/565,271 US7718539B2 (en) 2005-09-28 2006-11-30 Method for photomask fabrication utilizing a carbon hard mask

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/238,424 Continuation US7375038B2 (en) 2005-09-28 2005-09-28 Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication

Publications (3)

Publication Number Publication Date
US20080131789A1 true US20080131789A1 (en) 2008-06-05
US20080280212A9 US20080280212A9 (en) 2008-11-13
US7718539B2 US7718539B2 (en) 2010-05-18

Family

ID=37894666

Family Applications (3)

Application Number Title Priority Date Filing Date
US11/238,424 Expired - Fee Related US7375038B2 (en) 2005-09-28 2005-09-28 Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
US11/565,271 Active 2027-01-29 US7718539B2 (en) 2005-09-28 2006-11-30 Method for photomask fabrication utilizing a carbon hard mask
US11/927,833 Abandoned US20080050661A1 (en) 2005-09-28 2007-10-30 Photomask fabrication utilizing a carbon hard mask

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/238,424 Expired - Fee Related US7375038B2 (en) 2005-09-28 2005-09-28 Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/927,833 Abandoned US20080050661A1 (en) 2005-09-28 2007-10-30 Photomask fabrication utilizing a carbon hard mask

Country Status (5)

Country Link
US (3) US7375038B2 (en)
JP (1) JP2007096295A (en)
KR (1) KR100828781B1 (en)
CN (1) CN1940717A (en)
TW (1) TWI333124B (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070026321A1 (en) * 2005-07-29 2007-02-01 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
US20070119373A1 (en) * 2005-07-29 2007-05-31 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US20080050661A1 (en) * 2005-09-28 2008-02-28 Ajay Kumar Photomask fabrication utilizing a carbon hard mask
US20110146727A1 (en) * 2008-08-13 2011-06-23 Kalyankar Nikhil D Combinatorial approach to the development of cleaning formulations for glue removal in semiconductor applications

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7635546B2 (en) * 2006-09-15 2009-12-22 Applied Materials, Inc. Phase shifting photomask and a method of fabricating thereof
US7909961B2 (en) * 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7476588B2 (en) * 2007-01-12 2009-01-13 Micron Technology, Inc. Methods of forming NAND cell units with string gates of various widths
JP4914272B2 (en) * 2007-04-02 2012-04-11 エルピーダメモリ株式会社 Reticle for projection exposure, method for manufacturing reticle for projection exposure, and semiconductor device using the reticle
DE102007028800B4 (en) * 2007-06-22 2016-11-03 Advanced Mask Technology Center Gmbh & Co. Kg Mask substrate, photomask and method of making a photomask
US8980756B2 (en) 2007-07-30 2015-03-17 Micron Technology, Inc. Methods for device fabrication using pitch reduction
US20090053620A1 (en) * 2007-08-24 2009-02-26 Hynix Semiconductor Inc. Blank Mask and Method for Fabricating Photomask Using the Same
US20090325387A1 (en) * 2008-06-26 2009-12-31 Applied Materials, Inc. Methods and apparatus for in-situ chamber dry clean during photomask plasma etching
TWI409580B (en) * 2008-06-27 2013-09-21 S&S Tech Co Ltd Blankmask, photomask and method for manufacturing the same
JP5114367B2 (en) * 2008-11-21 2013-01-09 Hoya株式会社 Photomask manufacturing method and pattern transfer method using the photomask
US9378930B2 (en) * 2009-03-05 2016-06-28 Applied Materials, Inc. Inductively coupled plasma reactor having RF phase control and methods of use thereof
US20100276391A1 (en) * 2009-03-05 2010-11-04 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
CN101989035B (en) * 2009-08-03 2012-02-08 中芯国际集成电路制造(上海)有限公司 Method for removing chromium metal film from photographic mask
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8334083B2 (en) * 2011-03-22 2012-12-18 Tokyo Electron Limited Etch process for controlling pattern CD and integrity in multi-layer masks
TW201304162A (en) * 2011-05-17 2013-01-16 Intevac Inc Method for rear point contact fabrication for solar cells
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9502216B2 (en) 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9171699B2 (en) 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US8937800B2 (en) * 2012-04-24 2015-01-20 Applied Materials, Inc. Electrostatic chuck with advanced RF and temperature uniformity
US9408288B2 (en) * 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US9107284B2 (en) 2013-03-13 2015-08-11 Lam Research Corporation Chamber matching using voltage control mode
US9119283B2 (en) 2013-03-14 2015-08-25 Lam Research Corporation Chamber matching for power control mode
US20150020974A1 (en) * 2013-07-19 2015-01-22 Psk Inc. Baffle and apparatus for treating surface of baffle, and substrate treating apparatus
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
US11417501B2 (en) * 2015-09-29 2022-08-16 Hitachi High-Tech Corporation Plasma processing apparatus and plasma processing method

Citations (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4579618A (en) * 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4585516A (en) * 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US5273610A (en) * 1992-06-23 1993-12-28 Association Institutions For Material Sciences, Inc. Apparatus and method for determining power in plasma processing
US5472564A (en) * 1988-02-09 1995-12-05 Fujitsu Limited Method of dry etching with hydrogen bromide or bromide
US5512130A (en) * 1994-03-09 1996-04-30 Texas Instruments Incorporated Method and apparatus of etching a clean trench in a semiconductor material
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5689215A (en) * 1996-05-23 1997-11-18 Lam Research Corporation Method of and apparatus for controlling reactive impedances of a matching network connected between an RF source and an RF plasma processor
US5840200A (en) * 1996-01-26 1998-11-24 Matsushita Electronics Corporation Method of manufacturing semiconductor devices
US5899252A (en) * 1997-07-18 1999-05-04 Freud Usa, Inc. Router bit and routing method
US6060132A (en) * 1998-06-15 2000-05-09 Siemens Aktiengesellschaft High density plasma CVD process for making dielectric anti-reflective coatings
US6066132A (en) * 1998-06-30 2000-05-23 Ethicon, Inc. Articulating endometrial ablation device
US6089181A (en) * 1996-07-23 2000-07-18 Tokyo Electron Limited Plasma processing apparatus
US6171764B1 (en) * 1998-08-22 2001-01-09 Chia-Lin Ku Method for reducing intensity of reflected rays encountered during process of photolithography
US6228760B1 (en) * 1999-03-08 2001-05-08 Taiwan Semiconductor Manufacturing Company Use of PE-SiON or PE-OXIDE for contact or via photo and for defect reduction with oxide and W chemical-mechanical polish
US6252354B1 (en) * 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
US6259334B1 (en) * 1998-12-22 2001-07-10 Lam Research Corporation Methods for controlling an RF matching network
US20010013313A1 (en) * 2000-02-10 2001-08-16 Motorola, Inc. Apparatus for fabricating semiconductor structures and method of forming the structures
US6354240B1 (en) * 1996-07-03 2002-03-12 Tegal Corporation Plasma etch reactor having a plurality of magnets
US6391794B1 (en) * 2000-12-07 2002-05-21 Micron Technology, Inc. Composition and method for cleaning residual debris from semiconductor surfaces
US6451705B1 (en) * 2000-08-31 2002-09-17 Micron Technology, Inc. Self-aligned PECVD etch mask
US20020197509A1 (en) * 2001-04-19 2002-12-26 Carcia Peter Francis Ion-beam deposition process for manufacturing multi-layered attenuated phase shift photomask blanks
US6500756B1 (en) * 2002-06-28 2002-12-31 Advanced Micro Devices, Inc. Method of forming sub-lithographic spaces between polysilicon lines
US6552297B2 (en) * 1998-07-13 2003-04-22 Applied Komatsu Technology, Inc. RF matching network with distributed outputs
US20030077910A1 (en) * 2001-10-22 2003-04-24 Russell Westerman Etching of thin damage sensitive layers using high frequency pulsed plasma
US20030091938A1 (en) * 2000-02-17 2003-05-15 Applied Materials, Inc. Method of depositing an amorphous carbon layer
US6607984B1 (en) * 2000-06-20 2003-08-19 International Business Machines Corporation Removable inorganic anti-reflection coating process
US6617794B2 (en) * 2000-04-06 2003-09-09 Applied Materials Inc. Method for controlling etch uniformity
US20030186137A1 (en) * 1999-09-30 2003-10-02 Photronics, Inc. Disposable hard mask for phase shift photomask plasma etching
US6635583B2 (en) * 1998-10-01 2003-10-21 Applied Materials, Inc. Silicon carbide deposition for use as a low-dielectric constant anti-reflective coating
US6635185B2 (en) * 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6638855B1 (en) * 1999-02-10 2003-10-28 Samsung Electronics Co., Ltd. Method of filling contact hole of semiconductor device
US6642149B2 (en) * 1998-09-16 2003-11-04 Tokyo Electron Limited Plasma processing method
US6790770B2 (en) * 2001-11-08 2004-09-14 Taiwan Semiconductor Manufacturing Co., Ltd Method for preventing photoresist poisoning
US20040209477A1 (en) * 2003-04-18 2004-10-21 Applied Materials, Inc. Methods for substrate orientation
US20050011862A1 (en) * 1998-10-29 2005-01-20 Ulvac Coating Corporation Method and apparatus for dry-etching half-tone phase-shift films, half-tone phase-shift photomasks and method for the preparation thereof, and semiconductor circuits and method for the fabrication thereof
US20050018604A1 (en) * 2003-07-21 2005-01-27 Dropps Frank R. Method and system for congestion control in a fibre channel switch
US20050019674A1 (en) * 2003-04-09 2005-01-27 Hoya Corporation Photomask producing method and photomask blank
US6864020B1 (en) * 2002-12-24 2005-03-08 Lsi Logic Corporation Chromeless phase shift mask using non-linear optical materials
US20050078953A1 (en) * 2003-10-10 2005-04-14 Applied Materials, Inc. Substrate heater assembly
US20050082007A1 (en) * 2003-10-21 2005-04-21 Applied Materials, Inc. Mask etch processing apparatus
US20050090118A1 (en) * 2003-10-28 2005-04-28 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US6887339B1 (en) * 2000-09-20 2005-05-03 Applied Science And Technology, Inc. RF power supply with integrated matching network
US20050133163A1 (en) * 2003-12-18 2005-06-23 Applied Materials, Inc. Dual frequency RF match
US20050181604A1 (en) * 2002-07-11 2005-08-18 Hans-Peter Sperlich Method for structuring metal by means of a carbon mask
US20060228473A1 (en) * 2005-03-31 2006-10-12 Asm Japan K.K. Semiconductor-processing apparatus provided with self-cleaning device
US20070023390A1 (en) * 2005-07-29 2007-02-01 Ajay Kumar Cluster tool and method for process integration in manufacturing of a photomask
US20070119373A1 (en) * 2005-07-29 2007-05-31 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US20080050661A1 (en) * 2005-09-28 2008-02-28 Ajay Kumar Photomask fabrication utilizing a carbon hard mask

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS604270B2 (en) * 1981-07-24 1985-02-02 三菱電機株式会社 Dry etching method for chromium-based film
JP3183929B2 (en) * 1991-01-22 2001-07-09 株式会社東芝 Method for manufacturing semiconductor device
US5280154A (en) 1992-01-30 1994-01-18 International Business Machines Corporation Radio frequency induction plasma processing system utilizing a uniform field coil
JP3251087B2 (en) 1993-02-16 2002-01-28 東京エレクトロン株式会社 Plasma processing equipment
EP0840350A2 (en) 1996-11-04 1998-05-06 Applied Materials, Inc. Plasma apparatus and process with filtering of plasma sheath-generated harmonics
US6112697A (en) 1998-02-19 2000-09-05 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods
JP2000114245A (en) * 1998-10-05 2000-04-21 Hitachi Ltd Semiconductor integrated circuit device and its manufacture
EP1011135A3 (en) 1998-12-14 2000-07-26 Conexant Systems, Inc. Semiconductor interconnect structure employing a pecvd inorganic dielectric layer and process for making same
KR20010082591A (en) * 1999-12-21 2001-08-30 이데이 노부유끼 Electron emission device, cold cathode field emission device and method for the production thereof, and cold cathode field emission display and method for the production thereof
JP3897582B2 (en) 2000-12-12 2007-03-28 キヤノン株式会社 Vacuum processing method, vacuum processing apparatus, semiconductor device manufacturing method, and semiconductor device
JP2003073836A (en) 2001-08-28 2003-03-12 Canon Inc Method and apparatus for vacuum treatment
EP1444726A4 (en) * 2001-10-22 2008-08-13 Unaxis Usa Inc Method and apparatus for the etching of photomask substrates using pulsed plasma
US6887340B2 (en) 2001-11-13 2005-05-03 Lam Research Corporation Etch rate uniformity
US20040079726A1 (en) * 2002-07-03 2004-04-29 Advanced Micro Devices, Inc. Method of using an amorphous carbon layer for improved reticle fabrication
US7365014B2 (en) * 2004-01-30 2008-04-29 Applied Materials, Inc. Reticle fabrication using a removable hard mask
US20060000802A1 (en) 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching

Patent Citations (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4579618A (en) * 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4585516A (en) * 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US5472564A (en) * 1988-02-09 1995-12-05 Fujitsu Limited Method of dry etching with hydrogen bromide or bromide
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5273610A (en) * 1992-06-23 1993-12-28 Association Institutions For Material Sciences, Inc. Apparatus and method for determining power in plasma processing
US5512130A (en) * 1994-03-09 1996-04-30 Texas Instruments Incorporated Method and apparatus of etching a clean trench in a semiconductor material
US5840200A (en) * 1996-01-26 1998-11-24 Matsushita Electronics Corporation Method of manufacturing semiconductor devices
US5689215A (en) * 1996-05-23 1997-11-18 Lam Research Corporation Method of and apparatus for controlling reactive impedances of a matching network connected between an RF source and an RF plasma processor
US6354240B1 (en) * 1996-07-03 2002-03-12 Tegal Corporation Plasma etch reactor having a plurality of magnets
US6089181A (en) * 1996-07-23 2000-07-18 Tokyo Electron Limited Plasma processing apparatus
US6252354B1 (en) * 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
US5899252A (en) * 1997-07-18 1999-05-04 Freud Usa, Inc. Router bit and routing method
US6635185B2 (en) * 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6060132A (en) * 1998-06-15 2000-05-09 Siemens Aktiengesellschaft High density plasma CVD process for making dielectric anti-reflective coatings
US6066132A (en) * 1998-06-30 2000-05-23 Ethicon, Inc. Articulating endometrial ablation device
US6552297B2 (en) * 1998-07-13 2003-04-22 Applied Komatsu Technology, Inc. RF matching network with distributed outputs
US6171764B1 (en) * 1998-08-22 2001-01-09 Chia-Lin Ku Method for reducing intensity of reflected rays encountered during process of photolithography
US6642149B2 (en) * 1998-09-16 2003-11-04 Tokyo Electron Limited Plasma processing method
US6635583B2 (en) * 1998-10-01 2003-10-21 Applied Materials, Inc. Silicon carbide deposition for use as a low-dielectric constant anti-reflective coating
US20050011862A1 (en) * 1998-10-29 2005-01-20 Ulvac Coating Corporation Method and apparatus for dry-etching half-tone phase-shift films, half-tone phase-shift photomasks and method for the preparation thereof, and semiconductor circuits and method for the fabrication thereof
US6259334B1 (en) * 1998-12-22 2001-07-10 Lam Research Corporation Methods for controlling an RF matching network
US6638855B1 (en) * 1999-02-10 2003-10-28 Samsung Electronics Co., Ltd. Method of filling contact hole of semiconductor device
US6228760B1 (en) * 1999-03-08 2001-05-08 Taiwan Semiconductor Manufacturing Company Use of PE-SiON or PE-OXIDE for contact or via photo and for defect reduction with oxide and W chemical-mechanical polish
US20030186137A1 (en) * 1999-09-30 2003-10-02 Photronics, Inc. Disposable hard mask for phase shift photomask plasma etching
US20010013313A1 (en) * 2000-02-10 2001-08-16 Motorola, Inc. Apparatus for fabricating semiconductor structures and method of forming the structures
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US20030091938A1 (en) * 2000-02-17 2003-05-15 Applied Materials, Inc. Method of depositing an amorphous carbon layer
US6617794B2 (en) * 2000-04-06 2003-09-09 Applied Materials Inc. Method for controlling etch uniformity
US6607984B1 (en) * 2000-06-20 2003-08-19 International Business Machines Corporation Removable inorganic anti-reflection coating process
US6451705B1 (en) * 2000-08-31 2002-09-17 Micron Technology, Inc. Self-aligned PECVD etch mask
US6887339B1 (en) * 2000-09-20 2005-05-03 Applied Science And Technology, Inc. RF power supply with integrated matching network
US6391794B1 (en) * 2000-12-07 2002-05-21 Micron Technology, Inc. Composition and method for cleaning residual debris from semiconductor surfaces
US20020197509A1 (en) * 2001-04-19 2002-12-26 Carcia Peter Francis Ion-beam deposition process for manufacturing multi-layered attenuated phase shift photomask blanks
US20030077910A1 (en) * 2001-10-22 2003-04-24 Russell Westerman Etching of thin damage sensitive layers using high frequency pulsed plasma
US6790770B2 (en) * 2001-11-08 2004-09-14 Taiwan Semiconductor Manufacturing Co., Ltd Method for preventing photoresist poisoning
US6500756B1 (en) * 2002-06-28 2002-12-31 Advanced Micro Devices, Inc. Method of forming sub-lithographic spaces between polysilicon lines
US20050181604A1 (en) * 2002-07-11 2005-08-18 Hans-Peter Sperlich Method for structuring metal by means of a carbon mask
US6864020B1 (en) * 2002-12-24 2005-03-08 Lsi Logic Corporation Chromeless phase shift mask using non-linear optical materials
US20050019674A1 (en) * 2003-04-09 2005-01-27 Hoya Corporation Photomask producing method and photomask blank
US20040209477A1 (en) * 2003-04-18 2004-10-21 Applied Materials, Inc. Methods for substrate orientation
US20050018604A1 (en) * 2003-07-21 2005-01-27 Dropps Frank R. Method and system for congestion control in a fibre channel switch
US20050078953A1 (en) * 2003-10-10 2005-04-14 Applied Materials, Inc. Substrate heater assembly
US20050082007A1 (en) * 2003-10-21 2005-04-21 Applied Materials, Inc. Mask etch processing apparatus
US20050090118A1 (en) * 2003-10-28 2005-04-28 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US20050133163A1 (en) * 2003-12-18 2005-06-23 Applied Materials, Inc. Dual frequency RF match
US20060228473A1 (en) * 2005-03-31 2006-10-12 Asm Japan K.K. Semiconductor-processing apparatus provided with self-cleaning device
US20070023390A1 (en) * 2005-07-29 2007-02-01 Ajay Kumar Cluster tool and method for process integration in manufacturing of a photomask
US20070026321A1 (en) * 2005-07-29 2007-02-01 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
US20070119373A1 (en) * 2005-07-29 2007-05-31 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US20080050661A1 (en) * 2005-09-28 2008-02-28 Ajay Kumar Photomask fabrication utilizing a carbon hard mask
US7375038B2 (en) * 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070026321A1 (en) * 2005-07-29 2007-02-01 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
US20070023390A1 (en) * 2005-07-29 2007-02-01 Ajay Kumar Cluster tool and method for process integration in manufacturing of a photomask
US20070119373A1 (en) * 2005-07-29 2007-05-31 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7658969B2 (en) 2005-07-29 2010-02-09 Applied Materials, Inc. Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7829471B2 (en) 2005-07-29 2010-11-09 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
US7838433B2 (en) 2005-07-29 2010-11-23 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
US20080050661A1 (en) * 2005-09-28 2008-02-28 Ajay Kumar Photomask fabrication utilizing a carbon hard mask
US20110146727A1 (en) * 2008-08-13 2011-06-23 Kalyankar Nikhil D Combinatorial approach to the development of cleaning formulations for glue removal in semiconductor applications
US8657966B2 (en) * 2008-08-13 2014-02-25 Intermolecular, Inc. Combinatorial approach to the development of cleaning formulations for glue removal in semiconductor applications

Also Published As

Publication number Publication date
JP2007096295A (en) 2007-04-12
US20080050661A1 (en) 2008-02-28
KR100828781B1 (en) 2008-05-09
US7375038B2 (en) 2008-05-20
US20080280212A9 (en) 2008-11-13
TWI333124B (en) 2010-11-11
TW200712757A (en) 2007-04-01
US20070072435A1 (en) 2007-03-29
KR20070035976A (en) 2007-04-02
US7718539B2 (en) 2010-05-18
CN1940717A (en) 2007-04-04

Similar Documents

Publication Publication Date Title
US7718539B2 (en) Method for photomask fabrication utilizing a carbon hard mask
US7829471B2 (en) Cluster tool and method for process integration in manufacturing of a photomask
US7658969B2 (en) Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US8293430B2 (en) Method for etching a molybdenum layer suitable for photomask fabrication
US7829243B2 (en) Method for plasma etching a chromium layer suitable for photomask fabrication
US7879510B2 (en) Method for quartz photomask plasma etching
US7790334B2 (en) Method for photomask plasma etching using a protected mask
US20070296980A1 (en) Integrated phase angle and optical critical dimension measurement metrology for feed forward and feedback process control
US7635546B2 (en) Phase shifting photomask and a method of fabricating thereof
US7786019B2 (en) Multi-step photomask etching with chlorine for uniformity control

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:KUMAR, AJAY;REEL/FRAME:018758/0068

Effective date: 20070112

Owner name: APPLIED MATERIALS, INC.,CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:KUMAR, AJAY;REEL/FRAME:018758/0068

Effective date: 20070112

AS Assignment

Owner name: SONY CORPORATION, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:TAKAGI, RYOSUKE;YAMAKAWA, NAOKO;YAMAMOTO, KENTA;REEL/FRAME:019411/0090;SIGNING DATES FROM 20070515 TO 20070529

Owner name: SONY CORPORATION,JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:TAKAGI, RYOSUKE;YAMAKAWA, NAOKO;YAMAMOTO, KENTA;SIGNING DATES FROM 20070515 TO 20070529;REEL/FRAME:019411/0090

Owner name: SONY CORPORATION, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:TAKAGI, RYOSUKE;YAMAKAWA, NAOKO;YAMAMOTO, KENTA;SIGNING DATES FROM 20070515 TO 20070529;REEL/FRAME:019411/0090

STCF Information on status: patent grant

Free format text: PATENTED CASE

CC Certificate of correction
FPAY Fee payment

Year of fee payment: 4

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552)

Year of fee payment: 8

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 12