US20080127029A1 - Closed-loop design for manufacturability process - Google Patents

Closed-loop design for manufacturability process Download PDF

Info

Publication number
US20080127029A1
US20080127029A1 US11/554,904 US55490406A US2008127029A1 US 20080127029 A1 US20080127029 A1 US 20080127029A1 US 55490406 A US55490406 A US 55490406A US 2008127029 A1 US2008127029 A1 US 2008127029A1
Authority
US
United States
Prior art keywords
layout
process model
model
image contours
contours
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US11/554,904
Other versions
US7624369B2 (en
Inventor
Ioana Graur
Geng Han
Scott M. Mansfield
Lars W. Liebmann
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US11/554,904 priority Critical patent/US7624369B2/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GRAUR, IOANA C., HAN, GENG, LIEBMANN, LARS W., MANSFIELD, SCOTT M.
Priority to TW096137535A priority patent/TW200834365A/en
Priority to PCT/US2007/083145 priority patent/WO2008055195A2/en
Priority to KR1020097008171A priority patent/KR20090077789A/en
Priority to JP2009534945A priority patent/JP5052620B2/en
Publication of US20080127029A1 publication Critical patent/US20080127029A1/en
Application granted granted Critical
Publication of US7624369B2 publication Critical patent/US7624369B2/en
Expired - Fee Related legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F17/00Digital computing or data processing equipment or methods, specially adapted for specific functions

Definitions

  • the present invention relates to the manufacture of integrated circuits and to lithographic methods for manufacturing integrated circuit on a wafer. More particularly, the present invention relates to the design and manufacture of lithographic masks for the manufacture of integrated circuits and a method for optimizing the mask design for manufacturability.
  • Integrated circuits are typically fabricated by optical lithographic techniques, where energy beams transmit integrated circuit images or patterns on photomasks (equivalently, masks or reticles) to photosensitive resists on semiconductor wafer substrates, formed (equivalently, printed or transferred) as multiple layers of patterned materials overlain on the substrate.
  • photomasks epitaxially, masks or reticles
  • photosensitive resists on semiconductor wafer substrates
  • the patterns are typically expressed as polygons on the masks.
  • the polygons of the mask transferred to or imaged on the wafer will be smoothed and distorted during the lithographic process of transferring the mask patterns to the wafer, due to a variety of optical effects, as is well-known in the art.
  • the process of manufacturing an integrated circuit using a lithographic process can be generally understood with reference to FIGS. 1A-1C .
  • a circuit designer will incorporate a set of design rules 11 for preparing polygons for the initial mask layout 15 that correspond to a desired circuit layout level.
  • the rules 11 may be expressed as, for example, as look-up tables of two-dimensional criteria, and may include criteria related to requirements such as overlay tolerance, critical dimension (CD), minimum and maximum spacing between polygon shapes, etc.
  • the rules may be expressed in terms of tolerance bands around the desired design shapes.
  • the designer will combine the requirements of the circuit logic 12 with the design rules 11 , to arrive at an initial circuit layout 15 , which typically comprises a two-dimensional layout of polygon shapes.
  • Design rules 11 typically include tolerances and constraints and other criteria related to performance and electrical characteristics of circuit devices, as well as manufacturability rules, such as, for example, related to lithographic processes and overlay tolerances.
  • An initial mask layout is typically assigned the same polygon layout as provided by the circuit layout 15 .
  • the initial mask layout 15 is written out as a data set which will be provided as input to the lithographers, for example, at the foundry or FAB.
  • the process of writing out the mask layout data set is often referred to as tapeout 17 , and the tapeout data set may be sent to the foundry as input to further analysis and modification 20 by the foundry lithographic engineers.
  • the circuit image on the photomask may not be reproduced precisely on the substrate, in part because of optical effects among transmitted and blocked energy passing through the photomask.
  • the initial design layout 15 is typically modified to account for such optical effects.
  • the process 20 of modifying the initial mask design 15 to form an actual, modified, mask layout 25 may include modifications by optical proximity correction (OPC) 29 and may optionally include resolution enhancement techniques (RET) 27 .
  • OPC optical proximity correction
  • RET resolution enhancement techniques
  • the process of modifying the mask layout is commonly referred to as data preparation (equivalently, “Data-Prep”) 20 .
  • Optical Proximity Correction (OPC) 29 has been employed as a key enabling resolution enhancement technique required to meet image size control requirements imposed by state-of-the-art integrated circuit product programs.
  • OPC 29 is essentially the deliberate and proactive distortion of photomask patterns to compensate for systematic and stable errors.
  • OPC is generally categorized as either rules-based or model-based. Rules-based OPC is done by determining the correctable imaging errors, calculating appropriate photomask compensations, and finally applying the calculated corrections directly to the photomask layout.
  • Model-based OPC is based on the concept of capturing the imaging characteristics in a mathematical model 21 that represents the lithographic process, and calculating the expected on-wafer circuit image which would be projected by the mask pattern under investigation, comparing the simulated image contour placement to the edge placement of the original mask pattern and iteratively adjusting the mask patterns until a suitable match of the simulated image to the desired on-wafer target pattern 23 , within specified tolerances and other mask layout rules 24 , is obtained.
  • the mask layout rules 24 may include manufacturability rules that relate to mask house requirements, which would typically not be applied during the design of the circuit layout (e.g. FIG. 1A ).
  • the on-wafer target pattern 23 has the same layout of polygons as the initial design layout 15 , which represents what the designer intends to be printed on the wafer. While MBOPC results in greater fidelity in the printed image, the use of MBOPC requires significantly more computational resource than rules-based OPC.
  • is the wavelength of the source light
  • NA number of the amount of light that can be collected by the lens
  • k-factor k represents aspects of the lithographic process other than wavelength or numerical aperture, such as resist properties or the use of enhanced masks.
  • Typical k-factor values range from about 0.7 to 0.4.
  • RETs resolution enhancement technologies
  • SRAFs sub-resolution assist features
  • altPSM alternating phase-shift masks
  • the k-factor may be reduced to improve the resolution of the lithographic process.
  • an initial mask layout 15 which is used as input to MBOPC, which typically includes a polygon rendering of the circuit design 15 as laid out by the designers;
  • RET layout which is the initial input mask layout modified for resolution enhancement techniques (RET) such as assist features or alternating phase shapes;
  • RET resolution enhancement techniques
  • a wafer target 23 which describes the desired on-wafer polygons, i.e. what is needed on the wafer to get the desired yield.
  • the wafer target 23 is equivalent in layout to the initial mask or circuit design layout 15 ;
  • simulated contours which are the predicted on-wafer polygons generated by convolving the mask layout with a mathematical model of the imaging process
  • the modified or interim mask layout 25 which is the output of an OPC iteration that describes the polygons to be placed on the photomask.
  • Model-based OPC is an iterative optimization process that involves:
  • This cycle is repeated until the offset between the simulated contour and the wafer target 23 is at an acceptable value, or until a maximum number of iterations is exhausted.
  • the output of the final iteration becomes the actual mask layout 25 which is sent to the maskhouse.
  • a commonly applied simplification is that the initial input mask layout 15 is assumed to be equal to the wafer target 23 .
  • the modified mask layout 25 may then be sent to a mask house and/or to the foundry or FAB, for fabrication. However, referring to FIG. 1C , before the mask is built, the modified mask layout 25 typically undergoes a further verification procedure 30 , which verifies the mask manufacturability according to the requirements and capabilities of the mask house, and printability of the mask based on the detailed lithographic process of the specific FAB where the integrated circuit will be manufactured.
  • the mask is checked for errors (Block 31 ) according to manufacturability and printability criteria that may be provided in a variety of forms, such as a detailed process model 33 and manufacturability and/or printability rules 34 provided by the FAB, and/or the mask house.
  • the modified mask layout 25 is examined 31 for violations of the rules or for printability errors that may unacceptably increase the risk of yield failures for the specific lithographic process to be used. If the modified mask 25 passes the printability and manufacturability criteria (i.e., no errors are found in Block 37 ), then the mask may be built (Block 35 ). However, if mask errors are found, then the mask may have to be further modified as in the data prep procedure 20 of FIG. 1B , or possibly re-designed, as in procedure 10 of FIG. 1A .
  • this procedure so-called design for manufacturing (DfM) 40 , is a modification of the basic design flow 10 of FIG. 1A .
  • Design rules 11 and circuit logic 12 are provided as input, as in a basic design flow 10 (see FIG. 1A ), but the resulting mask layout 42 , which may include RET shapes, is modified by a model-based layout optimization procedure 140 .
  • the model-based modification 140 takes as input a wafer target 43 , along with specified tolerances and mask layout rules 41 , and, using an initial process model 44 , involves simulating an image 47 using the process model 44 .
  • the wafer target 43 is often has the same polygon layout as the initial circuit or mask design layout 15 .
  • the simulated contours are presented to the designers, thereby enabling them to adjust their layout shapes to obtain more favorable wafer shapes. This may be done, for example, by applying the RET to the layout 42 at hand, running OPC, and then using a process window model 44 (i.e. a model aware of process variations) to generate contour bands to present to the designer.
  • the process model 44 may comprise a compact model which, with reasonable accuracy, describes the entire sequence of shape transforms from input layout, RET layout, mask layout, to simulated contour band.
  • the generated contours are evaluated for dimensional failures, i.e. layout verification 49 , similar to the mask verification 30 ( FIG.
  • model-based layout optimization 140 that is sent to the foundry's RET/OPC analysis 20 should be exhibit fewer or no printability or manufacturability errors.
  • model-based layout optimization is based on a detailed, accurate model of a particular foundry's RET/OPC and imaging solution, and thus fundamentally links the optimized layout to a specific foundry.
  • RET/OPC RET/OPC and imaging solution
  • wafer target bands generated by the designer are completely unaware of the available process capability, i.e. the designers know what they would like, but can't tell what is reasonable to ask for in all layout situations.
  • wafer target bands generated by the OPC application are unaware of designer's needs, i.e. at this point, the process limitations are well known, but acceptable tolerances are not.
  • the generation of the wafer target bands in either case is rules-based, i.e. a series of sizing operations and Booleans is performed to generate rectilinear approximations to the desired wafer target bands. Challenges in reliably manipulating layouts through complex rule sets drove the implementation of model-based OPC in the first place, and reestablishing a dependence on such rules-based operations would effectively be taking a step backwards and would introduce significant yield risk.
  • a further object of the invention is to provide a data preparation methodology that will meet the design rules and not require a redesign of the layout after mask verification.
  • the invention provides a method of designing an integrated circuit in which the design layout is optimized using a process model until the design constraints are satisfied by the image contours simulated by the process model.
  • the process model used in the design phase need not be as accurate as the lithographic model used in preparing the lithographic mask layout during data prep.
  • the resulting image contours are then included with the modified, optimized design layout to the data prep process, in which the mask layout is optimized using the lithographic process model, for example, including RET and OPC.
  • the mask layout optimization matches the images simulated by the lithographic process model with the image contours generated during the design phase, which ensures that the design and manufacturability constraints specified by the designer are satisfied by the optimized mask layout.
  • a method for designing an integrated circuit comprising the steps of:
  • process window models are used to determine the image contours, and bands of contours are preferably used as target input to mask layout optimization, such as MBOPC.
  • the method may be embodied in a computer system, or in a computer program product.
  • a method according to the invention may be provided as a service to a designer, such as a fabless design house.
  • FIG. 1A illustrates a prior art design flow.
  • FIG. 1B illustrates a flow chart of a prior art data prep process.
  • FIG. 1C illustrates a flow chart of a prior art mask verification process.
  • FIG. 2 illustrates a proposed DfM flow.
  • FIG. 3 illustrates a preferred embodiment of a layout optimization methodology in a DfM process in accordance with the invention.
  • FIG. 4 illustrates a preferred embodiment of a data prep methodology in a DfM process in accordance with the invention.
  • FIG. 7A illustrates layout shapes during a design phase of a DfM process.
  • FIG. 7B illustrates modified layout shapes during a design phase of a DfM process.
  • FIG. 7C illustrates contour bands generated during a layout optimization phase, according to an embodiment of the invention.
  • FIG. 8 illustrates a computer system and computer program product configured to perform embodiments of the inventive DfM process.
  • one embodiment of the present invention includes, during a design phase 50 of a circuit layout, circuit logic 12 and design rules 11 are provided and used by a designer, typically with the aid of a variety of software tools (not shown for clarity), to arrive at an initial circuit layout 52 .
  • a layout optimization 350 is performed on the layout 52 , or a portion or element of the layout 52 , during the design phase which uses a lithographic process model/tool 54 , preferably a process window model/tool.
  • the process window model/tool 54 may be similar to a model used by a foundry or fab for OPC.
  • the process window model/tool 54 may optionally include tools, such as RET and OPC tools, to assist the designer in modifying the shapes.
  • the model 54 is a compact (that is, faster than a detailed lithographic process model used for OPC) model, but is sufficiently accurate and representative of the expected lithographic process that it will meet and preferably exceed the designer's design rules.
  • the process window model 54 is used to generate an image 57 , and more particularly, image contours 51 which simulate the printed image on the wafer.
  • Image contours 51 may be determined by any method known in the art, or developed in the future, for example, by determining the intersection of a resist threshold model with the simulated image intensity.
  • bands of contours 51 are determined that are expected to be printed with a certain degree of confidence (e.g. ⁇ 3 ⁇ ) over a range of process conditions likely to be used.
  • the generated image 57 and contour bands 51 are verified (Block 55 ) by comparing the image 57 and contours or contour bands 51 to the wafer target 53 and ensuring a match, within the provided tolerances.
  • initial circuit shapes 71 illustrated in FIG. 7A For example, consider initial circuit shapes 71 illustrated in FIG. 7A . If the spacing D between the shapes is less than a predetermined minimum spacing, or if the simulated image using a mask based on the initial circuit shapes 71 otherwise violates rules of a process model/tool 54 , the shapes may be modified by the designer, or by an automated tool, such as an OPC tool.
  • the resulting shapes 72 illustrated in FIG. 7B now satisfy the design rules and/or the process model/tool 54 . In prior art design processes, the resulting shapes 72 would then be provided to the foundry as input (i.e. in the initial layout 15 of FIG. 1B ) to the data prep process 20 ( FIG. 1B ).
  • the wafer target 23 is set equal to the input layout 15 , which the OPC tool will attempt to match, even though the target 23 would include the shapes 72 that no longer represent the designer's intended shapes 71 .
  • the process window model/tool 54 simulated contour bands 75 are generated by the process model/tool that satisfy the designer's rules and will meet the designer's tolerances over the range of expected process conditions.
  • the contour bands 75 , 51 which preserve the designer's intended shapes, within tolerances, are now provided to the inventive data prep process 60 as input targets 53 ( FIG. 3 ).
  • the data prep 60 receives the resulting manufacturable layout 59 , as input into a process window OPC tool 67 , and optionally a RET layout tool 67 .
  • the contours or contour bands 51 generated during the design layout optimization 350 are provided as wafer target input 51 to the process window OPC 67 .
  • the process model 61 used in the inventive data prep 60 is expected to be more current and more accurate than the model 54 used during the design layout optimization 350 .
  • the process model 61 is a process window model.
  • the resulting mask layout 65 will be optimized for manufacturability without violating the designer's design rules, since the process window OPC 67 will optimized the mask layout to match the input contour target 51 , i.e. the contours or contour bands 51 , which have satisfied the designer's tolerances during the design optimization 350 ( FIG. 3 ). If contours are provided as the target input 51 , then the process window OPC 67 may be configured so that as to match the simulated contours generated by the current process window model 61 within tolerances and mask layout rules 64 , which may include manufacturability rules from the mask house, which may not have been available during the design phase.
  • the differences between the simulated contours and the target input 51 must satisfy the tolerances.
  • the process window OPC 67 may be configured so as to ensure that the simulated contours fall within (i.e. substantially match) the provided target bands 51 .
  • modified tolerances for example, including manufacturability tolerances, may be provided that allow the simulated contours to deviate from the target bands but still satisfy the modified tolerances.
  • a key advantage provided by the present invention is that the mask layout will at least satisfy the designer's design and manufacturability rules, and thus the layout will not need to be sent back to the designer, as opposed to the prior art methods.
  • the present invention provides a methodology of closing the design loop for DfM.
  • the mask layout may be optimized according to individual mask house rules without requiring a customized design, and still satisfy the original design rules.
  • the resulting optimized mask layout 65 may then be used to manufacture the circuit (Block 90 ).
  • the layout optimization method 350 and data prep method 60 may be implemented in a digital computer 1700 , having components including, but not limited to: a central processing unit (CPU) 1701 , at least one input/output (I/O) device 1705 (such as a keyboard, a mouse, a compact disk (CD) drive, and the like), a display device 1708 , a storage device 1709 capable of reading and/or writing computer readable code, and a memory 1702 , all of which are connected, e.g., by a bus or a communications network 1710 .
  • CPU central processing unit
  • I/O input/output
  • CD compact disk
  • memory 1702 all of which are connected, e.g., by a bus or a communications network 1710 .
  • the present invention may be implemented as a computer program product stored on a computer readable medium, such as a tape or CD 1706 , which may be, for example, read by the I/O device 1705 , and stored in the storage device 1709 and/or the memory 1702 .
  • the computer program product contains instructions to implement the method according to the present invention on a digital computer.
  • the invention can take the form of an entirely hardware embodiment, and entirely software embodiment or an embodiment containing both hardware and software elements.
  • the invention is implemented in software, which includes, but is not limited to firmware, resident software, microcode, etc.
  • the invention can take the form of a computer program product accessible from a computer-usable or computer-readable medium providing program code for use by or in connection with a computer or any instruction execution system.
  • a computer-usable or computer readable medium can be any apparatus, device or element that can contain, store, communicate, propagate, or transport the program for use by or in connection with the computer or instruction execution system.
  • the medium can be an electronic, magnetic, optical, electromagnetic, infrared, or semiconductor storage medium, network or propagation medium.
  • Examples of a storage medium include a semiconductor memory, fixed storage disk, moveable floppy disk, magnetic tape, and an optical disk.
  • Current examples of optical disks include compact disk-read only memory (CD-ROM), compact disk-read/write (CD-R/W) and digital video disk (DVD).
  • the present invention may also be implemented in a plurality of such a computer or instruction execution system where the present items may reside in close physical proximity or distributed over a large geographic region and connected by a communications network, communicating through a propagation medium via communication devices, such as network adapters.
  • a network include the Internet, intranet, and local area networks.
  • Examples of a propagation medium include wires, optical fibers, and wireless transmissions.
  • Examples of network adapters include modems, cable modems, ethernet cards and wireless routers.
  • the method according to the invention may be provided as a part of a DfM service, for example, providing a layout optimization service to a designer, by providing a compact process model, wherein the simulated results include contour bands that satisfy the designer's design criteria and tolerances (see FIG. 3 ).
  • the resulting contour bands may used as target input in a data prep service, wherein a process window model is used in an RET/OPC process to provide a manufacturable mask layout (see FIG. 4 ).
  • the present invention can be realized in hardware, software, a propagated signal, or any combination thereof, and may be compartmentalized other than as shown. Any kind of computer/server system(s)—or other apparatus adapted for carrying out the methods described herein—is suitable.
  • a typical combination of hardware and software could be a general purpose computer system with a computer program that, when loaded and executed, carries out the respective methods described herein.
  • a specific use computer containing specialized hardware for carrying out one or more of the functional tasks of the invention could be utilized.
  • the present invention also can be embedded in a computer program product or a propagated signal, which comprises all the respective features enabling the implementation of the methods described herein, and which—when loaded in a computer system—is able to carry out these methods.
  • Computer program, propagated signal, software program, program, or software in the present context mean any expression, in any language, code or notation, of a set of instructions intended to cause a system having an information processing capability to perform a particular function either directly or after either or both of the following: (a) conversion to another language, code or notation; and/or (b) reproduction in a different material form.
  • teachings of the present invention could be offered as a business method on a subscription or fee basis.
  • the system and/or computer could be created, maintained, supported and/or deployed by a service provider that offers the functions described herein for customers. That is, a service provider could offer the functionality described above.

Abstract

A method of designing an integrated circuit is provided in which the design layout is optimized using a process model until the design constraints are satisfied by the image contours simulated by the process model. The process model used in the design phase need not be as accurate as the lithographic model used in preparing the lithographic mask layout during data prep. The resulting image contours are then included with the modified, optimized design layout to the data prep process, in which the mask layout is optimized using the lithographic process model, for example, including RET and OPC. The mask layout optimization matches the images simulated by the lithographic process model with the image contours generated during the design phase, which ensures that the design and manufacturability constraints specified by the designer are satisfied by the optimized mask layout.

Description

    FIELD OF THE INVENTION
  • The present invention relates to the manufacture of integrated circuits and to lithographic methods for manufacturing integrated circuit on a wafer. More particularly, the present invention relates to the design and manufacture of lithographic masks for the manufacture of integrated circuits and a method for optimizing the mask design for manufacturability.
  • BACKGROUND OF THE INVENTION
  • Integrated circuits are typically fabricated by optical lithographic techniques, where energy beams transmit integrated circuit images or patterns on photomasks (equivalently, masks or reticles) to photosensitive resists on semiconductor wafer substrates, formed (equivalently, printed or transferred) as multiple layers of patterned materials overlain on the substrate. For each patterned layer formed on the substrate, there may be one or more masks used to form the printed patterns on the wafer. The patterns are typically expressed as polygons on the masks. However, the polygons of the mask transferred to or imaged on the wafer will be smoothed and distorted during the lithographic process of transferring the mask patterns to the wafer, due to a variety of optical effects, as is well-known in the art. Thus, it is desirable that the circuit designers take into account the characteristics of the lithographic process, as well as functional and performance requirements, while designing the circuit layout.
  • The process of manufacturing an integrated circuit using a lithographic process can be generally understood with reference to FIGS. 1A-1C.
  • Referring to FIG. 1A, during a circuit design process flow 10, a circuit designer will incorporate a set of design rules 11 for preparing polygons for the initial mask layout 15 that correspond to a desired circuit layout level. The rules 11 may be expressed as, for example, as look-up tables of two-dimensional criteria, and may include criteria related to requirements such as overlay tolerance, critical dimension (CD), minimum and maximum spacing between polygon shapes, etc. Optionally, the rules may be expressed in terms of tolerance bands around the desired design shapes. The designer will combine the requirements of the circuit logic 12 with the design rules 11, to arrive at an initial circuit layout 15, which typically comprises a two-dimensional layout of polygon shapes. Design rules 11 typically include tolerances and constraints and other criteria related to performance and electrical characteristics of circuit devices, as well as manufacturability rules, such as, for example, related to lithographic processes and overlay tolerances. An initial mask layout is typically assigned the same polygon layout as provided by the circuit layout 15. Typically, the initial mask layout 15 is written out as a data set which will be provided as input to the lithographers, for example, at the foundry or FAB. The process of writing out the mask layout data set is often referred to as tapeout 17, and the tapeout data set may be sent to the foundry as input to further analysis and modification 20 by the foundry lithographic engineers.
  • The circuit image on the photomask may not be reproduced precisely on the substrate, in part because of optical effects among transmitted and blocked energy passing through the photomask. Referring to FIG. 1B, prior to manufacturing the mask, the initial design layout 15 is typically modified to account for such optical effects. The process 20 of modifying the initial mask design 15 to form an actual, modified, mask layout 25 may include modifications by optical proximity correction (OPC) 29 and may optionally include resolution enhancement techniques (RET) 27. The process of modifying the mask layout is commonly referred to as data preparation (equivalently, “Data-Prep”) 20. Optical Proximity Correction (OPC) 29 has been employed as a key enabling resolution enhancement technique required to meet image size control requirements imposed by state-of-the-art integrated circuit product programs. OPC 29 is essentially the deliberate and proactive distortion of photomask patterns to compensate for systematic and stable errors. OPC is generally categorized as either rules-based or model-based. Rules-based OPC is done by determining the correctable imaging errors, calculating appropriate photomask compensations, and finally applying the calculated corrections directly to the photomask layout.
  • Model-based OPC (MBOPC) is based on the concept of capturing the imaging characteristics in a mathematical model 21 that represents the lithographic process, and calculating the expected on-wafer circuit image which would be projected by the mask pattern under investigation, comparing the simulated image contour placement to the edge placement of the original mask pattern and iteratively adjusting the mask patterns until a suitable match of the simulated image to the desired on-wafer target pattern 23, within specified tolerances and other mask layout rules 24, is obtained. The mask layout rules 24 may include manufacturability rules that relate to mask house requirements, which would typically not be applied during the design of the circuit layout (e.g. FIG. 1A). Note that typically, the on-wafer target pattern 23 has the same layout of polygons as the initial design layout 15, which represents what the designer intends to be printed on the wafer. While MBOPC results in greater fidelity in the printed image, the use of MBOPC requires significantly more computational resource than rules-based OPC.
  • Existing optical lithographic tools currently employ laser illumination at 193 nm wavelengths. For a given wavelength of illumination energy, the resolution of the lithographic process, or, in other words, the smallest dimension ρ that can be reliably imaged, is typically expressed by the Rayleigh scaling equation:
  • ρ = k λ NA ,
  • where λ is the wavelength of the source light, NA (numerical aperture) is a measure of the amount of light that can be collected by the lens, and the so-called k-factor k represents aspects of the lithographic process other than wavelength or numerical aperture, such as resist properties or the use of enhanced masks. Typical k-factor values range from about 0.7 to 0.4. However, by using a variety of resolution enhancement technologies (RETs) such as sub-resolution assist features (SRAFs), alternating phase-shift masks (altPSM), the k-factor may be reduced to improve the resolution of the lithographic process. When RET is used along with and in addition to MBOPC, existing optical lithographic tools are being used to print ever smaller feature sizes, from 90 nm to 45 nm or smaller.
  • Model-based OPC, along with RET, as practiced today typically involves five primary polygon data sets:
  • an initial mask layout 15, which is used as input to MBOPC, which typically includes a polygon rendering of the circuit design 15 as laid out by the designers;
  • a RET layout, which is the initial input mask layout modified for resolution enhancement techniques (RET) such as assist features or alternating phase shapes;
  • a wafer target 23, which describes the desired on-wafer polygons, i.e. what is needed on the wafer to get the desired yield. Typically, the wafer target 23 is equivalent in layout to the initial mask or circuit design layout 15;
  • simulated contours, which are the predicted on-wafer polygons generated by convolving the mask layout with a mathematical model of the imaging process; and
  • the modified or interim mask layout 25, which is the output of an OPC iteration that describes the polygons to be placed on the photomask.
  • Model-based OPC is an iterative optimization process that involves:
  • generating simulated contours of the initial mask layout 15, typically modified to form a RET layout;
  • comparing the simulated contours to the wafer target 23;
  • adjusting the RET layout to compensate for offsets between the simulated contour and the wafer target 23—thereby generating the first estimate of the mask layout 25; and
  • repeating this process using the interim mask layout 25 from one iteration as the input for the next iteration.
  • This cycle is repeated until the offset between the simulated contour and the wafer target 23 is at an acceptable value, or until a maximum number of iterations is exhausted. The output of the final iteration becomes the actual mask layout 25 which is sent to the maskhouse.
  • A commonly applied simplification is that the initial input mask layout 15 is assumed to be equal to the wafer target 23.
  • The modified mask layout 25 may then be sent to a mask house and/or to the foundry or FAB, for fabrication. However, referring to FIG. 1C, before the mask is built, the modified mask layout 25 typically undergoes a further verification procedure 30, which verifies the mask manufacturability according to the requirements and capabilities of the mask house, and printability of the mask based on the detailed lithographic process of the specific FAB where the integrated circuit will be manufactured. The mask is checked for errors (Block 31) according to manufacturability and printability criteria that may be provided in a variety of forms, such as a detailed process model 33 and manufacturability and/or printability rules 34 provided by the FAB, and/or the mask house. The modified mask layout 25 is examined 31 for violations of the rules or for printability errors that may unacceptably increase the risk of yield failures for the specific lithographic process to be used. If the modified mask 25 passes the printability and manufacturability criteria (i.e., no errors are found in Block 37), then the mask may be built (Block 35). However, if mask errors are found, then the mask may have to be further modified as in the data prep procedure 20 of FIG. 1B, or possibly re-designed, as in procedure 10 of FIG. 1A.
  • More recently, it has been proposed that the mask layout be designed to ensure manufacturability and printability at the design stage. Referring to FIG. 2, this procedure, so-called design for manufacturing (DfM) 40, is a modification of the basic design flow 10 of FIG. 1A. Design rules 11 and circuit logic 12 are provided as input, as in a basic design flow 10 (see FIG. 1A), but the resulting mask layout 42, which may include RET shapes, is modified by a model-based layout optimization procedure 140. The model-based modification 140 takes as input a wafer target 43, along with specified tolerances and mask layout rules 41, and, using an initial process model 44, involves simulating an image 47 using the process model 44. As discussed above, the wafer target 43 is often has the same polygon layout as the initial circuit or mask design layout 15. The simulated contours are presented to the designers, thereby enabling them to adjust their layout shapes to obtain more favorable wafer shapes. This may be done, for example, by applying the RET to the layout 42 at hand, running OPC, and then using a process window model 44 (i.e. a model aware of process variations) to generate contour bands to present to the designer. Alternatively, the process model 44 may comprise a compact model which, with reasonable accuracy, describes the entire sequence of shape transforms from input layout, RET layout, mask layout, to simulated contour band. In most cases, the generated contours are evaluated for dimensional failures, i.e. layout verification 49, similar to the mask verification 30 (FIG. 1C), and error markers are presented to the designers to prompt a layout modification. If no errors are found (no errors in Block 48), then tapeout 45 of the modified layout may be performed and the dataset comprising the modified layout is then sent forward to the foundry and enters the data prep cycle 20 (FIG. 1B) as the input, initial mask layout 15 (FIG. 1B). Thus, desirably, the output of model-based layout optimization 140 that is sent to the foundry's RET/OPC analysis 20 should be exhibit fewer or no printability or manufacturability errors.
  • However, there are several drawbacks to this approach.
  • First, the development of lithographic and wafer etch processes and chip designs typically occurs concurrently over periods from about 6 months at minimum to 5 years or more. This development time frame makes it practically impossible to give designers accurate descriptions of the RET and OPC solutions as well as accurate process window models during the design of the chip. Having designers optimize layouts to inaccurate models and RET/OPC solutions while they are operating under the assumption that they have accurate insight into the patterning process can lead to catastrophic failures and would make manufacturability worst, not better.
  • Secondly, the primary customers for model-based layout optimization are fabless design houses which design chips to be manufactured at outside foundries. A key requirement for these fabless design houses is to maintain foundry portability (i.e. the ability to move their business from one foundry to a competing one) or even to outsource their product to multiple foundries at the same time. The success of model-based layout optimization is based on a detailed, accurate model of a particular foundry's RET/OPC and imaging solution, and thus fundamentally links the optimized layout to a specific foundry. Thus, performing a model-based layout optimization using the detailed process model for each individual foundry would be impractical. An alternative solution of using a ‘least common denominator’ model that describes the worst case printability failures for multiple foundries would be extremely conservative and would yield noncompetitive layout densities, which is of particular importance for multiple foundries collaborating or competing for fabless business.
  • Thirdly, when a designer manipulates the original layout based on simulation feedback, he/she is effectively introducing a new polygon set, i.e. the optimized layout no longer represents the original designer's intent, it represents what the designer had to do to the original intended layout to make it pass the model-based optimization. If this manipulated layout is introduced as the input layout to the RET/OPC flow the added polygon complexity and uncertainty over designer's intent, will introduce manufacturability risk and could have the exact opposite effect of what DfM is intending to achieve.
  • Modifications of OPC applications have been proposed that try to account for normal and unavoidable process variations by replacing the wafer target with a wafer target band and by replacing the simulated contours with simulated contour bands. The iterative optimization process remains the same, but the wafer target bands need to be generated either by the designer based on an understanding of shape tolerances required for circuit yield, or by the OPC tool from the input layout by applying tolerances communicated in the design rule manual. Such modifications to OPC have been termed process window OPC (PWOPC), and PWOPC has been proposed as a key component of a strategic design for manufacturability (DfM) solution.
  • However, implementation of PWOPC with DfM has the following difficulties: First, wafer target bands generated by the designer are completely unaware of the available process capability, i.e. the designers know what they would like, but can't tell what is reasonable to ask for in all layout situations. Secondly, wafer target bands generated by the OPC application are unaware of designer's needs, i.e. at this point, the process limitations are well known, but acceptable tolerances are not. Thirdly, the generation of the wafer target bands in either case is rules-based, i.e. a series of sizing operations and Booleans is performed to generate rectilinear approximations to the desired wafer target bands. Challenges in reliably manipulating layouts through complex rule sets drove the implementation of model-based OPC in the first place, and reestablishing a dependence on such rules-based operations would effectively be taking a step backwards and would introduce significant yield risk.
  • In view of the above, there is a need for a design for manufacturing solution that avoids the aforementioned difficulties, and provides a mask design that minimizes or avoids printability and/or manufacturability errors during mask verification at multiple foundries, and provides an efficient design process that is suitable for fab-less designs.
  • SUMMARY OF THE INVENTION
  • Bearing in mind the problems and deficiencies of the prior art, it is therefore an object of the present invention to provide an improved method to design and manufacture lithographic masks for integrated circuits.
  • It is another object of the present invention to provide a method of ensuring that the designer's constraints are satisfied during the mask optimization phase.
  • A further object of the invention is to provide a data preparation methodology that will meet the design rules and not require a redesign of the layout after mask verification.
  • It is yet another object of the invention to provide a more efficient mask design process that ensure manufacturability that may be optimized for mask houses, without having to customize the design.
  • The invention provides a method of designing an integrated circuit is provided in which the design layout is optimized using a process model until the design constraints are satisfied by the image contours simulated by the process model. The process model used in the design phase need not be as accurate as the lithographic model used in preparing the lithographic mask layout during data prep. The resulting image contours are then included with the modified, optimized design layout to the data prep process, in which the mask layout is optimized using the lithographic process model, for example, including RET and OPC. The mask layout optimization matches the images simulated by the lithographic process model with the image contours generated during the design phase, which ensures that the design and manufacturability constraints specified by the designer are satisfied by the optimized mask layout.
  • According to one aspect of the invention, a method is provided for designing an integrated circuit, the method comprising the steps of:
  • providing one or more design tolerances;
  • providing a layout;
  • providing a first process model;
  • modifying the layout to form a first modified layout so that first image contours satisfy the one or more design tolerances, wherein the first image contours correspond to the first modified layout as determined using the first process model;
  • providing a second process model; and
  • modifying the first modified layout to form a second modified layout so that second image contours substantially match the first image contours, wherein the second image contours correspond to the second modified layout as determined by the second process model.
  • Preferably, process window models are used to determine the image contours, and bands of contours are preferably used as target input to mask layout optimization, such as MBOPC.
  • According to another aspect of the invention, the method may be embodied in a computer system, or in a computer program product.
  • According to yet another aspect of the invention, a method according to the invention may be provided as a service to a designer, such as a fabless design house.
  • Still other objects and advantages of the invention will in part be obvious and will in part be apparent from the specification.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The features of the invention believed to be novel and the elements characteristic of the invention are set forth with particularity in the appended claims. The figures are for illustration purposes only and are not drawn to scale. The invention itself, however, both as to organization and method of operation, may best be understood by reference to the detailed description which follows taken in conjunction with the accompanying drawings in which:
  • FIG. 1A illustrates a prior art design flow.
  • FIG. 1B illustrates a flow chart of a prior art data prep process.
  • FIG. 1C illustrates a flow chart of a prior art mask verification process.
  • FIG. 2 illustrates a proposed DfM flow.
  • FIG. 3 illustrates a preferred embodiment of a layout optimization methodology in a DfM process in accordance with the invention.
  • FIG. 4 illustrates a preferred embodiment of a data prep methodology in a DfM process in accordance with the invention.
  • FIG. 7A illustrates layout shapes during a design phase of a DfM process.
  • FIG. 7B illustrates modified layout shapes during a design phase of a DfM process.
  • FIG. 7C illustrates contour bands generated during a layout optimization phase, according to an embodiment of the invention.
  • FIG. 8 illustrates a computer system and computer program product configured to perform embodiments of the inventive DfM process.
  • DETAILED DESCRIPTION OF THE INVENTION
  • The present invention will now be described in greater detail by referring to the following discussion with reference to the drawings that accompany the present application. It is observed that the drawings of the present application are provided for illustrative purposes and thus they are not drawn to scale.
  • Referring to FIG. 3, one embodiment of the present invention includes, during a design phase 50 of a circuit layout, circuit logic 12 and design rules 11 are provided and used by a designer, typically with the aid of a variety of software tools (not shown for clarity), to arrive at an initial circuit layout 52. In accordance with the present invention, a layout optimization 350 is performed on the layout 52, or a portion or element of the layout 52, during the design phase which uses a lithographic process model/tool 54, preferably a process window model/tool. The process window model/tool 54 may be similar to a model used by a foundry or fab for OPC. The process window model/tool 54 may optionally include tools, such as RET and OPC tools, to assist the designer in modifying the shapes. Process window models have been described, for example, in co-assigned U.S. Pat. No. 6,578,190, the disclosure of which is hereby incorporated by reference. Preferably, the model 54 is a compact (that is, faster than a detailed lithographic process model used for OPC) model, but is sufficiently accurate and representative of the expected lithographic process that it will meet and preferably exceed the designer's design rules.
  • In accordance with the present invention, the process window model 54 is used to generate an image 57, and more particularly, image contours 51 which simulate the printed image on the wafer. Image contours 51 may be determined by any method known in the art, or developed in the future, for example, by determining the intersection of a resist threshold model with the simulated image intensity. Preferably, bands of contours 51 are determined that are expected to be printed with a certain degree of confidence (e.g. ±3σ) over a range of process conditions likely to be used. The generated image 57 and contour bands 51 are verified (Block 55) by comparing the image 57 and contours or contour bands 51 to the wafer target 53 and ensuring a match, within the provided tolerances. If there are layout printability or manufacturability errors (Block 58), i.e., if the tolerances are violated, then the layout is modified by the designer until the deviations between simulated contours or bands 51 and the wafer target 53 satisfy, or equivalently, are within tolerances, and the layout or the relevant portion thereof then passes verification 55. This is repeated for each portion or element of the layout 52 until the design is complete (Block 46). When the design is complete the layout 59, which, by virtue of the verification 55 is optimized for manufacturability, is then provided (e.g. tapeout 45) to the foundry for data prep 60, according to an embodiment of the invention discussed further below. Note that in accordance with the invention, the contour bands 51 provided by the process window model 54 are also provided to the inventive data prep 60.
  • By way of example, consider initial circuit shapes 71 illustrated in FIG. 7A. If the spacing D between the shapes is less than a predetermined minimum spacing, or if the simulated image using a mask based on the initial circuit shapes 71 otherwise violates rules of a process model/tool 54, the shapes may be modified by the designer, or by an automated tool, such as an OPC tool. The resulting shapes 72 illustrated in FIG. 7B now satisfy the design rules and/or the process model/tool 54. In prior art design processes, the resulting shapes 72 would then be provided to the foundry as input (i.e. in the initial layout 15 of FIG. 1B) to the data prep process 20 (FIG. 1B). Note that in prior art data prep 20, the wafer target 23 is set equal to the input layout 15, which the OPC tool will attempt to match, even though the target 23 would include the shapes 72 that no longer represent the designer's intended shapes 71. By contrast, in accordance with the invention, by using the process window model/tool 54, simulated contour bands 75 are generated by the process model/tool that satisfy the designer's rules and will meet the designer's tolerances over the range of expected process conditions. In accordance with the present invention, the contour bands 75, 51, which preserve the designer's intended shapes, within tolerances, are now provided to the inventive data prep process 60 as input targets 53 (FIG. 3).
  • Referring to FIG. 4, in accordance with the invention, the data prep 60 receives the resulting manufacturable layout 59, as input into a process window OPC tool 67, and optionally a RET layout tool 67. In addition, the contours or contour bands 51 generated during the design layout optimization 350 are provided as wafer target input 51 to the process window OPC 67. The process model 61 used in the inventive data prep 60 is expected to be more current and more accurate than the model 54 used during the design layout optimization 350. Preferably, the process model 61 is a process window model. The resulting mask layout 65 will be optimized for manufacturability without violating the designer's design rules, since the process window OPC 67 will optimized the mask layout to match the input contour target 51, i.e. the contours or contour bands 51, which have satisfied the designer's tolerances during the design optimization 350 (FIG. 3). If contours are provided as the target input 51, then the process window OPC 67 may be configured so that as to match the simulated contours generated by the current process window model 61 within tolerances and mask layout rules 64, which may include manufacturability rules from the mask house, which may not have been available during the design phase. Stated another way, the differences between the simulated contours and the target input 51 (which are the contours or bands determined during the layout optimization 350 in the design phase 50) must satisfy the tolerances. If contour bands are provided as the target input 51, then the process window OPC 67 may be configured so as to ensure that the simulated contours fall within (i.e. substantially match) the provided target bands 51. Optionally, modified tolerances, for example, including manufacturability tolerances, may be provided that allow the simulated contours to deviate from the target bands but still satisfy the modified tolerances.
  • A key advantage provided by the present invention is that the mask layout will at least satisfy the designer's design and manufacturability rules, and thus the layout will not need to be sent back to the designer, as opposed to the prior art methods. Thus, the present invention provides a methodology of closing the design loop for DfM. In addition, during data prep, the mask layout may be optimized according to individual mask house rules without requiring a customized design, and still satisfy the original design rules.
  • The resulting optimized mask layout 65 may then be used to manufacture the circuit (Block 90).
  • In one embodiment of the present invention, referring to FIG. 8, the layout optimization method 350 and data prep method 60 may be implemented in a digital computer 1700, having components including, but not limited to: a central processing unit (CPU) 1701, at least one input/output (I/O) device 1705 (such as a keyboard, a mouse, a compact disk (CD) drive, and the like), a display device 1708, a storage device 1709 capable of reading and/or writing computer readable code, and a memory 1702, all of which are connected, e.g., by a bus or a communications network 1710. The present invention may be implemented as a computer program product stored on a computer readable medium, such as a tape or CD 1706, which may be, for example, read by the I/O device 1705, and stored in the storage device 1709 and/or the memory 1702. The computer program product contains instructions to implement the method according to the present invention on a digital computer. The invention can take the form of an entirely hardware embodiment, and entirely software embodiment or an embodiment containing both hardware and software elements. In a preferred embodiment, the invention is implemented in software, which includes, but is not limited to firmware, resident software, microcode, etc. Furthermore, the invention can take the form of a computer program product accessible from a computer-usable or computer-readable medium providing program code for use by or in connection with a computer or any instruction execution system. For the purposes of this description, a computer-usable or computer readable medium can be any apparatus, device or element that can contain, store, communicate, propagate, or transport the program for use by or in connection with the computer or instruction execution system. The medium can be an electronic, magnetic, optical, electromagnetic, infrared, or semiconductor storage medium, network or propagation medium. Examples of a storage medium include a semiconductor memory, fixed storage disk, moveable floppy disk, magnetic tape, and an optical disk. Current examples of optical disks include compact disk-read only memory (CD-ROM), compact disk-read/write (CD-R/W) and digital video disk (DVD). The present invention may also be implemented in a plurality of such a computer or instruction execution system where the present items may reside in close physical proximity or distributed over a large geographic region and connected by a communications network, communicating through a propagation medium via communication devices, such as network adapters. Examples of a network include the Internet, intranet, and local area networks. Examples of a propagation medium include wires, optical fibers, and wireless transmissions. Examples of network adapters include modems, cable modems, ethernet cards and wireless routers.
  • In another embodiment of the present invention, the method according to the invention may be provided as a part of a DfM service, for example, providing a layout optimization service to a designer, by providing a compact process model, wherein the simulated results include contour bands that satisfy the designer's design criteria and tolerances (see FIG. 3). The resulting contour bands may used as target input in a data prep service, wherein a process window model is used in an RET/OPC process to provide a manufacturable mask layout (see FIG. 4).
  • It is understood that the order of the above-described steps is only illustrative. To this extent, one or more steps can be performed in parallel, in a different order, at a remote time, etc. Further, one or more of the steps may not be performed in various embodiments of the invention.
  • It is understood that the present invention can be realized in hardware, software, a propagated signal, or any combination thereof, and may be compartmentalized other than as shown. Any kind of computer/server system(s)—or other apparatus adapted for carrying out the methods described herein—is suitable. A typical combination of hardware and software could be a general purpose computer system with a computer program that, when loaded and executed, carries out the respective methods described herein. Alternatively, a specific use computer, containing specialized hardware for carrying out one or more of the functional tasks of the invention could be utilized. The present invention also can be embedded in a computer program product or a propagated signal, which comprises all the respective features enabling the implementation of the methods described herein, and which—when loaded in a computer system—is able to carry out these methods. Computer program, propagated signal, software program, program, or software, in the present context mean any expression, in any language, code or notation, of a set of instructions intended to cause a system having an information processing capability to perform a particular function either directly or after either or both of the following: (a) conversion to another language, code or notation; and/or (b) reproduction in a different material form. Furthermore, it should be appreciated that the teachings of the present invention could be offered as a business method on a subscription or fee basis. For example, the system and/or computer could be created, maintained, supported and/or deployed by a service provider that offers the functions described herein for customers. That is, a service provider could offer the functionality described above.
  • While this invention has been described in conjunction with the specific embodiments outlined above, it is evident that many alternatives, modifications and variations will be apparent to those skilled in the art. Accordingly, the embodiments of the invention as set forth above are intended to be illustrative, not limiting. Various changes may be made without departing from the spirit and scope of the invention as defined in the following claims.

Claims (30)

1. A method for designing an integrated circuit, the method comprising the steps of:
providing one or more design tolerances;
providing a layout;
providing a first process model;
modifying said layout to form a first modified layout so that first image contours satisfy said one or more design tolerances, wherein said first image contours correspond to said first modified layout as determined using said first process model;
providing a second process model; and
modifying said first modified layout to form a second modified layout so that second image contours substantially match said first image contours, wherein said second image contours correspond to said second modified layout as determined by said second process model.
2. The method of claim 1, wherein said first process model comprises a process window model and said first image contours comprise a band of contours corresponding to a range of process conditions.
3. The method of claim 1, further comprising providing one or more manufacturability tolerances and wherein said second image contours substantially match said first image contours within said one or more manufacturability tolerances.
4. The method of claim 3, wherein said first process model comprises a process window model and said first image contours comprise a band of contours corresponding to a range of process conditions.
5. The method of claim 1, wherein said step of modifying said first modified layout comprises performing optical proximity correction.
6. The method of claim 1, wherein said step of modifying said first modified layout comprises resolution enhancement technology.
7. The method of claim 1, wherein said second process model comprises a process window model.
8. The method of claim 1, wherein said second process model is more accurate than said first process model.
9. The method of claim 1, where in said first process model is a compact process model.
10. The method of claim 1, further comprising performing a tapeout comprising said first image contours and said first modified layout, and providing said tapeout as input to the step of modifying said first modified layout.
11. The method of claim 1, further comprising building a mask in accordance with said second modified layout.
12. A computer program product comprising a computer usable medium having computer readable program embodied in said medium for designing an integrated circuit, wherein the computer readable program when executed on a computer causes the computer to:
providing one or more design tolerances;
providing a layout;
providing a first process model;
modifying said layout to form a first modified layout so that first image contours satisfy said one or more design tolerances, wherein said first image contours correspond to said first modified layout as determined using said first process model;
providing a second process model; and
modifying said first modified layout to form a second modified layout so that second image contours substantially match said first image contours, wherein said second image contours correspond to said second modified layout as determined by said second process model.
13. The computer program product of claim 12, wherein said first process model comprises a process window model and said first image contours comprise a band of contours corresponding to a range of process conditions.
14. The computer program product of claim 12, further comprising providing one or more manufacturability tolerances and wherein said second image contours substantially match said first image contours within said one or more manufacturability tolerances.
15. The computer program product of claim 14, wherein said first process model comprises a process window model and said first image contours comprise a band of contours corresponding to a range of process conditions.
16. The computer program product of claim 12, wherein said step of modifying said first modified layout comprises performing optical proximity correction.
17. The computer program product of claim 12, wherein said step of modifying said first modified layout comprises resolution enhancement technology.
18. The computer program product of claim 12, wherein said second process model comprises a process window model.
19. The computer program product of claim 12, wherein said second process model is more accurate than said first process model.
20. The computer program product of claim 12, where in said first process model is a compact process model.
21. A method of providing a service for designing an integrated circuit, the service comprising:
providing one or more design tolerances;
providing a layout;
providing a first process model;
modifying said layout to form a first modified layout so that first image contours satisfy said one or more design tolerances, wherein said first image contours correspond to said first modified layout as determined using said first process model;
providing a second process model; and
modifying said first modified layout to form a second modified layout so that second image contours substantially match said first image contours, wherein said second image contours correspond to said second modified layout as determined by said second process model.
22. The service of claim 21, wherein said first process model comprises a process window model and said first image contours comprise a band of contours corresponding to a range of process conditions.
23. The service of claim 21, further comprising providing one or more manufacturability tolerances and wherein said second image contours substantially match said first image contours within said one or more manufacturability tolerances.
24. The service of claim 23, wherein said first process model comprises a process window model and said first image contours comprise a band of contours corresponding to a range of process conditions.
25. The service of claim 21, wherein said step of modifying said first modified layout comprises performing optical proximity correction.
26. The service of claim 21, wherein said step of modifying said first modified layout comprises resolution enhancement technology.
27. The service of claim 21, wherein said second process model comprises a process window model.
28. The service of claim 21, wherein said second process model is more accurate than said first process model.
29. The service of claim 21, where in said first process model is a compact process model.
30. The service of claim 21, further comprising performing a tapeout comprising said first image contours and said first modified layout, and providing said tapeout as input to the step of modifying said first modified layout.
US11/554,904 2006-10-31 2006-10-31 Closed-loop design for manufacturability process Expired - Fee Related US7624369B2 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
US11/554,904 US7624369B2 (en) 2006-10-31 2006-10-31 Closed-loop design for manufacturability process
TW096137535A TW200834365A (en) 2006-10-31 2007-10-05 Closed-loop design for manufacturability process
PCT/US2007/083145 WO2008055195A2 (en) 2006-10-31 2007-10-31 Closed-loop design for manufacturability process
KR1020097008171A KR20090077789A (en) 2006-10-31 2007-10-31 Closed-loop design for manufacturability process
JP2009534945A JP5052620B2 (en) 2006-10-31 2007-10-31 Method and computer program for designing a closed loop for a manufacturability process

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/554,904 US7624369B2 (en) 2006-10-31 2006-10-31 Closed-loop design for manufacturability process

Publications (2)

Publication Number Publication Date
US20080127029A1 true US20080127029A1 (en) 2008-05-29
US7624369B2 US7624369B2 (en) 2009-11-24

Family

ID=39345068

Family Applications (1)

Application Number Title Priority Date Filing Date
US11/554,904 Expired - Fee Related US7624369B2 (en) 2006-10-31 2006-10-31 Closed-loop design for manufacturability process

Country Status (5)

Country Link
US (1) US7624369B2 (en)
JP (1) JP5052620B2 (en)
KR (1) KR20090077789A (en)
TW (1) TW200834365A (en)
WO (1) WO2008055195A2 (en)

Cited By (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080235649A1 (en) * 2007-03-20 2008-09-25 Sony Corporation Method of designing semiconductor integrated circuit, apparatus for designing semiconductor integrated circuit, recording medium, and mask manuacturing method
US20090014811A1 (en) * 2006-03-09 2009-01-15 Tela Innovations, Inc. Dynamic Array Architecture
US20090157360A1 (en) * 2007-12-05 2009-06-18 Jun Ye Methods and system for lithography process window simulation
US20100023917A1 (en) * 2003-02-25 2010-01-28 The Regents Of The University Of California Tool for modifying mask design layout
US7730432B1 (en) 2005-03-30 2010-06-01 Tela Innovations, Inc. Method and system for reshaping a transistor gate in an integrated circuit to achieve a target objective
US20100169847A1 (en) * 2005-05-06 2010-07-01 Tela Innovations. Inc., A Delaware Corporation Standard cells having transistors annotated for gate-length biasing
US7888705B2 (en) 2007-08-02 2011-02-15 Tela Innovations, Inc. Methods for defining dynamic array section with manufacturing assurance halo and apparatus implementing the same
US7932545B2 (en) 2006-03-09 2011-04-26 Tela Innovations, Inc. Semiconductor device and associated layouts including gate electrode level region having arrangement of six linear conductive segments with side-to-side spacing less than 360 nanometers
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
US7943967B2 (en) 2006-03-09 2011-05-17 Tela Innovations, Inc. Semiconductor device and associated layouts including diffusion contact placement restriction based on relation to linear conductive segments
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US7979829B2 (en) 2007-02-20 2011-07-12 Tela Innovations, Inc. Integrated circuit cell library with cell-level process compensation technique (PCT) application and associated methods
US7994545B2 (en) 2007-10-26 2011-08-09 Tela Innovations, Inc. Methods, structures, and designs for self-aligning local interconnects used in integrated circuits
US8127266B1 (en) 2005-05-06 2012-02-28 Tela Innovations, Inc. Gate-length biasing for digital circuit optimization
US8214778B2 (en) 2007-08-02 2012-07-03 Tela Innovations, Inc. Methods for cell phasing and placement in dynamic array architecture and implementation of the same
US8225239B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining and utilizing sub-resolution features in linear topology
US8225261B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining contact grid in dynamic array architecture
US8245180B2 (en) 2006-03-09 2012-08-14 Tela Innovations, Inc. Methods for defining and using co-optimized nanopatterns for integrated circuit design and apparatus implementing same
US8247846B2 (en) 2006-03-09 2012-08-21 Tela Innovations, Inc. Oversized contacts and vias in semiconductor chip defined by linearly constrained topology
US8286107B2 (en) 2007-02-20 2012-10-09 Tela Innovations, Inc. Methods and systems for process compensation technique acceleration
US8448102B2 (en) 2006-03-09 2013-05-21 Tela Innovations, Inc. Optimizing layout of irregular structures in regular layout context
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US8541879B2 (en) 2007-12-13 2013-09-24 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
CN103345550A (en) * 2013-06-28 2013-10-09 上海和达汽车配件有限公司 Weight-reduction optimization method for automobile instrument board beam
US8627264B1 (en) * 2009-05-29 2014-01-07 Altera Corporation Automated verification of transformational operations on a photomask representation
US8653857B2 (en) 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US8661392B2 (en) 2009-10-13 2014-02-25 Tela Innovations, Inc. Methods for cell boundary encroachment and layouts implementing the Same
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US8863063B2 (en) 2009-05-06 2014-10-14 Tela Innovations, Inc. Finfet transistor circuit
US9035359B2 (en) 2006-03-09 2015-05-19 Tela Innovations, Inc. Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
US9122832B2 (en) 2008-08-01 2015-09-01 Tela Innovations, Inc. Methods for controlling microloading variation in semiconductor wafer layout and fabrication
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
US9230910B2 (en) 2006-03-09 2016-01-05 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
CN105574293A (en) * 2016-02-01 2016-05-11 中国科学院微电子研究所 EUV design rule, light source and mask joint optimization method and imaging modeling method
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US10216890B2 (en) 2004-04-21 2019-02-26 Iym Technologies Llc Integrated circuits having in-situ constraints

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7921383B1 (en) * 2006-01-11 2011-04-05 Olambda, Inc Photolithographic process simulation including efficient result computation for multiple process variation values
US8020120B2 (en) * 2007-10-01 2011-09-13 International Business Machines Corporation Layout quality gauge for integrated circuit design
US20110173577A1 (en) * 2008-02-01 2011-07-14 International Business Machines Corporation Techniques for Pattern Process Tuning and Design Optimization for Maximizing Process-Sensitive Circuit Yields
US8619236B2 (en) 2010-11-24 2013-12-31 International Business Machines Corporation Determining lithographic set point using optical proximity correction verification simulation
US8577489B2 (en) 2011-01-26 2013-11-05 International Business Machines Corporation Diagnosing in-line critical dimension control adjustments using optical proximity correction verification
US8499260B2 (en) 2011-01-26 2013-07-30 International Business Machines Corporation Optical proximity correction verification accounting for mask deviations
US8423923B2 (en) 2011-07-20 2013-04-16 United Microelectronics Corp. Optical proximity correction method
US8601407B2 (en) * 2011-08-25 2013-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. Geometric pattern data quality verification for maskless lithography
US8810785B2 (en) 2011-08-26 2014-08-19 United Microelectronics Corp. Mask inspecting method
US8486587B2 (en) 2011-12-20 2013-07-16 United Microelectronics Corp. Method for correcting layout pattern and method for manufacturing photomask
US8962221B2 (en) 2012-05-14 2015-02-24 United Microelectronics Corp. Mask and method of forming pattern by using the same
US8829610B2 (en) 2012-05-15 2014-09-09 United Microelectronics Corp. Method for forming semiconductor layout patterns, semiconductor layout patterns, and semiconductor structure
US8806391B2 (en) 2012-07-31 2014-08-12 United Microelectronics Corp. Method of optical proximity correction according to complexity of mask pattern
US8741507B1 (en) 2013-01-16 2014-06-03 United Microelectronics Corp. Method for separating photomask pattern
US8701052B1 (en) 2013-01-23 2014-04-15 United Microelectronics Corp. Method of optical proximity correction in combination with double patterning technique
US8627242B1 (en) 2013-01-30 2014-01-07 United Microelectronics Corp. Method for making photomask layout
US8661372B1 (en) 2013-02-04 2014-02-25 United Microelectronics Corp. Optical proximity correction method
US8977988B2 (en) 2013-04-09 2015-03-10 United Microelectronics Corp. Method of optical proximity correction for modifying line patterns and integrated circuits with line patterns modified by the same
US9009633B2 (en) 2013-05-06 2015-04-14 United Microelectronics Corp. Method of correcting assist feature
US9230812B2 (en) 2013-05-22 2016-01-05 United Microelectronics Corp. Method for forming semiconductor structure having opening
US8745547B1 (en) 2013-07-11 2014-06-03 United Microelectronics Corp. Method for making photomask layout
US8930858B1 (en) 2013-11-27 2015-01-06 United Microelectronics Corp. Method for optical proximity correction
KR102227127B1 (en) * 2014-02-12 2021-03-12 삼성전자주식회사 Design rule generating apparatus and method using lithography simulation
KR102434991B1 (en) * 2016-04-26 2022-08-22 삼성전자주식회사 Integrated circit and method for designing integrated circuit
US10083272B2 (en) 2016-08-12 2018-09-25 International Business Machines Corporation Integrated circuit design layout optimizer based on process variation and failure mechanism
US10394116B2 (en) 2017-09-06 2019-08-27 International Business Machines Corporation Semiconductor fabrication design rule loophole checking for design for manufacturability optimization
US10621295B2 (en) 2018-04-10 2020-04-14 International Business Machines Corporation Incorporation of process variation contours in design rule and risk estimation aspects of design for manufacturability to increase fabrication yield
US11061318B2 (en) * 2019-02-28 2021-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography model calibration
US11574103B2 (en) 2020-01-31 2023-02-07 International Business Machines Corporation Addressing layout retargeting shortfalls

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6578190B2 (en) * 2001-01-11 2003-06-10 International Business Machines Corporation Process window based optical proximity correction of lithographic images
US20050114822A1 (en) * 2003-03-03 2005-05-26 Valery Axelrad Integrated scheme for yield improvement by self-consistent minimization of IC design and process interactions
US7043712B2 (en) * 2003-09-09 2006-05-09 International Business Machines Corporation Method for adaptive segment refinement in optical proximity correction
US7100134B2 (en) * 2003-08-18 2006-08-29 Aprio Technologies, Inc. Method and platform for integrated physical verifications and manufacturing enhancements
US7175940B2 (en) * 2001-10-09 2007-02-13 Asml Masktools B.V. Method of two dimensional feature model calibration and optimization
US7342646B2 (en) * 2004-01-30 2008-03-11 Asml Masktools B.V. Method of manufacturing reliability checking and verification for lithography process using a calibrated eigen decomposition model

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7363099B2 (en) 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
US7487490B2 (en) 2004-03-30 2009-02-03 Youping Zhang System for simplifying layout processing
US20050229130A1 (en) 2004-04-07 2005-10-13 Aprio Technologies, Inc. Method and apparatus for selective, incremental, reconfigurable and reusable semiconductor manufacturing resolution-enhancements
KR20060010431A (en) * 2004-07-28 2006-02-02 삼성전자주식회사 Information recording medium, recording/reproducing apparatus and recording/reproducing method
US7882456B2 (en) 2005-04-09 2011-02-01 Cadence Design Systems, Inc. Optical lithography correction process

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6578190B2 (en) * 2001-01-11 2003-06-10 International Business Machines Corporation Process window based optical proximity correction of lithographic images
US7175940B2 (en) * 2001-10-09 2007-02-13 Asml Masktools B.V. Method of two dimensional feature model calibration and optimization
US20050114822A1 (en) * 2003-03-03 2005-05-26 Valery Axelrad Integrated scheme for yield improvement by self-consistent minimization of IC design and process interactions
US7100134B2 (en) * 2003-08-18 2006-08-29 Aprio Technologies, Inc. Method and platform for integrated physical verifications and manufacturing enhancements
US7043712B2 (en) * 2003-09-09 2006-05-09 International Business Machines Corporation Method for adaptive segment refinement in optical proximity correction
US7342646B2 (en) * 2004-01-30 2008-03-11 Asml Masktools B.V. Method of manufacturing reliability checking and verification for lithography process using a calibrated eigen decomposition model

Cited By (219)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100023917A1 (en) * 2003-02-25 2010-01-28 The Regents Of The University Of California Tool for modifying mask design layout
US8103981B2 (en) 2003-02-25 2012-01-24 The Regents Of The University Of California Tool for modifying mask design layout
US10846454B2 (en) 2004-04-21 2020-11-24 Iym Technologies Llc Integrated circuits having in-situ constraints
US10860773B2 (en) 2004-04-21 2020-12-08 Iym Technologies Llc Integrated circuits having in-situ constraints
US10216890B2 (en) 2004-04-21 2019-02-26 Iym Technologies Llc Integrated circuits having in-situ constraints
US7730432B1 (en) 2005-03-30 2010-06-01 Tela Innovations, Inc. Method and system for reshaping a transistor gate in an integrated circuit to achieve a target objective
US8869094B2 (en) 2005-05-06 2014-10-21 Tela Innovations, Inc. Standard cells having transistors annotated for gate-length biasing
US8756555B2 (en) 2005-05-06 2014-06-17 Tela Innovations, Inc. Standard cells having transistors annotated for gate-length biasing
US8635583B2 (en) 2005-05-06 2014-01-21 Tela Innovations, Inc. Standard cells having transistors annotated for gate-length biasing
US9202003B2 (en) 2005-05-06 2015-12-01 Tela Innovations, Inc. Gate-length biasing for digital circuit optimization
US8490043B2 (en) 2005-05-06 2013-07-16 Tela Innovations, Inc. Standard cells having transistors annotated for gate-length biasing
US9069926B2 (en) 2005-05-06 2015-06-30 Tela Innovations, Inc. Standard cells having transistors annotated for gate-length biasing
US8127266B1 (en) 2005-05-06 2012-02-28 Tela Innovations, Inc. Gate-length biasing for digital circuit optimization
US8949768B2 (en) 2005-05-06 2015-02-03 Tela Innovations, Inc. Standard cells having transistors annotated for gate-length biasing
US20100169847A1 (en) * 2005-05-06 2010-07-01 Tela Innovations. Inc., A Delaware Corporation Standard cells having transistors annotated for gate-length biasing
US8247846B2 (en) 2006-03-09 2012-08-21 Tela Innovations, Inc. Oversized contacts and vias in semiconductor chip defined by linearly constrained topology
US8089101B2 (en) 2006-03-09 2012-01-03 Tela Innovations, Inc. Integrated circuit device with gate electrode level region including two side-by-side ones of at least three linear-shaped conductive structures electrically connected to each other through non-gate level
US7932544B2 (en) 2006-03-09 2011-04-26 Tela Innovations, Inc. Semiconductor device and associated layouts including linear conductive segments having non-gate extension portions
US20090014811A1 (en) * 2006-03-09 2009-01-15 Tela Innovations, Inc. Dynamic Array Architecture
US7923757B2 (en) 2006-03-09 2011-04-12 Tela Innovations, Inc. Semiconductor device and associated layouts having linear shaped gate electrodes defined along at least five adjacent gate electrode tracks of equal pitch with gate electrode connection through single interconnect level
US7943967B2 (en) 2006-03-09 2011-05-17 Tela Innovations, Inc. Semiconductor device and associated layouts including diffusion contact placement restriction based on relation to linear conductive segments
US7943966B2 (en) 2006-03-09 2011-05-17 Tela Innovations, Inc. Integrated circuit and associated layout with gate electrode level portion including at least two complimentary transistor forming linear conductive segments and at least one non-gate linear conductive segment
US7948013B2 (en) 2006-03-09 2011-05-24 Tela Innovations, Inc. Semiconductor device and associated layouts having linear shaped gate electrodes defined along at least five adjacent gate electrode tracks of equal pitch
US7948012B2 (en) 2006-03-09 2011-05-24 Tela Innovations, Inc. Semiconductor device having 1965 nm gate electrode level region including at least four active linear conductive segments and at least one non-gate linear conductive segment
US7952119B2 (en) 2006-03-09 2011-05-31 Tela Innovations, Inc. Semiconductor device and associated layout having three or more linear-shaped gate electrode level conductive segments of both equal length and equal pitch
US8921896B2 (en) 2006-03-09 2014-12-30 Tela Innovations, Inc. Integrated circuit including linear gate electrode structures having different extension distances beyond contact
US20100011331A1 (en) * 2006-03-09 2010-01-14 Tela Innovations, Inc. Semiconductor Device Layout Including Cell Layout Having Restricted Gate Electrode Level Layout with Linear Shaped Gate Electrode Layout Features Defined Along At Least Four Gate Electrode Tracks with Minimum End-to-End Spacing with Corresponding Non-Symmetric Diffusion Regions
US7989847B2 (en) 2006-03-09 2011-08-02 Tela Innovations, Inc. Semiconductor device having linear-shaped gate electrodes of different transistor types with uniformity extending portions of different lengths
US7989848B2 (en) 2006-03-09 2011-08-02 Tela Innovations, Inc. Semiconductor device having at least four side-by-side electrodes of equal length and equal pitch with at least two transistor connections to power or ground
US8946781B2 (en) 2006-03-09 2015-02-03 Tela Innovations, Inc. Integrated circuit including gate electrode conductive structures with different extension distances beyond contact
US8022441B2 (en) 2006-03-09 2011-09-20 Tela Innovations, Inc. Semiconductor device and associated layouts having transistors formed from six linear conductive segments with gate electrode-to-gate electrode connection through single interconnect level and common node connection through different interconnect level
US8030689B2 (en) 2006-03-09 2011-10-04 Tela Innovations, Inc. Integrated circuit device and associated layout including separated diffusion regions of different type each having four gate electrodes with each of two complementary gate electrode pairs formed from respective linear conductive segment
US8035133B2 (en) 2006-03-09 2011-10-11 Tela Innovations, Inc. Semiconductor device having two pairs of transistors of different types formed from shared linear-shaped conductive features with intervening transistors of common type on equal pitch
US8058671B2 (en) 2006-03-09 2011-11-15 Tela Innovations, Inc. Semiconductor device having at least three linear-shaped electrode level conductive features of equal length positioned side-by-side at equal pitch
US8952425B2 (en) 2006-03-09 2015-02-10 Tela Innovations, Inc. Integrated circuit including at least four linear-shaped conductive structures having extending portions of different length
US8072003B2 (en) 2006-03-09 2011-12-06 Tela Innovations, Inc. Integrated circuit device and associated layout including two pairs of co-aligned complementary gate electrodes with offset gate contact structures
US8088680B2 (en) 2006-03-09 2012-01-03 Tela Innovations, Inc. Method for fabricating integrated circuit having at least three linear-shaped gate electrode level conductive features of equal length positioned side-by-side at equal pitch
US8089104B2 (en) 2006-03-09 2012-01-03 Tela Innovations, Inc. Integrated circuit with gate electrode level region including multiple linear-shaped conductive structures forming gate electrodes of transistors and including uniformity extending portions of different size
US8088682B2 (en) 2006-03-09 2012-01-03 Tela Innovations, Inc. Method for fabricating integrated circuit with gate electrode level region including two side-by-side ones of at least three linear-shaped conductive structures electrically connected to each other through non-gate level
US8089102B2 (en) 2006-03-09 2012-01-03 Tela Innovations, Inc. Method for fabricating integrated circuit having three or more linear-shaped gate electrode level conductive segments of both equal length and equal pitch
US8258547B2 (en) 2006-03-09 2012-09-04 Tela Innovations, Inc. Semiconductor device with linearly restricted gate level region including two transistors of first type and two transistors of second type with offset gate contacts
US8089099B2 (en) 2006-03-09 2012-01-03 Tela Innovations, Inc, Integrated circuit device and associated layout including gate electrode level region of 965 NM radius with linear-shaped conductive segments on fixed pitch
US8089098B2 (en) 2006-03-09 2012-01-03 Tela Innovations, Inc. Integrated circuit device and associated layout including linear gate electrodes of different transistor types next to linear-shaped non-gate conductive segment
US8089100B2 (en) 2006-03-09 2012-01-03 Tela Innovations, Inc. Integrated circuit with gate electrode level region including at least four linear-shaped conductive structures forming gate electrodes of transistors and including extending portions of at least two different sizes
US8088679B2 (en) 2006-03-09 2012-01-03 Tela Innovations, Inc. Method for fabricating integrated circuit with gate electrode level portion including at least two complementary transistor forming linear conductive segments and at least one non-gate linear conductive segment
US8088681B2 (en) 2006-03-09 2012-01-03 Tela Innovations, Inc. Method for fabricating integrated circuit including separated diffusion regions of different type each having four gate electrodes with each of two complementary gate electrode pairs formed from respective linear condcutive segment
US8089103B2 (en) 2006-03-09 2012-01-03 Tela Innovations, Inc. Integrated circuit device with gate level region including at least three linear-shaped conductive segments having offset line ends and forming three transistors of first type and one transistor of second type
US7910958B2 (en) 2006-03-09 2011-03-22 Tela Innovations, Inc. Semiconductor device and associated layouts having transistors formed from linear conductive segment with non-active neighboring linear conductive segment
US8101975B2 (en) 2006-03-09 2012-01-24 Tela Innovations, Inc. Integrated circuit device with gate level region including non-gate linear conductive segment positioned within 965 nanometers of four transistors of first type and four transistors of second type
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US8110854B2 (en) 2006-03-09 2012-02-07 Tela Innovations, Inc. Integrated circuit device with linearly defined gate electrode level region and shared diffusion region of first type connected to shared diffusion region of second type through at least two interconnect levels
US7910959B2 (en) 2006-03-09 2011-03-22 Tela Innovations, Inc. Semiconductor device and associated layouts having transistors formed from six linear conductive segments with gate electrode connection through single interconnect level
US8129753B2 (en) 2006-03-09 2012-03-06 Tela Innovations, Inc. Integrated circuit including gate electrode level region including at least seven linear-shaped conductive structures of equal length positioned at equal pitch with at least two linear-shaped conductive structures each forming one transistor and having extending portion sized greater than gate portion
US8129752B2 (en) 2006-03-09 2012-03-06 Tela Innovations, Inc. Integrated circuit including a linear-shaped conductive structure forming one gate electrode and having length greater than or equal to one-half the length of linear-shaped conductive structure forming two gate electrodes
US8129819B2 (en) 2006-03-09 2012-03-06 Tela Innovations, Inc. Method of fabricating integrated circuit including at least six linear-shaped conductive structures at equal pitch including at least two linear-shaped conductive structures having non-gate portions of different length
US8129751B2 (en) 2006-03-09 2012-03-06 Tela Innovations, Inc. Integrated circuit including at least six linear-shaped conductive structures forming gate electrodes and including four conductive contacting structures having at least two different connection distances
US8129754B2 (en) 2006-03-09 2012-03-06 Tela Innovations, Inc. Integrated circuit with gate electrode level including at least six linear-shaped conductive structures forming gate electrodes of transisters with at least one pair of linear-shaped conductive structures having offset ends
US8129756B2 (en) 2006-03-09 2012-03-06 Tela Innovations, Inc. Integrated circuit including at least six linear-shaped conductive structures forming gate electrodes of transistors with at least two different extension distances beyond conductive contacting structures
US8129750B2 (en) 2006-03-09 2012-03-06 Tela Innovations, Inc. Integrated circuit including at least six linear-shaped conductive structures forming gate electrodes of transistors with at least two linear-shaped conductive structures of different length
US8129755B2 (en) 2006-03-09 2012-03-06 Tela Innovations, Inc. Integrated circuit with gate electrode level including at least four linear-shaped conductive structures of equal length and equal pitch with linear-shaped conductive structure forming one transistor
US8129757B2 (en) 2006-03-09 2012-03-06 Tela Innovations, Inc. Integrated circuit including at least six linear-shaped conductive structive structures at equal pitch including at least two linear-shaped conductive structures having non-gate portions of different length
US8134185B2 (en) 2006-03-09 2012-03-13 Tela Innovations, Inc. Integrated circuit having gate electrode level region including at least seven linear-shaped conductive structures at equal pitch including linear-shaped conductive structure forming transistors of two different types and at least three linear-shaped conductive structures having aligned ends
US8134184B2 (en) 2006-03-09 2012-03-13 Tela Innovations, Inc. Integrated circuit having gate electrode level region including at least four linear-shaped conductive structures with some outer-contacted linear-shaped conductive structures having larger outer extending portion than inner extending portion
US8134183B2 (en) 2006-03-09 2012-03-13 Tela Innovations, Inc. Integrated circuit including linear-shaped conductive structures that have gate portions and extending portions of different size
US8134186B2 (en) 2006-03-09 2012-03-13 Tela Innovations, Inc. Integrated circuit including at least three linear-shaped conductive structures at equal pitch including linear-shaped conductive structure having non-gate portion length greater than gate portion length
US8138525B2 (en) 2006-03-09 2012-03-20 Tela Innovations, Inc. Integrated circuit including at least three linear-shaped conductive structures of different length each forming gate of different transistor
US8198656B2 (en) 2006-03-09 2012-06-12 Tela Innovations, Inc. Integrated circuit including gate electrode level region including at least four linear-shaped conductive structures of equal length having aligned ends and positioned at equal pitch and forming multiple gate electrodes of transistors of different type
US9009641B2 (en) 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US8207053B2 (en) 2006-03-09 2012-06-26 Tela Innovations, Inc. Electrodes of transistors with at least two linear-shaped conductive structures of different length
US9035359B2 (en) 2006-03-09 2015-05-19 Tela Innovations, Inc. Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
US8217428B2 (en) 2006-03-09 2012-07-10 Tela Innovations, Inc. Integrated circuit including gate electrode level region including at least three linear-shaped conductive structures of equal length having aligned ends and positioned at equal pitch and forming multiple gate electrodes of transistors of different type
US8225239B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining and utilizing sub-resolution features in linear topology
US8225261B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining contact grid in dynamic array architecture
US8245180B2 (en) 2006-03-09 2012-08-14 Tela Innovations, Inc. Methods for defining and using co-optimized nanopatterns for integrated circuit design and apparatus implementing same
US8921897B2 (en) 2006-03-09 2014-12-30 Tela Innovations, Inc. Integrated circuit with gate electrode conductive structures having offset ends
US8253173B2 (en) 2006-03-09 2012-08-28 Tela Innovations, Inc. Semiconductor device with gate level including four transistors of first type and four transistors of second type separated by non-diffusion region and having at least two gate contacts positioned outside separating non-diffusion region
US8253172B2 (en) 2006-03-09 2012-08-28 Tela Innovations, Inc. Semiconductor device with linearly restricted gate level region including four serially connected transistors of first type and four serially connected transistors of second type separated by non-diffusion region
US8258551B2 (en) 2006-03-09 2012-09-04 Tela Innovations, Inc. Semiconductor device with gate level including transistors of first type and transistors of second type with corresponding gate contact placement restriction
US8258548B2 (en) 2006-03-09 2012-09-04 Tela Innovations, Inc. Semiconductor device with gate level including four transistors of first type and four transistors of second type separated by non-diffusion region with restricted gate contact placement over separating non-diffusion region
US8258549B2 (en) 2006-03-09 2012-09-04 Tela Innovations, Inc. Semiconductor device including two transistors of first type having gates formed by conductors of different length respectively aligned with two transistors of second type having gates formed by conductors of different length
US8823062B2 (en) 2006-03-09 2014-09-02 Tela Innovations, Inc. Integrated circuit with offset line end spacings in linear gate electrode level
US9425272B2 (en) 2006-03-09 2016-08-23 Tela Innovations, Inc. Semiconductor chip including integrated circuit including four transistors of first transistor type and four transistors of second transistor type with electrical connections between various transistors and methods for manufacturing the same
US7932545B2 (en) 2006-03-09 2011-04-26 Tela Innovations, Inc. Semiconductor device and associated layouts including gate electrode level region having arrangement of six linear conductive segments with side-to-side spacing less than 360 nanometers
US20100023907A1 (en) * 2006-03-09 2010-01-28 Tela Innovations, Inc. Layout of Cell of Semiconductor Device Having Linear Shaped Gate Electrode Layout Features Defined Along At Least Four Gate Electrode Tracks with Minimum End-to-End Spacing and Having Corresponding p-type and n-type Diffusion Regions Separated by Central Inactive Region
US10230377B2 (en) 2006-03-09 2019-03-12 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US8264008B2 (en) 2006-03-09 2012-09-11 Tela Innovations, Inc. Semiconductor device including transistor forming linear shapes including gate portions and extending portions of different size
US8264007B2 (en) 2006-03-09 2012-09-11 Tela Innovations, Inc. Semiconductor device including at least six transistor forming linear shapes including at least two different gate contact connection distances
US20100096671A1 (en) * 2006-03-09 2010-04-22 Tela Innovations, Inc. Cell of Semiconductor Device Having Gate Electrode Conductive Structures Formed from Rectangular Shaped Gate Electrode Layout Features and At Least Eight Transistors
US8264009B2 (en) 2006-03-09 2012-09-11 Tela Innovations, Inc. Semiconductor device with linearly restricted gate level region including four transistors of first type and four transistors of second type with gate defining shapes of different length
US10217763B2 (en) 2006-03-09 2019-02-26 Tela Innovations, Inc. Semiconductor chip having region including gate electrode features of rectangular shape on gate horizontal grid and first-metal structures of rectangular shape on at least eight first-metal gridlines of first-metal vertical grid
US9230910B2 (en) 2006-03-09 2016-01-05 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
US9240413B2 (en) 2006-03-09 2016-01-19 Tela Innovations, Inc. Methods, structures, and designs for self-aligning local interconnects used in integrated circuits
US7842975B2 (en) 2006-03-09 2010-11-30 Tela Innovations, Inc. Dynamic array architecture
US10186523B2 (en) 2006-03-09 2019-01-22 Tela Innovations, Inc. Semiconductor chip having region including gate electrode features formed in part from rectangular layout shapes on gate horizontal grid and first-metal structures formed in part from rectangular layout shapes on at least eight first-metal gridlines of first-metal vertical grid
US10141335B2 (en) 2006-03-09 2018-11-27 Tela Innovations, Inc. Semiconductor CIP including region having rectangular-shaped gate structures and first metal structures
US10141334B2 (en) 2006-03-09 2018-11-27 Tela Innovations, Inc. Semiconductor chip including region having rectangular-shaped gate structures and first-metal structures
US8436400B2 (en) 2006-03-09 2013-05-07 Tela Innovations, Inc. Semiconductor device with gate level including gate electrode conductors for transistors of first type and transistors of second type with some gate electrode conductors of different length
US8448102B2 (en) 2006-03-09 2013-05-21 Tela Innovations, Inc. Optimizing layout of irregular structures in regular layout context
US9336344B2 (en) 2006-03-09 2016-05-10 Tela Innovations, Inc. Coarse grid design methods and structures
US8258550B2 (en) 2006-03-09 2012-09-04 Tela Innovations, Inc. Semiconductor device including at least six transistor forming linear shapes including at least two transistor forming linear shapes having different extension distances beyond gate contact
US7906801B2 (en) 2006-03-09 2011-03-15 Tela Innovations, Inc. Semiconductor device and associated layouts having transistors formed from six linear conductive segments with intervening diffusion contact restrictions
US9425273B2 (en) 2006-03-09 2016-08-23 Tela Innovations, Inc. Semiconductor chip including integrated circuit including at least five gate level conductive structures having particular spatial and electrical relationship and method for manufacturing the same
US9425145B2 (en) 2006-03-09 2016-08-23 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
US9443947B2 (en) 2006-03-09 2016-09-13 Tela Innovations, Inc. Semiconductor chip including region having integrated circuit transistor gate electrodes formed by various conductive structures of specified shape and position and method for manufacturing the same
US9917056B2 (en) 2006-03-09 2018-03-13 Tela Innovations, Inc. Coarse grid design methods and structures
US9589091B2 (en) 2006-03-09 2017-03-07 Tela Innovations, Inc. Scalable meta-data objects
US9905576B2 (en) 2006-03-09 2018-02-27 Tela Innovations, Inc. Semiconductor chip including region having rectangular-shaped gate structures and first metal structures
US9859277B2 (en) 2006-03-09 2018-01-02 Tela Innovations, Inc. Methods, structures, and designs for self-aligning local interconnects used in integrated circuits
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US8653857B2 (en) 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US9754878B2 (en) 2006-03-09 2017-09-05 Tela Innovations, Inc. Semiconductor chip including a chip level based on a layout that includes both regular and irregular wires
US9741719B2 (en) 2006-03-09 2017-08-22 Tela Innovations, Inc. Methods, structures, and designs for self-aligning local interconnects used in integrated circuits
US9711495B2 (en) 2006-03-09 2017-07-18 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
US9673825B2 (en) 2006-03-09 2017-06-06 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US8258552B2 (en) 2006-03-09 2012-09-04 Tela Innovations, Inc. Semiconductor device including at least six transistor forming linear shapes with at least two transistor forming linear shapes having offset ends
US7979829B2 (en) 2007-02-20 2011-07-12 Tela Innovations, Inc. Integrated circuit cell library with cell-level process compensation technique (PCT) application and associated methods
US8286107B2 (en) 2007-02-20 2012-10-09 Tela Innovations, Inc. Methods and systems for process compensation technique acceleration
US9633987B2 (en) 2007-03-05 2017-04-25 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US10074640B2 (en) 2007-03-05 2018-09-11 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US9595515B2 (en) 2007-03-07 2017-03-14 Tela Innovations, Inc. Semiconductor chip including integrated circuit defined within dynamic array section
US9910950B2 (en) 2007-03-07 2018-03-06 Tela Innovations, Inc. Methods for cell phasing and placement in dynamic array architecture and implementation of the same
US8966424B2 (en) 2007-03-07 2015-02-24 Tela Innovations, Inc. Methods for cell phasing and placement in dynamic array architecture and implementation of the same
US9424387B2 (en) 2007-03-07 2016-08-23 Tela Innovations, Inc. Methods for cell phasing and placement in dynamic array architecture and implementation of the same
US8112724B2 (en) * 2007-03-20 2012-02-07 Sony Corporation Method of designing semiconductor integrated circuit, apparatus for designing semiconductor integrated circuit, recording medium, and mask manufacturing method
US20080235649A1 (en) * 2007-03-20 2008-09-25 Sony Corporation Method of designing semiconductor integrated circuit, apparatus for designing semiconductor integrated circuit, recording medium, and mask manuacturing method
US7888705B2 (en) 2007-08-02 2011-02-15 Tela Innovations, Inc. Methods for defining dynamic array section with manufacturing assurance halo and apparatus implementing the same
US8549455B2 (en) 2007-08-02 2013-10-01 Tela Innovations, Inc. Methods for cell phasing and placement in dynamic array architecture and implementation of the same
US8756551B2 (en) 2007-08-02 2014-06-17 Tela Innovations, Inc. Methods for designing semiconductor device with dynamic array section
US7908578B2 (en) 2007-08-02 2011-03-15 Tela Innovations, Inc. Methods for designing semiconductor device with dynamic array section
US8214778B2 (en) 2007-08-02 2012-07-03 Tela Innovations, Inc. Methods for cell phasing and placement in dynamic array architecture and implementation of the same
US7917879B2 (en) 2007-08-02 2011-03-29 Tela Innovations, Inc. Semiconductor device with dynamic array section
US8759882B2 (en) 2007-08-02 2014-06-24 Tela Innovations, Inc. Semiconductor device with dynamic array sections defined and placed according to manufacturing assurance halos
US8283701B2 (en) 2007-08-02 2012-10-09 Tela Innovations, Inc. Semiconductor device with dynamic array sections defined and placed according to manufacturing assurance halos
US8356268B2 (en) 2007-08-02 2013-01-15 Tela Innovations, Inc. Integrated circuit device including dynamic array section with gate level having linear conductive features on at least three side-by-side lines and uniform line end spacings
US8680626B2 (en) 2007-10-26 2014-03-25 Tela Innovations, Inc. Methods, structures, and designs for self-aligning local interconnects used in integrated circuits
US7994545B2 (en) 2007-10-26 2011-08-09 Tela Innovations, Inc. Methods, structures, and designs for self-aligning local interconnects used in integrated circuits
US10734383B2 (en) 2007-10-26 2020-08-04 Tela Innovations, Inc. Methods, structures, and designs for self-aligning local interconnects used in integrated circuits
US8200468B2 (en) * 2007-12-05 2012-06-12 Asml Netherlands B.V. Methods and system for lithography process window simulation
US9390206B2 (en) 2007-12-05 2016-07-12 Asml Netherlands B.V. Methods and systems for lithography process window simulation
US8527255B2 (en) 2007-12-05 2013-09-03 Asml Netherlands B.V. Methods and systems for lithography process window simulation
US20090157360A1 (en) * 2007-12-05 2009-06-18 Jun Ye Methods and system for lithography process window simulation
US10461081B2 (en) 2007-12-13 2019-10-29 Tel Innovations, Inc. Super-self-aligned contacts and method for making the same
US9818747B2 (en) 2007-12-13 2017-11-14 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US9281371B2 (en) 2007-12-13 2016-03-08 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US8951916B2 (en) 2007-12-13 2015-02-10 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US8541879B2 (en) 2007-12-13 2013-09-24 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US9202779B2 (en) 2008-01-31 2015-12-01 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US8701071B2 (en) 2008-01-31 2014-04-15 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US9530734B2 (en) 2008-01-31 2016-12-27 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US8564071B2 (en) 2008-03-13 2013-10-22 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with at least two different gate level feature extensions beyond contact
US8669595B2 (en) 2008-03-13 2014-03-11 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate contact position, alignment, and offset specifications
US8866197B2 (en) 2008-03-13 2014-10-21 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with at least two gate electrodes electrically connected to each other through another transistor forming gate level feature
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US8853793B2 (en) 2008-03-13 2014-10-07 Tela Innovations, Inc. Integrated circuit including gate electrode level region including cross-coupled transistors having gate contacts located over inner portion of gate electrode level region and offset gate level feature line ends
US8853794B2 (en) 2008-03-13 2014-10-07 Tela Innovations, Inc. Integrated circuit within semiconductor chip including cross-coupled transistor configuration
US8847329B2 (en) 2008-03-13 2014-09-30 Tela Innovations, Inc. Cross-coupled transistor circuit defined having diffusion regions of common node on opposing sides of same gate electrode track with at least two non-inner positioned gate contacts
US8847331B2 (en) 2008-03-13 2014-09-30 Tela Innovations, Inc. Semiconductor chip including region having cross-coupled transistor configuration with offset electrical connection areas on gate electrode forming conductive structures and at least two different inner extension distances of gate electrode forming conductive structures
US8835989B2 (en) 2008-03-13 2014-09-16 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate electrode placement specifications
US8836045B2 (en) 2008-03-13 2014-09-16 Tela Innovations, Inc. Cross-coupled transistor circuit having diffusion regions of common node on opposing sides of same gate electrode track
US8816402B2 (en) 2008-03-13 2014-08-26 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate level feature layout channel including single transistor
US9081931B2 (en) 2008-03-13 2015-07-14 Tela Innovations, Inc. Cross-coupled transistor circuit having diffusion regions of common node on opposing sides of same gate electrode track and gate node connection through single interconnect layer
US9117050B2 (en) 2008-03-13 2015-08-25 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate contact position and offset specifications
US8058691B2 (en) 2008-03-13 2011-11-15 Tela Innovations, Inc. Semiconductor device including cross-coupled transistors formed from linear-shaped gate level features
US10727252B2 (en) 2008-03-13 2020-07-28 Tela Innovations, Inc. Semiconductor chip including integrated circuit having cross-coupled transistor configuration and method for manufacturing the same
US8785978B2 (en) 2008-03-13 2014-07-22 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with electrical connection of cross-coupled transistors through same interconnect layer
US8785979B2 (en) 2008-03-13 2014-07-22 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with two inside positioned gate contacts and two outside positioned gate contacts and electrical connection of cross-coupled transistors through same interconnect layer
US9208279B2 (en) 2008-03-13 2015-12-08 Tela Innovations, Inc. Semiconductor chip including digital logic circuit including linear-shaped conductive structures having electrical connection areas located within inner region between transistors of different type and associated methods
US9213792B2 (en) 2008-03-13 2015-12-15 Tela Innovations, Inc. Semiconductor chip including digital logic circuit including at least six transistors with some transistors forming cross-coupled transistor configuration and associated methods
US8772839B2 (en) 2008-03-13 2014-07-08 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with four inside positioned gate contacts having offset and aligned relationships and electrical connection of transistor gates through linear interconnect conductors in single interconnect layer
US10658385B2 (en) 2008-03-13 2020-05-19 Tela Innovations, Inc. Cross-coupled transistor circuit defined on four gate electrode tracks
US9245081B2 (en) 2008-03-13 2016-01-26 Tela Innovations, Inc. Semiconductor chip including digital logic circuit including at least nine linear-shaped conductive structures collectively forming gate electrodes of at least six transistors with some transistors forming cross-coupled transistor configuration and associated methods
US10651200B2 (en) 2008-03-13 2020-05-12 Tela Innovations, Inc. Cross-coupled transistor circuit defined on three gate electrode tracks
US8742463B2 (en) 2008-03-13 2014-06-03 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with outer positioned gate contacts
US8742462B2 (en) 2008-03-13 2014-06-03 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate contact position specifications
US8258581B2 (en) 2008-03-13 2012-09-04 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors with two transistors of different type formed by same gate level structure and two transistors of different type formed by separate gate level structures
US8264049B2 (en) 2008-03-13 2012-09-11 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors with two transistors of different type having gate electrodes formed by common gate level feature with shared diffusion regions on opposite sides of common gate level feature
US8735995B2 (en) 2008-03-13 2014-05-27 Tela Innovations, Inc. Cross-coupled transistor circuit defined on three gate electrode tracks with diffusion regions of common node on opposing sides of same gate electrode track
US8735944B2 (en) 2008-03-13 2014-05-27 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with serially connected transistors
US8729606B2 (en) 2008-03-13 2014-05-20 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels
US8729643B2 (en) 2008-03-13 2014-05-20 Tela Innovations, Inc. Cross-coupled transistor circuit including offset inner gate contacts
US8680583B2 (en) 2008-03-13 2014-03-25 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within at least nine gate level feature layout channels
US8669594B2 (en) 2008-03-13 2014-03-11 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within at least twelve gate level feature layout channels
US8264044B2 (en) 2008-03-13 2012-09-11 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having two complementary pairs of co-aligned gate electrodes with offset contacting structures positioned between transistors of different type
US8872283B2 (en) 2008-03-13 2014-10-28 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with shared diffusion regions on opposite sides of two-transistor-forming gate level feature
US9536899B2 (en) 2008-03-13 2017-01-03 Tela Innovations, Inc. Semiconductor chip including integrated circuit having cross-coupled transistor configuration and method for manufacturing the same
US8274099B2 (en) 2008-03-13 2012-09-25 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate contact position and offset specifications
US8395224B2 (en) 2008-03-13 2013-03-12 Tela Innovations, Inc. Linear gate level cross-coupled transistor device with non-overlapping PMOS transistors and non-overlapping NMOS transistors relative to directions of gate electrodes
US8405162B2 (en) 2008-03-13 2013-03-26 Tela Innovations, Inc. Integrated circuit including gate electrode level region including cross-coupled transistors having at least one gate contact located over outer portion of gate electrode level region
US8592872B2 (en) 2008-03-13 2013-11-26 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors with two transistors of different type having gate electrodes formed by common gate level feature with shared diffusion regions on opposite sides of common gate level feature
US8587034B2 (en) 2008-03-13 2013-11-19 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with four inside positioned gate contacts and electrical connection of transistor gates through linear interconnect conductors in single interconnect layer
US8405163B2 (en) 2008-03-13 2013-03-26 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with shared diffusion regions on opposite sides of two-transistor-forming gate level feature
US8581304B2 (en) 2008-03-13 2013-11-12 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with four inside positioned gate contacts having offset and aligned relationships
US8581303B2 (en) 2008-03-13 2013-11-12 Tela Innovations, Inc. Integrated circuit including cross-coupled trasistors having gate electrodes formed within gate level feature layout channels with four inside positioned gate contacts having offset relationships and electrical connection of cross-coupled transistors through same interconnect layer
US8575706B2 (en) 2008-03-13 2013-11-05 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with at least two different gate level features inner extensions beyond gate electrode
US10020321B2 (en) 2008-03-13 2018-07-10 Tela Innovations, Inc. Cross-coupled transistor circuit defined on two gate electrode tracks
US8569841B2 (en) 2008-03-13 2013-10-29 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with at least one gate level feature extending into adjacent gate level feature layout channel
US8558322B2 (en) 2008-03-13 2013-10-15 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with at least two gate electrodes electrically connected to each other through gate level feature
US9871056B2 (en) 2008-03-13 2018-01-16 Tela Innovations, Inc. Semiconductor chip including integrated circuit having cross-coupled transistor configuration and method for manufacturing the same
US8552508B2 (en) 2008-03-13 2013-10-08 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with shared diffusion regions on opposite sides of two-transistor-forming gate level feature and electrical connection of transistor gates through linear interconnect conductors in single interconnect layer
US8552509B2 (en) 2008-03-13 2013-10-08 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with other transistors positioned between cross-coupled transistors
US8759985B2 (en) 2008-03-27 2014-06-24 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
US9390215B2 (en) 2008-03-27 2016-07-12 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
US9779200B2 (en) 2008-03-27 2017-10-03 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
US8471391B2 (en) 2008-03-27 2013-06-25 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
US9122832B2 (en) 2008-08-01 2015-09-01 Tela Innovations, Inc. Methods for controlling microloading variation in semiconductor wafer layout and fabrication
US10446536B2 (en) 2009-05-06 2019-10-15 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US8863063B2 (en) 2009-05-06 2014-10-14 Tela Innovations, Inc. Finfet transistor circuit
US8627264B1 (en) * 2009-05-29 2014-01-07 Altera Corporation Automated verification of transformational operations on a photomask representation
US8661392B2 (en) 2009-10-13 2014-02-25 Tela Innovations, Inc. Methods for cell boundary encroachment and layouts implementing the Same
US9269702B2 (en) 2009-10-13 2016-02-23 Tela Innovations, Inc. Methods for cell boundary encroachment and layouts implementing the same
US9530795B2 (en) 2009-10-13 2016-12-27 Tela Innovations, Inc. Methods for cell boundary encroachment and semiconductor devices implementing the same
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
US9704845B2 (en) 2010-11-12 2017-07-11 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
CN103345550A (en) * 2013-06-28 2013-10-09 上海和达汽车配件有限公司 Weight-reduction optimization method for automobile instrument board beam
CN105574293A (en) * 2016-02-01 2016-05-11 中国科学院微电子研究所 EUV design rule, light source and mask joint optimization method and imaging modeling method

Also Published As

Publication number Publication date
TW200834365A (en) 2008-08-16
KR20090077789A (en) 2009-07-15
US7624369B2 (en) 2009-11-24
JP2010508549A (en) 2010-03-18
WO2008055195A3 (en) 2008-08-07
WO2008055195A2 (en) 2008-05-08
JP5052620B2 (en) 2012-10-17

Similar Documents

Publication Publication Date Title
US7624369B2 (en) Closed-loop design for manufacturability process
US6745372B2 (en) Method and apparatus for facilitating process-compliant layout optimization
US11036126B2 (en) Semiconductor fabrication design rule loophole checking for design for manufacturability optimization
US9870443B2 (en) Method and apparatus for integrated circuit mask patterning
US6470489B1 (en) Design rule checking system and method
US7337421B2 (en) Method and system for managing design corrections for optical and process effects based on feature tolerances
US8762900B2 (en) Method for proximity correction
US9418195B2 (en) Layout content analysis for source mask optimization acceleration
US7451068B2 (en) Method and apparatus for generating an OPC segmentation based on modeled intensity gradients
KR20090085651A (en) Printability verification by progressive modeling accuracy
US11714349B2 (en) Mask optimization process
WO1999014638A1 (en) Design rule checking system and method
US20230351081A1 (en) Method and system for reducing migration errors
US20230153514A1 (en) Integrated circuit device design method and system
US7251806B2 (en) Model-based two-dimensional interpretation filtering
US20230014110A1 (en) Variable tracks and non-default rule routing
US7313774B2 (en) Method and apparatus for associating an error in a layout with a cell
CN116710843A (en) Optical proximity correction for free shape
US20120127442A1 (en) Determining lithographic set point using optical proximity correction verification simulation
US20230289508A1 (en) Dummy cells placed adjacent functional blocks
Kachwala et al. Integrating RET and mask manufacturability in designs for local interconnect for sub-100-nm trenches
Li et al. Transferring optical proximity correction (OPC) effect into optical mode

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GRAUR, IOANA C.;HAN, GENG;LIEBMANN, LARS W.;AND OTHERS;REEL/FRAME:018517/0217

Effective date: 20061026

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20131124