US20080064185A1 - Semiconductor wafer front side protection - Google Patents

Semiconductor wafer front side protection Download PDF

Info

Publication number
US20080064185A1
US20080064185A1 US11/926,668 US92666807A US2008064185A1 US 20080064185 A1 US20080064185 A1 US 20080064185A1 US 92666807 A US92666807 A US 92666807A US 2008064185 A1 US2008064185 A1 US 2008064185A1
Authority
US
United States
Prior art keywords
peripheral region
side edge
substrate
wafer
opposite
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/926,668
Inventor
Allan Abrams
Donald Brouillette
Joseph Danaher
Timothy Krywanczyk
Rene Lamothe
Ivan Stone
Matthew Whalen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/413,698 external-priority patent/US7001827B2/en
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US11/926,668 priority Critical patent/US20080064185A1/en
Publication of US20080064185A1 publication Critical patent/US20080064185A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/6834Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to protect an active side of a device or wafer

Definitions

  • the present invention generally relates to fabrication of semiconductor chips and more particularly to a fabrication process of a semiconductor chip wherein a first surface of the semiconductor wafer, from which the semiconductor chip originates, is protected by a fluid while an opposite surface of the wafer undergoes a grinding and removal step.
  • the invention also relates to the semiconductor chip made from the fabrication process.
  • a dicing process Before applying such a dicing process, it is generally necessary to grind a surface of the wafer opposite a first surface where the semiconductor devices are formed, such that the wafer has a predetermined thickness.
  • a grinding process can be used to reduce the thickness of a wafer to 500 microns ( ⁇ ) or less according to the specification of the semiconductor device.
  • the adhesive tape used for such a purpose carries, on a tape base, an adhesive layer of an acrylic resin with a thickness of about 30-40 ⁇ .
  • the tape base is formed of a polymer such as a polyolefin, polyvinyl, or polyethylene and generally has a thickness of about 100-150 ⁇ .
  • the adhesive layer used for such a purpose is generally added with a surfactant. By doing so, any adhesives remaining on the substrate surface after tape removal can be more easily removed by cleaning the wafer in purified water or in an organic solvent.
  • the composition of adhesives used in such a tape changes substantially lot by lot, and the adhesive of the tape tends to establish a very intimate adhesion with the wafer surface. Adhesive residues often remain, such as amorphous carbon, nitrides or amorphous polyimides, and extensive cleaning may be required. Such a strong adhesion suggests that there is a cross-link reaction between the adhesive and the residual materials on the wafer. Sometimes up to 60 minutes or more of cleaning time may be required.
  • the front side surface of the wafer generally includes a film such as a polyimide or SiN, any tape adhesive remaining on the wafer surface after tape removal, raises serious performance problems.
  • UV-cure tape in the grinding process may cause a problem in the fabrication of a semiconductor memory device that includes a so-called floating gate, such as a flash memory or EEPROM. More specifically, the initial data written into the floating gate of the device may be erased or modified as a result of the ultraviolet radiation. As a result, use of the UV-cure protective tape has not been made a matter of common practice for fabricating semiconductor devices.
  • a technique for fabricating a semiconductor chip wafer which would eliminate the use of a protective tape during the grinding process would be a substantial advance in packaging technology.
  • a method for making a wafer comprising the steps of providing a substrate having a first surface, an opposite second surface, and at least one side edge defining a thickness of the substrate, the at least one side edge having a first peripheral region and a second peripheral region adjacent to the first peripheral region.
  • the method includes applying a fluid to the first surface and the first peripheral region of the at least one side edge and removing the opposite second surface and the second peripheral region of the at least one side edge to form a third surface.
  • FIGS. 1 (A) and 1 (B) are diagrams showing a method of making a wafer according to one embodiment of the present invention.
  • FIG. 2 is a diagrammatic sectional view of a wafer chucked and gas flowing according to an embodiment of this invention
  • a wafer 8 including a substrate 10 having a first surface 12 is provided.
  • the wafer 10 can be comprised of silicon, silicon germanium or gallium arsenide.
  • Substrate 10 includes an opposite second surface 14 .
  • First surface 12 of substrate 10 can include conductive circuit lines thereon (not shown) comprised of aluminum, copper, gold, lead, tungsten, and alloys thereof.
  • First surface 12 of substrate 10 can also include oxides, nitrides, and polysilicon thereon.
  • Substrate 10 includes at least one side edge 16 defining a thickness of the substrate, the side edge having a first peripheral region 18 and a second peripheral region 20 adjacent to the first peripheral region and second surface 14 .
  • a fluid 22 is applied to first surface 12 and first peripheral region 18 .
  • the fluid 22 can be applied to first surface 12 and first peripheral region 18 by spraying a liquid or blowing a gas stream against the first surface and first peripheral region.
  • the spray pressure is from about 1 pound per square inch (psi.) to about 20 psi.
  • a gas is used, it is blown onto the first surface and first peripheral region at a pressure of from about 1 psi. to about 60 psi.
  • Spraying and blowing can be performed for about 1 minute to about 10 minutes at a temperature of from about 20 degrees Celsius (° C.) to about 40° C.
  • gases that can be used in the invention are nitrogen, helium, carbon dioxide, air, and combinations thereof.
  • liquids that can be used in this invention are water or oils, such as mineral oil.
  • Applying a fluid to first surface 12 and first peripheral region 18 establishes a barrier around the first surface and first peripheral region. This barrier prevents damage to these surfaces and the conductive circuit lines on first surface 12 during subsequent processing steps, performed on opposite second surface 14 , such as grinding, which will be described in more detail below.
  • the next step in the process comprises the step of removing opposite second surface 14 and second peripheral region 20 of one side edge 16 to form a third surface 24 (shown in phantom in FIG. 1 (B) as it would exist after completion of the removal step).
  • the removal step can be performed by a process such as grinding using a grinding wheel 26 having diamonds 28 in contact with opposite surface 14 throughout the grinding step.
  • a liquid for example, water can be used to assist in lubrication.
  • Surface 14 is continuously removed until it yields third surface 24 by the grinding action of diamonds 28 .
  • the size of the diamonds can be from about 4 ⁇ to about 60 ⁇ in diameter.
  • Diamonds 24 are shown as cross-sections of spheres, however the diamonds can also be irregularly shaped. When diamonds 24 are irregularly shaped, the widest dimension of any one diamond can be from about 4 ⁇ to about 60 ⁇ .
  • Other processes that can be used to remove opposite second surface 14 and second peripheral region 20 are wet etching, for example, with potassium hydroxide and plasma removal.
  • Third surface 24 even though shown as planar and flat can have features of non-planarity and roughness due to the non-uniformity of the grinding process.
  • particles of substrate 10 are generated. First surface 12 of substrate 10 must be protected from these particles as well as from the grinding slurry.
  • fluid 22 is applied to first peripheral region 18 and first surface 12 and creating a barrier therearound.
  • the action of fluid 22 also provides a continuous flushing action removing generated particles and grinding slurry.
  • the amount of grinding performed on substrate 10 can be defined by the desired thickness of the substrate needed for semiconductor chip performance.
  • First edge portion 18 can have a thickness of from about 50 ⁇ to about 725 ⁇ after grinding.
  • After grinding first surface 12 of substrate 10 may be polished. Polishing is performed with a slurry.
  • the slurry is a colloidal suspension of silicon dioxide or aluminum dioxide in distilled water. Silicon dioxide particles used are typically about 500 angstroms in size.
  • a base solution such as potassium hydroxide or sodium hydroxide can be used to adjust the pH of the slurry, if desired.
  • the substrate 10 is then washed with water, spun dry, diced to yield a plurality of semiconductor chips.
  • the method of forming a wafer and the semiconductor chip produced therefrom provide a semiconductor chip that is less costly to produce and has low defect levels (higher manufacturing yields) because the surface of the wafer from which the semiconductor chip is formed and a first peripheral region of at least one side edge of the semiconductor chip are protected from the grinding process and its chemicals by the unique tapeless process of the present invention.
  • the unique method is less costly because it eliminates use of tape, a detaping process, breakage of the wafer associated with the detaping process, and avoids other more costly alternatives proposed to remove tape from the wafer when tape is utilized in the grinding process.
  • FIG. 2 shows, diagrammatically, a chucking device 30 holding a wafer 10 for grinding according to an embodiment of this invention.
  • the chucking device 30 is formed of a porous material such as a ceramic material preferably a chuck such as those manufactured by Disco, Okamoto, or TSK. Thus fluids in the form of gasses or liquids can pass through the material.
  • a vacuum chamber 32 is provided at one side of the device 30 connected to a vacuum pump 34 which will pull a vacuum in the vacuum chamber 32 . Since the chucking device 30 is formed of a porous material, the vacuum in the chamber 32 will act to hold the wafer 10 to the opposite side of the chucking device 30 .
  • the fluid 22 is supplied through an annular opening 33 in the chucking device 30 from a fluid chamber 36 supplied by a pump 38 to flood the front side 12 and the side wall 16 of the wafer 10 .
  • the substrate 10 may be beveled, and he vacuum will draw fluid to the center of the chuck.
  • FIGS. 1A and 1B are established and maintained.

Abstract

There is provided a method for making a wafer comprising the steps of providing a substrate having a first surface, an opposite second surface, and at least one side edge defining a thickness of the substrate, the at least one side edge having a first peripheral region and a second peripheral region adjacent to the first peripheral region. The method includes applying a fluid to the first surface and the first peripheral region of the at least one side edge and removing the opposite second surface and the second peripheral region of the at least one side edge to form a third surface. A semiconductor chip made from the method for making the wafer is also provided.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation of application Ser. No. 11/117,122, filed Apr. 28, 2005, which will issue Oct. 30, 2007 as U.S. Pat. No. 7,288,465 B2, and which is a continuation-in-part of application Ser. No. 10/413,698, filed Apr. 15, 2003, now U.S. Pat. No. 7,001,827.
  • FIELD OF THE INVENTION
  • The present invention generally relates to fabrication of semiconductor chips and more particularly to a fabrication process of a semiconductor chip wherein a first surface of the semiconductor wafer, from which the semiconductor chip originates, is protected by a fluid while an opposite surface of the wafer undergoes a grinding and removal step. The invention also relates to the semiconductor chip made from the fabrication process.
  • BACKGROUND OF THE INVENTION
  • In the fabrication process of semiconductor devices, such as a semiconductor integrated circuit on a semiconductor wafer, it is commonly practiced to divide the semiconductor wafer into individual chips by a dicing process.
  • Before applying such a dicing process, it is generally necessary to grind a surface of the wafer opposite a first surface where the semiconductor devices are formed, such that the wafer has a predetermined thickness. For example, such a grinding process can be used to reduce the thickness of a wafer to 500 microns (μ) or less according to the specification of the semiconductor device.
  • In order to prevent damaging of the semiconductor wafer from, for example, cracking at the time of grinding, it is commonly practiced to protect the first side, commonly referred to as the front side, of the wafer by an adhesive medium such as an adhesive tape. Generally, the adhesive tape used for such a purpose carries, on a tape base, an adhesive layer of an acrylic resin with a thickness of about 30-40μ. The tape base, in turn, is formed of a polymer such as a polyolefin, polyvinyl, or polyethylene and generally has a thickness of about 100-150μ.
  • After the grinding process, removal of the adhesive tape is necessary. In order the help facilitate removal of the tape and adhesive, the adhesive layer used for such a purpose is generally added with a surfactant. By doing so, any adhesives remaining on the substrate surface after tape removal can be more easily removed by cleaning the wafer in purified water or in an organic solvent. It should be noted that the composition of adhesives used in such a tape changes substantially lot by lot, and the adhesive of the tape tends to establish a very intimate adhesion with the wafer surface. Adhesive residues often remain, such as amorphous carbon, nitrides or amorphous polyimides, and extensive cleaning may be required. Such a strong adhesion suggests that there is a cross-link reaction between the adhesive and the residual materials on the wafer. Sometimes up to 60 minutes or more of cleaning time may be required. As the front side surface of the wafer generally includes a film such as a polyimide or SiN, any tape adhesive remaining on the wafer surface after tape removal, raises serious performance problems.
  • Attempts have been made to use an adhesive tape that carries a LV-cure type adhesive on the tape base for the purpose of the protection of the wafer during the grinding process. When using such a UV-cure type tape, an ultraviolet radiation is applied to the wafer covered by the tape before removing the tape from the wafer for facilitating the removal of the tape. As the adhesive is cured as a result of the ultraviolet radiation, the adhesion of the tape to the wafer is reduced substantially and removal of the tape is achieved more easily. Adhesive residues can still remain even with use of a UV-cure type adhesive. Furthermore, the use of a UV-cure tape in the grinding process may cause a problem in the fabrication of a semiconductor memory device that includes a so-called floating gate, such as a flash memory or EEPROM. More specifically, the initial data written into the floating gate of the device may be erased or modified as a result of the ultraviolet radiation. As a result, use of the UV-cure protective tape has not been made a matter of common practice for fabricating semiconductor devices.
  • It is possible to eliminate the cleaning process by applying an ozone ashing process for a limited time interval against the front side surface of the wafer after removal of the tape, such that any remaining organic materials are oxidized. However, such an ozone ashing process requires a huge facility investment and the cost of the semiconductor is therefore increased. It is also possible to apply a additional post-treatment process by using an organic solvent such as isopropyl alcohol for removing any remaining adhesive residues. Such a post-treatment inevitably lowers the production through-put of the semiconductor chips.
  • A technique for fabricating a semiconductor chip wafer which would eliminate the use of a protective tape during the grinding process would be a substantial advance in packaging technology.
  • OBJECTS AND SUMMARY OF THE INVENTION
  • Accordingly, it is the object of this invention to enhance the art of packaging technology.
  • It is another object of this invention to provide a method of making a semiconductor wafer wherein a tapeless grinding process is utilized.
  • It is yet another object of this invention to provide a semiconductor chip that will be manufactured with a tapeless grinding process having relatively lower manufacturing costs than many current products.
  • According to one aspect of the invention there is provided a method for making a wafer comprising the steps of providing a substrate having a first surface, an opposite second surface, and at least one side edge defining a thickness of the substrate, the at least one side edge having a first peripheral region and a second peripheral region adjacent to the first peripheral region. The method includes applying a fluid to the first surface and the first peripheral region of the at least one side edge and removing the opposite second surface and the second peripheral region of the at least one side edge to form a third surface.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1(A) and 1(B) are diagrams showing a method of making a wafer according to one embodiment of the present invention; and
  • FIG. 2 is a diagrammatic sectional view of a wafer chucked and gas flowing according to an embodiment of this invention
  • BEST MODE FOR CARRYING OUT THE INVENTION
  • For a better understanding of the present invention, together with other and further objects, advantages and capabilities thereof, reference is made to the following disclosure and appended claims in connection with the above-described drawings.
  • An embodiment of the present invention will now be described referring to FIGS. 1(A) and 1(B). Each step will be explained in order.
  • First, in step 1(A), a wafer 8 including a substrate 10 having a first surface 12 is provided. The wafer 10 can be comprised of silicon, silicon germanium or gallium arsenide. Substrate 10 includes an opposite second surface 14. First surface 12 of substrate 10 can include conductive circuit lines thereon (not shown) comprised of aluminum, copper, gold, lead, tungsten, and alloys thereof. First surface 12 of substrate 10 can also include oxides, nitrides, and polysilicon thereon. Substrate 10 includes at least one side edge 16 defining a thickness of the substrate, the side edge having a first peripheral region 18 and a second peripheral region 20 adjacent to the first peripheral region and second surface 14. Next, a fluid 22 is applied to first surface 12 and first peripheral region 18. The fluid 22 can be applied to first surface 12 and first peripheral region 18 by spraying a liquid or blowing a gas stream against the first surface and first peripheral region. When a liquid is used the spray pressure is from about 1 pound per square inch (psi.) to about 20 psi. When a gas is used, it is blown onto the first surface and first peripheral region at a pressure of from about 1 psi. to about 60 psi. Spraying and blowing can be performed for about 1 minute to about 10 minutes at a temperature of from about 20 degrees Celsius (° C.) to about 40° C. Some examples of gases that can be used in the invention are nitrogen, helium, carbon dioxide, air, and combinations thereof. Some examples of liquids that can be used in this invention are water or oils, such as mineral oil. Applying a fluid to first surface 12 and first peripheral region 18 establishes a barrier around the first surface and first peripheral region. This barrier prevents damage to these surfaces and the conductive circuit lines on first surface 12 during subsequent processing steps, performed on opposite second surface 14, such as grinding, which will be described in more detail below.
  • Referring to FIG. 1(B), the next step in the process comprises the step of removing opposite second surface 14 and second peripheral region 20 of one side edge 16 to form a third surface 24 (shown in phantom in FIG. 1(B) as it would exist after completion of the removal step). The removal step can be performed by a process such as grinding using a grinding wheel 26 having diamonds 28 in contact with opposite surface 14 throughout the grinding step. During the grinding step, a liquid, for example, water can be used to assist in lubrication. Surface 14 is continuously removed until it yields third surface 24 by the grinding action of diamonds 28. The size of the diamonds can be from about 4μ to about 60μ in diameter. Diamonds 24 are shown as cross-sections of spheres, however the diamonds can also be irregularly shaped. When diamonds 24 are irregularly shaped, the widest dimension of any one diamond can be from about 4μ to about 60μ. Other processes that can be used to remove opposite second surface 14 and second peripheral region 20 are wet etching, for example, with potassium hydroxide and plasma removal. Third surface 24, even though shown as planar and flat can have features of non-planarity and roughness due to the non-uniformity of the grinding process. During the grinding step, particles of substrate 10 are generated. First surface 12 of substrate 10 must be protected from these particles as well as from the grinding slurry. This protection is provided by fluid 22 being applied to first peripheral region 18 and first surface 12 and creating a barrier therearound. The action of fluid 22 also provides a continuous flushing action removing generated particles and grinding slurry. The amount of grinding performed on substrate 10 can be defined by the desired thickness of the substrate needed for semiconductor chip performance. First edge portion 18 can have a thickness of from about 50μ to about 725μ after grinding. After grinding first surface 12 of substrate 10 may be polished. Polishing is performed with a slurry. The slurry is a colloidal suspension of silicon dioxide or aluminum dioxide in distilled water. Silicon dioxide particles used are typically about 500 angstroms in size. A base solution such as potassium hydroxide or sodium hydroxide can be used to adjust the pH of the slurry, if desired. The substrate 10 is then washed with water, spun dry, diced to yield a plurality of semiconductor chips.
  • In summary, the method of forming a wafer and the semiconductor chip produced therefrom provide a semiconductor chip that is less costly to produce and has low defect levels (higher manufacturing yields) because the surface of the wafer from which the semiconductor chip is formed and a first peripheral region of at least one side edge of the semiconductor chip are protected from the grinding process and its chemicals by the unique tapeless process of the present invention. The unique method is less costly because it eliminates use of tape, a detaping process, breakage of the wafer associated with the detaping process, and avoids other more costly alternatives proposed to remove tape from the wafer when tape is utilized in the grinding process.
  • FIG. 2 shows, diagrammatically, a chucking device 30 holding a wafer 10 for grinding according to an embodiment of this invention. The chucking device 30 is formed of a porous material such as a ceramic material preferably a chuck such as those manufactured by Disco, Okamoto, or TSK. Thus fluids in the form of gasses or liquids can pass through the material. A vacuum chamber 32 is provided at one side of the device 30 connected to a vacuum pump 34 which will pull a vacuum in the vacuum chamber 32. Since the chucking device 30 is formed of a porous material, the vacuum in the chamber 32 will act to hold the wafer 10 to the opposite side of the chucking device 30. The fluid 22 is supplied through an annular opening 33 in the chucking device 30 from a fluid chamber 36 supplied by a pump 38 to flood the front side 12 and the side wall 16 of the wafer 10. Moreover, the substrate 10 may be beveled, and he vacuum will draw fluid to the center of the chuck. Thus the patterns shown in FIGS. 1A and 1B are established and maintained.
  • While there have been shown and described what are the present considered preferred embodiments of the invention, it will be obvious to those skilled in the art that various changes and modifications may be made therein without departing from the scope of the invention as defined by the appended claims.

Claims (8)

1. A method for making a wafer comprising the steps of:
providing a substrate having a first surface, an opposite second surface, and at least one side edge defining a thickness of said substrate, said at least one side edge having a first peripheral region and a second peripheral region adjacent to said first peripheral region;
chucking said substrate in a chuck with said first surface in contact with said chuck;
applying a fluid to said first surface and said first peripheral region of said at least one side edge by spraying a liquid stream against said first surface and said first peripheral region of said at least one side edge of said substrate at a pressure of from about 1 psi to about 20 psi; and
removing said opposite second surface and said second peripheral region of said at least one side edge by grinding to form a third surface.
2. A method for making a wafer comprising the steps of:
providing a substrate having a first surface, an opposite second surface, and at least one side edge defining a thickness of said substrate, said at least one side edge having a first peripheral region and a second peripheral region adjacent to said first peripheral region;
chucking said substrate in a chuck with said first surface in contact with said chuck;
applying a fluid to said first surface and said first peripheral region of said at least one side edge by spraying a liquid stream against said first surface and said first peripheral region of said at least one side edge of said substrate for about one minute to about ten minutes; and
removing said opposite second surface and said second peripheral region of said at least one side edge by grinding to form a third surface.
3. A method for making a wafer comprising the steps of:
providing a substrate having a first surface, an opposite second surface, and at least one side edge defining a thickness of said substrate, said at least one side edge having a first peripheral region and a second peripheral region adjacent to said first peripheral region;
chucking said substrate in a chuck with said first surface in contact with said chuck;
applying a fluid to said first surface and said first peripheral region of said at least one side edge by spraying a liquid stream against said first surface and said first peripheral region of said at least one side edge of said substrate at a temperature of from about 20° C. to about 40° C.; and
removing said opposite second surface and said second peripheral region of said at least one side edge by grinding to form a third surface.
4. A method for making a wafer comprising the steps of:
providing a substrate having a first surface, an opposite second surface, and at least one side edge defining a thickness of said substrate, said at least one side edge having a first peripheral region and a second peripheral region adjacent to said first peripheral region;
chucking said substrate in a chuck with said first surface in contact with said chuck;
applying a fluid to said first surface and said first peripheral region of said at least one side edge by spraying a liquid stream against said first surface and said first peripheral region of said at least one side edge of said substrate at a pressure of from about 1 psi to about 20 psi, for about one minute to about 10 minutes, and at a temperature of from about 20° C. to about 40° C.; and
removing said opposite second surface and said second peripheral region of said at least one side edge by grinding to form a third surface.
5. A method for making a wafer comprising the steps of:
providing a substrate having a first surface, an opposite second surface, and at least one side edge defining a thickness of said substrate, said at least one side edge having a first peripheral region and a second peripheral region adjacent to said first peripheral region;
chucking said substrate in a chuck with said first surface in contact with said chuck;
applying a fluid to said first surface and said first peripheral region of said at least one side edge by blowing a gas stream against said first surface and said first peripheral region of said at least one side edge of said substrate at a pressure from about 1 psi to about 60 psi, for about one minute to about 10 minutes, at a temperature of from about 20° C. to about 40° C.; and
removing said opposite second surface and said second peripheral region of said at least one side edge by grinding to form a third surface.
6. The method for making the wafer of claim 4 wherein said step of removing said opposite second surface and said second peripheral region of said at least one side edge of said substrate comprises grinding said wafer while applying a fluid to said first surface and said first peripheral region of said at least one side edge by blowing a liquid stream against said first surface and said first peripheral region of said at least one side edge, and where said grinding is performed using a grinding wheel including diamonds having a size of from about 4 microns to about 60 microns in diameter.
7. The method for making the wafer of claim 4 further including polishing of said wafer.
8. The method of claim 5 wherein the first surface of said wafer is free of any tape.
US11/926,668 2003-04-15 2007-10-29 Semiconductor wafer front side protection Abandoned US20080064185A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/926,668 US20080064185A1 (en) 2003-04-15 2007-10-29 Semiconductor wafer front side protection

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/413,698 US7001827B2 (en) 2003-04-15 2003-04-15 Semiconductor wafer front side protection
US11/117,122 US7288465B2 (en) 2003-04-15 2005-04-28 Semiconductor wafer front side protection
US11/926,668 US20080064185A1 (en) 2003-04-15 2007-10-29 Semiconductor wafer front side protection

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/117,122 Continuation US7288465B2 (en) 2003-04-15 2005-04-28 Semiconductor wafer front side protection

Publications (1)

Publication Number Publication Date
US20080064185A1 true US20080064185A1 (en) 2008-03-13

Family

ID=39170233

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/117,122 Expired - Fee Related US7288465B2 (en) 2003-04-15 2005-04-28 Semiconductor wafer front side protection
US11/926,668 Abandoned US20080064185A1 (en) 2003-04-15 2007-10-29 Semiconductor wafer front side protection

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/117,122 Expired - Fee Related US7288465B2 (en) 2003-04-15 2005-04-28 Semiconductor wafer front side protection

Country Status (1)

Country Link
US (2) US7288465B2 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7288465B2 (en) * 2003-04-15 2007-10-30 International Business Machines Corpoartion Semiconductor wafer front side protection
JP2007073831A (en) * 2005-09-08 2007-03-22 Toshiba Corp Manufacturing method of semiconductor device
JP5999972B2 (en) * 2012-05-10 2016-09-28 株式会社ディスコ Holding table

Citations (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3976330A (en) * 1975-10-01 1976-08-24 International Business Machines Corporation Transport system for semiconductor wafer multiprocessing station system
US4054010A (en) * 1976-01-20 1977-10-18 Headway Research, Inc. Apparatus for grinding edges of planar workpieces
US5181985A (en) * 1988-06-01 1993-01-26 Wacker-Chemitronic Gesellschaft Fur Elektronik-Grundstoffe Mbh Process for the wet-chemical surface treatment of semiconductor wafers
US5233734A (en) * 1991-03-14 1993-08-10 Lindauer Dornier Gesellschaft M.B.H. Circular spreader with a locking mechanism for keeping the spreader in a spread condition
US5632667A (en) * 1995-06-29 1997-05-27 Delco Electronics Corporation No coat backside wafer grinding process
US5645474A (en) * 1995-11-30 1997-07-08 Rodel Nitta Company Workpiece retaining device and method for producing the same
US5780204A (en) * 1997-02-03 1998-07-14 Advanced Micro Devices, Inc. Backside wafer polishing for improved photolithography
US5791973A (en) * 1995-04-10 1998-08-11 Matsushita Electric Industrial Co., Ltd. Apparatus for holding substrate to be polished and apparatus and method for polishing substrate
US5800725A (en) * 1996-01-31 1998-09-01 Shin-Etsu Handotai Co., Ltd. Method of manufacturing semiconductor wafers
US5874366A (en) * 1996-05-28 1999-02-23 Siemens Aktiengesellschaft Method for etching a semiconductor substrate and etching system
US5888882A (en) * 1996-04-04 1999-03-30 Deutsche Itt Industries Gmbh Process for separating electronic devices
US5958796A (en) * 1996-05-06 1999-09-28 Micron Technology, Inc. Method for cleaning waste matter from the backside of a semiconductor wafer substrate
US5981391A (en) * 1996-09-30 1999-11-09 Fujitsu Limited Fabrication process of a semiconductor device including grinding of a semiconductor wafer
US6012964A (en) * 1997-12-11 2000-01-11 Speedfam Co., Ltd Carrier and CMP apparatus
US6013136A (en) * 1994-02-22 2000-01-11 Siemens Aktiengesellschaft Apparatus for plasma-supported back etching of a semiconductor wafer
US6095899A (en) * 1997-08-15 2000-08-01 Disco Corporation Apparatus and method for machining workpieces by flushing working liquid to the tool-and-workpiece interface
US6099387A (en) * 1998-06-15 2000-08-08 Advanced Micro Devices, Inc. CMP of a circlet wafer using disc-like brake polish pads
US6114245A (en) * 1997-08-21 2000-09-05 Memc Electronic Materials, Inc. Method of processing semiconductor wafers
US6132295A (en) * 1999-08-12 2000-10-17 Applied Materials, Inc. Apparatus and method for grinding a semiconductor wafer surface
US6159827A (en) * 1998-04-13 2000-12-12 Mitsui Chemicals, Inc. Preparation process of semiconductor wafer
US6193586B1 (en) * 1998-02-11 2001-02-27 Samsung Electronics Co., Ltd. Method and apparatus for grinding wafers using a grind chuck having high elastic modulus
US6245678B1 (en) * 1998-01-09 2001-06-12 Komatsu Electronic Metals Co., Ltd. Method for manufacturing semiconductor wafers
US6251000B1 (en) * 1998-09-24 2001-06-26 Matsushita Electric Industrial Co., Ltd. Substrate holder, method for polishing substrate, and method for fabricating semiconductor device
US6265314B1 (en) * 1998-06-09 2001-07-24 Advanced Micro Devices, Inc. Wafer edge polish
US6273791B1 (en) * 1997-11-18 2001-08-14 Mitsui Chemicals, Inc. Method of producing semiconductor wafer
US6280652B1 (en) * 1998-06-05 2001-08-28 Fujimi Incorporated Edge polishing composition
US6284658B1 (en) * 1998-07-08 2001-09-04 Shin-Etsu Handotai Co., Ltd. Manufacturing process for semiconductor wafer
US6302769B1 (en) * 1998-04-13 2001-10-16 Nippei Toyama Corporation Method for chamfering a wafer
US20020042246A1 (en) * 2000-10-11 2002-04-11 Tetsuji Togawa Substrate holding apparatus
US6387809B2 (en) * 1998-03-23 2002-05-14 Shin-Etsu Handotai Co., Ltd. Method and apparatus for lapping or polishing semiconductor silicon single crystal wafer
US6506681B2 (en) * 2000-12-06 2003-01-14 Micron Technology, Inc. Thin flip—chip method
US6573158B2 (en) * 1995-12-04 2003-06-03 Hitachi, Ltd. Methods of processing semiconductor wafer and producing IC card, and carrier
US6583029B2 (en) * 2000-03-29 2003-06-24 Shin-Etsu Handotai Co., Ltd. Production method for silicon wafer and SOI wafer, and SOI wafer
US6709981B2 (en) * 2000-08-16 2004-03-23 Memc Electronic Materials, Inc. Method and apparatus for processing a semiconductor wafer using novel final polishing method
US6722963B1 (en) * 1999-08-03 2004-04-20 Micron Technology, Inc. Apparatus for chemical-mechanical planarization of microelectronic substrates with a carrier and membrane
US6743722B2 (en) * 2002-01-29 2004-06-01 Strasbaugh Method of spin etching wafers with an alkali solution
US7001827B2 (en) * 2003-04-15 2006-02-21 International Business Machines Corporation Semiconductor wafer front side protection
US7288465B2 (en) * 2003-04-15 2007-10-30 International Business Machines Corpoartion Semiconductor wafer front side protection

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5223734A (en) 1991-12-18 1993-06-29 Micron Technology, Inc. Semiconductor gettering process using backside chemical mechanical planarization (CMP) and dopant diffusion

Patent Citations (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3976330A (en) * 1975-10-01 1976-08-24 International Business Machines Corporation Transport system for semiconductor wafer multiprocessing station system
US4054010A (en) * 1976-01-20 1977-10-18 Headway Research, Inc. Apparatus for grinding edges of planar workpieces
US5181985A (en) * 1988-06-01 1993-01-26 Wacker-Chemitronic Gesellschaft Fur Elektronik-Grundstoffe Mbh Process for the wet-chemical surface treatment of semiconductor wafers
US5233734A (en) * 1991-03-14 1993-08-10 Lindauer Dornier Gesellschaft M.B.H. Circular spreader with a locking mechanism for keeping the spreader in a spread condition
US6013136A (en) * 1994-02-22 2000-01-11 Siemens Aktiengesellschaft Apparatus for plasma-supported back etching of a semiconductor wafer
US5791973A (en) * 1995-04-10 1998-08-11 Matsushita Electric Industrial Co., Ltd. Apparatus for holding substrate to be polished and apparatus and method for polishing substrate
US5632667A (en) * 1995-06-29 1997-05-27 Delco Electronics Corporation No coat backside wafer grinding process
US5645474A (en) * 1995-11-30 1997-07-08 Rodel Nitta Company Workpiece retaining device and method for producing the same
US6573158B2 (en) * 1995-12-04 2003-06-03 Hitachi, Ltd. Methods of processing semiconductor wafer and producing IC card, and carrier
US5800725A (en) * 1996-01-31 1998-09-01 Shin-Etsu Handotai Co., Ltd. Method of manufacturing semiconductor wafers
US5888882A (en) * 1996-04-04 1999-03-30 Deutsche Itt Industries Gmbh Process for separating electronic devices
US5958796A (en) * 1996-05-06 1999-09-28 Micron Technology, Inc. Method for cleaning waste matter from the backside of a semiconductor wafer substrate
US5874366A (en) * 1996-05-28 1999-02-23 Siemens Aktiengesellschaft Method for etching a semiconductor substrate and etching system
US5981391A (en) * 1996-09-30 1999-11-09 Fujitsu Limited Fabrication process of a semiconductor device including grinding of a semiconductor wafer
US5780204A (en) * 1997-02-03 1998-07-14 Advanced Micro Devices, Inc. Backside wafer polishing for improved photolithography
US6095899A (en) * 1997-08-15 2000-08-01 Disco Corporation Apparatus and method for machining workpieces by flushing working liquid to the tool-and-workpiece interface
US6114245A (en) * 1997-08-21 2000-09-05 Memc Electronic Materials, Inc. Method of processing semiconductor wafers
US6273791B1 (en) * 1997-11-18 2001-08-14 Mitsui Chemicals, Inc. Method of producing semiconductor wafer
US6012964A (en) * 1997-12-11 2000-01-11 Speedfam Co., Ltd Carrier and CMP apparatus
US6245678B1 (en) * 1998-01-09 2001-06-12 Komatsu Electronic Metals Co., Ltd. Method for manufacturing semiconductor wafers
US6193586B1 (en) * 1998-02-11 2001-02-27 Samsung Electronics Co., Ltd. Method and apparatus for grinding wafers using a grind chuck having high elastic modulus
US6387809B2 (en) * 1998-03-23 2002-05-14 Shin-Etsu Handotai Co., Ltd. Method and apparatus for lapping or polishing semiconductor silicon single crystal wafer
US6302769B1 (en) * 1998-04-13 2001-10-16 Nippei Toyama Corporation Method for chamfering a wafer
US6159827A (en) * 1998-04-13 2000-12-12 Mitsui Chemicals, Inc. Preparation process of semiconductor wafer
US6280652B1 (en) * 1998-06-05 2001-08-28 Fujimi Incorporated Edge polishing composition
US6265314B1 (en) * 1998-06-09 2001-07-24 Advanced Micro Devices, Inc. Wafer edge polish
US6099387A (en) * 1998-06-15 2000-08-08 Advanced Micro Devices, Inc. CMP of a circlet wafer using disc-like brake polish pads
US6284658B1 (en) * 1998-07-08 2001-09-04 Shin-Etsu Handotai Co., Ltd. Manufacturing process for semiconductor wafer
US6251000B1 (en) * 1998-09-24 2001-06-26 Matsushita Electric Industrial Co., Ltd. Substrate holder, method for polishing substrate, and method for fabricating semiconductor device
US6722963B1 (en) * 1999-08-03 2004-04-20 Micron Technology, Inc. Apparatus for chemical-mechanical planarization of microelectronic substrates with a carrier and membrane
US6132295A (en) * 1999-08-12 2000-10-17 Applied Materials, Inc. Apparatus and method for grinding a semiconductor wafer surface
US6583029B2 (en) * 2000-03-29 2003-06-24 Shin-Etsu Handotai Co., Ltd. Production method for silicon wafer and SOI wafer, and SOI wafer
US6709981B2 (en) * 2000-08-16 2004-03-23 Memc Electronic Materials, Inc. Method and apparatus for processing a semiconductor wafer using novel final polishing method
US20020042246A1 (en) * 2000-10-11 2002-04-11 Tetsuji Togawa Substrate holding apparatus
US6506681B2 (en) * 2000-12-06 2003-01-14 Micron Technology, Inc. Thin flip—chip method
US6743722B2 (en) * 2002-01-29 2004-06-01 Strasbaugh Method of spin etching wafers with an alkali solution
US7001827B2 (en) * 2003-04-15 2006-02-21 International Business Machines Corporation Semiconductor wafer front side protection
US7288465B2 (en) * 2003-04-15 2007-10-30 International Business Machines Corpoartion Semiconductor wafer front side protection

Also Published As

Publication number Publication date
US20050202678A1 (en) 2005-09-15
US7288465B2 (en) 2007-10-30

Similar Documents

Publication Publication Date Title
US20220320035A1 (en) Direct bonding methods and structures
JP4860113B2 (en) Manufacturing method of semiconductor integrated circuit device
US6294439B1 (en) Method of dividing a wafer and method of manufacturing a semiconductor device
KR100383206B1 (en) Wafer dividing method and manufacturing method of semiconductor device
US6245677B1 (en) Backside chemical etching and polishing
US6184109B1 (en) Method of dividing a wafer and method of manufacturing a semiconductor device
US7898089B2 (en) Semiconductor workpiece
TW201937584A (en) Techniques for processing devices
JPH09260326A (en) Cleaning of surface semiconductor wafer for removing particles
JPWO2006008824A1 (en) Manufacturing method of semiconductor integrated circuit device
EP1026735A2 (en) Method of dividing a wafer and method of manufacturing a semiconductor device
US7625821B2 (en) Process and apparatus for thinning a semiconductor workpiece
KR100641946B1 (en) Method of enhancing semiconductor wafer release
CN109712926B (en) Method for manufacturing semiconductor device
US7288465B2 (en) Semiconductor wafer front side protection
US6406357B1 (en) Grinding method, semiconductor device and method of manufacturing semiconductor device
JP2010239161A (en) Method of fabricating semiconductor integrated circuit device
US6435943B1 (en) Method of chemical mechanical polishing organic silicon material with low dielectric constant
EP1022778A1 (en) Method of dividing a wafer and method of manufacturing a semiconductor device
US7001827B2 (en) Semiconductor wafer front side protection
JPH1167701A (en) Manufacture of bonded soi board and protective agent applying apparatus
KR20070074398A (en) Semiconductor wafer including contamination removal part
KR20110055977A (en) Apartus for manufacturing semiconductor package and method for fabricating semiconductor package by using the same
JP6858763B2 (en) How to process semiconductor wafers with polycrystalline finish
US20050215029A1 (en) Method for fixing wafer used in manufacturing procedure

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION