US20080059766A1 - Method and Apparatus for Improved Programmable Processor - Google Patents

Method and Apparatus for Improved Programmable Processor Download PDF

Info

Publication number
US20080059766A1
US20080059766A1 US11/842,006 US84200607A US2008059766A1 US 20080059766 A1 US20080059766 A1 US 20080059766A1 US 84200607 A US84200607 A US 84200607A US 2008059766 A1 US2008059766 A1 US 2008059766A1
Authority
US
United States
Prior art keywords
data
operations
processor
group
floating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/842,006
Inventor
Craig Hansen
John Moussouris
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Microunity Systems Engineering Inc
Original Assignee
Microunity Systems Engineering Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=29273786&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=US20080059766(A1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Priority claimed from US08/516,036 external-priority patent/US5742840A/en
Priority claimed from US09/169,963 external-priority patent/US6006318A/en
Priority claimed from US09/382,402 external-priority patent/US6295599B1/en
Application filed by Microunity Systems Engineering Inc filed Critical Microunity Systems Engineering Inc
Priority to US11/842,006 priority Critical patent/US20080059766A1/en
Publication of US20080059766A1 publication Critical patent/US20080059766A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30003Arrangements for executing specific machine instructions
    • G06F9/30007Arrangements for executing specific machine instructions to perform operations on data operands
    • G06F9/3001Arithmetic instructions
    • G06F9/30014Arithmetic instructions with variable precision
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/76Architectures of general purpose stored program computers
    • G06F15/78Architectures of general purpose stored program computers comprising a single central processing unit
    • G06F15/7828Architectures of general purpose stored program computers comprising a single central processing unit without memory
    • G06F15/7832Architectures of general purpose stored program computers comprising a single central processing unit without memory on one IC chip (single chip microprocessors)
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30003Arrangements for executing specific machine instructions
    • G06F9/30007Arrangements for executing specific machine instructions to perform operations on data operands
    • G06F9/30018Bit or string instructions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30003Arrangements for executing specific machine instructions
    • G06F9/30007Arrangements for executing specific machine instructions to perform operations on data operands
    • G06F9/30025Format conversion instructions, e.g. Floating-Point to Integer, decimal conversion
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30003Arrangements for executing specific machine instructions
    • G06F9/30007Arrangements for executing specific machine instructions to perform operations on data operands
    • G06F9/30029Logical and Boolean instructions, e.g. XOR, NOT
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30003Arrangements for executing specific machine instructions
    • G06F9/30007Arrangements for executing specific machine instructions to perform operations on data operands
    • G06F9/30032Movement instructions, e.g. MOVE, SHIFT, ROTATE, SHUFFLE
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30003Arrangements for executing specific machine instructions
    • G06F9/30007Arrangements for executing specific machine instructions to perform operations on data operands
    • G06F9/30036Instructions to perform operations on packed data, e.g. vector, tile or matrix operations
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30003Arrangements for executing specific machine instructions
    • G06F9/3004Arrangements for executing specific machine instructions to perform operations on memory
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30003Arrangements for executing specific machine instructions
    • G06F9/3004Arrangements for executing specific machine instructions to perform operations on memory
    • G06F9/30043LOAD or STORE instructions; Clear instruction
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30003Arrangements for executing specific machine instructions
    • G06F9/3005Arrangements for executing specific machine instructions to perform operations for flow control
    • G06F9/30054Unconditional branch instructions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30003Arrangements for executing specific machine instructions
    • G06F9/30076Arrangements for executing specific machine instructions to perform miscellaneous control operations, e.g. NOP
    • G06F9/30087Synchronisation or serialisation instructions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30098Register arrangements
    • G06F9/30101Special purpose registers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30098Register arrangements
    • G06F9/30105Register structure
    • G06F9/30109Register structure having multiple operands in a single register
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30098Register arrangements
    • G06F9/30105Register structure
    • G06F9/30112Register structure comprising data of variable length
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30098Register arrangements
    • G06F9/3012Organisation of register space, e.g. banked or distributed register file
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30098Register arrangements
    • G06F9/3012Organisation of register space, e.g. banked or distributed register file
    • G06F9/30123Organisation of register space, e.g. banked or distributed register file according to context, e.g. thread buffers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30145Instruction analysis, e.g. decoding, instruction word fields
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30145Instruction analysis, e.g. decoding, instruction word fields
    • G06F9/3016Decoding the operand specifier, e.g. specifier format
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30145Instruction analysis, e.g. decoding, instruction word fields
    • G06F9/3016Decoding the operand specifier, e.g. specifier format
    • G06F9/30167Decoding the operand specifier, e.g. specifier format of immediate specifier, e.g. constants
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3802Instruction prefetching
    • G06F9/3816Instruction alignment, e.g. cache line crossing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3824Operand accessing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3824Operand accessing
    • G06F9/383Operand prefetching
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • G06F9/3851Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution from multiple instruction streams, e.g. multistreaming
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3861Recovery, e.g. branch miss-prediction, exception handling
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3867Concurrent instruction execution, e.g. pipeline, look ahead using instruction pipelines
    • G06F9/3873Variable length pipelines, e.g. elastic pipeline
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3885Concurrent instruction execution, e.g. pipeline, look ahead using a plurality of independent parallel functional units

Definitions

  • the present invention relates to general purpose processor architectures, and particularly relates to general purpose processor architectures capable of executing group operations.
  • the performance level of a processor can be estimated from the multiple of a plurality of interdependent factors: clock rate, gates per clock, number of operands, operand and data path width, and operand and data path partitioning.
  • Clock rate is largely influenced by the choice of circuit and logic technology, but is also influenced by the number of gates per clock.
  • Gates per clock is how many gates in a pipeline may change state in a single clock cycle. This can be reduced by inserting latches into the data path: when the number of gates between latches is reduced, a higher clock is possible. However, the additional latches produce a longer pipeline length, and thus come at a cost of increased instruction latency.
  • Operand and data path width defines how much data can be processed at once; wider data paths can perform more complex functions, but generally this comes at a higher implementation cost. Operand and data path partitioning refers to the efficient use of the data path as width is increased, with the objective of maintaining substantially peak usage.
  • Embodiments of the invention pertain to systems and methods for enhancing the utilization of a general purpose processor by adding classes of instructions. These classes of instructions use the contents of general purpose registers as data path sources, partition the operands into symbols of a specified size, perform operations in parallel, catenate the results and place the catenated results into a general-purpose register. Some embodiments of the invention relate to a general purpose microprocessor which has been optimized for processing and transmitting media data streams through significant parallelism.
  • a programmable media processor comprises a virtual memory addressing unit, a data path, a register file comprising a plurality of registers coupled to the data path, and an execution unit coupled to the data path capable of executing group-floating point operations in which multiple floating-point operations stored in partitioned fields of one or more of the plurality of registers are operated on to produce catenated results.
  • the group floating-point operations may involve operating on at least two of the multiple floating-point operands in parallel.
  • the catenated results may be returned to a register, and general purpose registers may used as operand and result registers for the floating-point operations.
  • the execution unit may also be capable of performing group floating-point operations on floating-point data of more than one precision.
  • the group floating-point operations may include group add, group subtract, group compare, group multiply and group divide arithmetic operations that operate on catenated floating-point data.
  • the group floating-point operations may include group multiply-add, group scale-add, and group set operations that operate on catenated floating-point data.
  • the execution unit is also capable of executing group integer instructions involving multiple integer operands stored in partitioned fields of registers.
  • the group integer operations may involve operating on at least two of the multiple integer operands in parallel.
  • the group integer operations may include group add, group subtract, group compare, and group multiply arithmetic operations that operate on catenated integer data.
  • the execution unit is capable of performing group data handling operations, including operations that copy, operations that shift, operations that rearrange and operations that resize catenated integer data stored in a register and return catenated results.
  • the execution unit may also be configurable to perform group data handling operations on integer data having a symbol width of 8 bits, group data handling operations on integer data having a symbol width of 16 bits, and group data handling operations on integer data having a symbol width of 32 bits.
  • the operations are controlled by values in a register operand. In one embodiment, the operations are controlled by values in the instruction.
  • the multi-precision execution unit is capable of executing a Galois field instruction operation.
  • the multi-precision execution unit is configurable to execute a plurality of instruction streams in parallel from a plurality of threads, and the programmable media processor further comprises a register file associated with each thread executing in parallel on the multi-precision execution unit to support processing of the plurality of threads.
  • the multi-precision execution unit executes instructions from the plurality of instruction streams in a round-robin manner.
  • the processor ensures only one thread from the plurality of threads can handle an exception at any given time.
  • Some embodiments of the present invention provide a multiplier array that is fully used for high precision arithmetic, but is only partly used for other, lower precision operations. This can be accomplished by extracting the high-order portion of the multiplier product or sum of products, adjusted by a dynamic shift amount from a general register or an adjustment specified as part of the instruction, and rounded by a control value from a register or instruction portion.
  • the rounding may be any of several types, including round-to-nearest/even; toward zero, floor, or ceiling.
  • Overflows are typically handled by limiting the result to the largest and smallest values that can be accurately represented in the output result.
  • the size of the result can be specified, allowing rounding and limiting to a smaller number of bits than can fit in the result. This permits the result to be scaled for use in subsequent operations without concern of overflow or rounding. As a result, performance is enhanced.
  • a single register value defines the size of the operands, the shift amount and size of the result, and the rounding control. By placing such control information in a single register, the size of the instruction is reduced over the number of bits that such an instruction would otherwise require, again improving performance and enhancing processor flexibility.
  • Exemplary instructions are Ensemble Convolve Extract, Ensemble Multiply Extract, Ensemble Multiply Add Extract, and Ensemble Scale Add Extract.
  • the extract control information is combined in a register with two values used as scalar multipliers to the contents of two vector multiplicands. This combination reduces the number of registers otherwise required, thus reducing the number of bits required for the instruction.
  • the processor performs load and store instructions operable to move values between registers and memory. In one embodiment, the processor performs both instructions that verify alignment of memory operands and instructions that permit memory operands to be unaligned. In one embodiment, the processor performs store multiplex instructions operable to move to memory a portion of data contents controlled by a corresponding mask contents. In one embodiment, this masked storage operation is performed by indivisibly reading-modifying-writing a memory operand.
  • all processor, memory and interface resources are directly accessible to high-level language programs.
  • assembler codes and high-level language formats are specified to access enhanced instructions.
  • interface and system state is memory mapped, so that it can be manipulated by compiled code.
  • software libraries provide other operations required by the ANSI/IEEE floating-point standard.
  • software conventions are employed at software module boundaries, in order to permit the combination of separately compiled code and to provide standard interfaces between application, library and system software.
  • instruction scheduling is performed by a compiler.
  • FIG. 1 is a system level diagram showing the functional blocks of a system according to the present invention.
  • FIG. 2 is a matrix representation of a wide matrix multiply in accordance with one embodiment of the present invention.
  • FIG. 3 is a further representation of a wide matrix multiple in accordance with one embodiment of the present invention.
  • FIG. 4 is a system level diagram showing the functional blocks of a system incorporating a combined Simultaneous Multi Threading and Decoupled Access from Execution processor in accordance with one embodiment of the present invention.
  • FIG. 5 illustrates a wide operand in accordance with one embodiment of the present invention.
  • FIG. 6 illustrates an approach to specifier decoding in accordance with one embodiment of the present invention.
  • FIG. 7 illustrates in operational block form a Wide Function Unit in accordance with one embodiment of the present invention.
  • FIG. 8 illustrates in flow diagram form the Wide Microcache control function.
  • FIG. 9 illustrates Wide Microcache data structures.
  • FIGS. 10 and 11 illustrate a Wide Microcache control.
  • FIG. 12 is a timing diagram of a decoupled pipeline structure in accordance with one embodiment of the present invention.
  • FIG. 13 further illustrates the pipeline organization of FIG. 12 .
  • FIG. 14 is a diagram illustrating the basic organization of the memory management system according to the present embodiment of the invention.
  • FIG. 15 illustrates the physical address of an LTB entry for thread th, entry en, byte b.
  • FIG. 16 illustrates a definition for AccessPhysicalLTB.
  • FIG. 17 illustrates how various 16-bit values are packed together into a 64-bit LTB entry.
  • FIG. 18 illustrates global access as fields of a control register.
  • FIG. 19 shows how a single-set LTB context may be further simplified by reserving the implementation of the lm and la registers.
  • FIG. 20 shows the partitioning of the virtual address space if the largest possible space is reserved for an address space identifier.
  • FIG. 21 shows how the LTB protect field controls the minimum privilege level required for each memory action of read (r), write (w), execute (x), and gateway (g), as well as memory and cache attributes of write allocate (wa), detail access (da), strong ordering (so), cache disable (cd), and write through (wt).
  • FIG. 22 illustrates a definition for LocalTranslation.
  • FIG. 23 shows how the low-order GT bits of the th value are ignored, reflecting that 2GT threads share a single GTB.
  • FIG. 24 illustrates a definition for AccessPhysicalGTB.
  • FIG. 25 illustrates the format of a GTB entry.
  • FIG. 26 illustrates a definition for GlobalAddressTranslation.
  • FIG. 27 illustrates a definition for GTBUpdateWrite.
  • FIG. 28 shows how the low-order GT bits of the th value are ignored, reflecting that 2GT threads share single GTB registers.
  • FIG. 29 illustrates the registers GTBLast, GTBFirst, and GTBBump.
  • FIG. 30 illustrates a definition for AccessPhysicalGTBRegisters.
  • FIGS. 31A-31C illustrate Group Boolean instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 31D illustrates Group Multiplex instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 32A-32C illustrate Group Add instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 33A-33C illustrate Group Subtract and Group Set instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 34A-34C illustrate Ensemble Divide and Ensemble Multiply instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 35A-35C illustrate Group Compare instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 36A-36C illustrate Ensemble Unary instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 37 illustrates exemplary functions that are defined for use within the detailed instruction definitions in other sections.
  • FIGS. 38A-38C illustrate Ensemble Floating-Point Add, Ensemble Floating-Point Divide, and Ensemble Floating-Point Multiply instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 38D-38F illustrate Ensemble Floating-Point Multiply Add instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 38G-38I illustrate Ensemble Floating-Point Scale Add instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 39A-39C illustrate Ensemble Floating-Point Subtract instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 39D-39G illustrate Group Set Floating-point instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 40A-40C illustrate Group Compare Floating-point instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 41A-41C illustrate Ensemble Unary Floating-point instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 42A-42D illustrate Ensemble Multiply Galois Field instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 43A-43D illustrate Compress, Expand, Rotate, and Shift instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 43E-43G illustrate Shift Merge instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 43H-43J illustrate Compress Immediate, Expand Immediate, Rotate Immediate, and Shift Immediate instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 43K-43M illustrate Shift Merge Immediate instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 44A-44D illustrate Crossbar Extract instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 44E-44K illustrate Ensemble Extract instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 45A-45F illustrate Deposit and Withdraw instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 45G-45J illustrate Deposit Merge instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 46A-46E illustrate Shuffle instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 47A-47C illustrate Swizzle instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 47D-47E illustrate Select instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 48 is a pin summary describing the functions of various pins in accordance with the one embodiment of the present invention.
  • FIGS. 49A-49G present electrical specifications describing AC and DC parameters in accordance with one embodiment of the present invention.
  • FIGS. 50A-50C illustrate Load instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 51A-51C illustrate Load Immediate instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 52A-52C illustrate Store and Store Multiplex instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 53A-53C illustrate Store Immediate and Store Multiplex Immediate instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 54A-54D illustrate Data-Handling Operations in accordance with an exemplary embodiment of the present invention.
  • FIG. 54F illustrates Procedure Calling Conventions in accordance with an exemplary embodiment of the present invention.
  • FIG. 54G illustrates alignment withing the dp region in accordance with an exemplary embodiment of the present invention.
  • FIG. 54H illustrates gateway with pointers to code and data spaces in accordance with an exemplary embodiment of the present invention.
  • FIGS. 55-56 illustrate an expected rate at which memory requests are serviced in accordance with an exemplary embodiment of the present invention.
  • FIG. 57F is a pinout diagram in accordance with an exemplary embodiment of the present invention.
  • FIG. 58A-58C illustrate Always Reserved instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 59A-59C illustrate Address instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 60A-60C illustrate Address Compare instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 61A-61C illustrate Address Copy Immediate instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 62A-62C illustrate Address Immediate instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 63A-63C illustrate Address Immediate Reversed instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 64A-64C illustrate Address Reversed instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 65A-65C illustrate Address Shift Left Immediate Add instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 66A-66C illustrate Address Shift Left Immediate Subtract instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 67A-67C illustrate Address Shift Left Immediate instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 68A-68C illustrate Address Ternary instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 69A-69C illustrate Branch instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 70A-70C illustrate Branch Back instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 71A-71C illustrate Branch Barrier instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 72A-72C illustrate Branch Conditional instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 73A-73C illustrate Branch Conditional Floating-Point instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 74A-74C illustrate Branch Conditional Visibility Floating-Point instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 75A-75C illustrate Branch Down instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 76A-76C illustrate Branch Gateway instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 77A-77C illustrate Branch Halt instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 78A-78C illustrate Branch Hint instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 79A-79C illustrate Branch Hint Immediate instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 80A-80C illustrate Branch Immediate instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 81A-81C illustrate Branch Immediate Link instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 82A-82C illustrate Branch Link instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 83A-83C illustrate Store Double Compare Swap instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 84A-84C illustrate Store Immediate Inplace instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 85A-85C illustrate Store Inplace instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 86A-86C illustrate Group Add Halve instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 87A-87C illustrate Group Copy Immediate instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 88A-88C illustrate Group Immediate instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 89A-89C illustrate Group Immediate Reversed instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 90A-90C illustrate Group Inplace instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 91A-91C illustrate Group Shift Left Immediate instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 92A-92C illustrate Group Shift Left Immediate Subtract instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 93A-93C illustrate Group Subtract Halve instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 94A-94C illustrate Ensemble instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 95A-95E illustrate Ensemble Convolve Extract Immediate instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 96A-96E illustrate Ensemble Convolve Floating-Point instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 97A-97G illustrate Ensemble Extract Immediate instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 98A-98F illustrate Ensemble Extract Immediate Inplace instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 99A-99C illustrate Ensemble Inplace instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 100A-100E illustrate Wide Multiply Matrix instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 101A-101E illustrate Wide Multiply Matrix Extract instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 102A-102E illustrate Wide Multiply Matrix Extract Immediate instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 103A-103E illustrate Wide Multiply Matrix Floating-Point Immediate instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 104A-104D illustrate Wide Multiply Matrix Galois Immediate instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 105A-105C illustrate Wide Switch Immediate instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 106A-106C illustrate Wide Translate instructions in accordance with an exemplary embodiment of the present invention.
  • MicroUnity's Zeus Architecture describes general-purpose processor, memory, and interface subsystems, organized to operate at the enormously high bandwidth rates required for broadband applications.
  • the Zeus processor performs integer, floating point, signal processing and non-linear operations such as Galois field, table lookup and bit switching on data sizes from 1 bit to 128 bits.
  • Group or SIMD (single instruction multiple data) operations sustain external operand bandwidth rates up to 512 bits (i.e., up to four 128-bit operand groups) per instruction even on data items of small size.
  • the processor performs ensemble operations such as convolution that maintain full intermediate precision with aggregate internal operand bandwidth rates up to 20,000 bits per instruction.
  • the processor performs wide operations such as crossbar switch, matrix multiply and table lookup that use caches embedded in the execution units themselves to extend operands to as much as 32768 bits.
  • All instructions produce at most a single 128-bit register result, source at most three 128-bit registers and are free of side effects such as the setting of condition codes and flags.
  • the instruction set design carries the concept of streamlining beyond Reduced Instruction Set Computer (RISC) architectures, to simplify implementations that issue several instructions per machine cycle.
  • RISC Reduced Instruction Set Computer
  • the Zeus memory subsystem provides 64-bit virtual and physical addressing for UNIX, Mach, and other advanced OS environments. Separate address instructions enable the division of the processor into decoupled access and execution units, to reduce the effective latency of memory to the pipeline.
  • the Zeus cache supplies the high data and instruction issue rates of the processor, and supports coherency primitives for scaleable multiprocessors.
  • the memory subsystem includes mechanisms for sustaining high data rates not only in block transfer modes, but also in non-unit stride and scattered access patterns.
  • the Zeus interface subsystem is designed to match industry-standard “Socket 7” protocols and pin-outs. In this way, Zeus can make use of the immense infrastructure of the PC for building low-cost systems.
  • the interface subsystem is modular, and can be replaced with appropriate protocols and pin-outs for lower-cost and higher-performance systems.
  • the goal of the Zeus architecture is to integrate these processor, memory, and interface capabilities with optimal simplicity and generality. From the software perspective, the entire machine state consists of a program counter, a single bank of 64 general-purpose 128-bit registers, and a linear byte-addressed shared memory space with mapped interface registers. All interrupts and exceptions are precise, and occur with low overhead.
  • the Zeus system architecture reaches above the processor level architecture.
  • Optional areas include:
  • Additional devices and interfaces may be added in specified regions of the physical memory space, provided that system reset places these devices and interfaces in an inactive state that does not interfere with the operation of software that runs in any conformant system.
  • the software interface requirements of any such additional devices and interfaces must be made as widely available as this architecture specification.
  • a computer system may conform to the Zeus System Architecture while employing any number of components, dissipate any amount of heat, require any special environmental facilities, or be of any physical size.
  • x & y bitwise and of x and y. Result is same size as the operands, and operands must be of equal size.
  • y bitwise or of x and y. Result is same size as the operands, and operands must be of equal size.
  • x ⁇ circumflex over ( ) ⁇ y bitwise exclusive-OR of x and y. Result is same size as the operands, and operands must be of equal size.
  • ⁇ x bitwise inversion of x. Result is same size as the operand.
  • x y two's complement equality comparison between x and y. Result is a single bit, and operands must be of equal size.
  • x ⁇ y two's complement inequality comparison between x and y Result is a single bit, and operands must be of equal size.
  • x ⁇ y two's complement less than comparison between x and y.
  • Result is a single bit, and operands must be of equal size.
  • x ⁇ y two's complement greater than or equal comparison between x and y.
  • Result is a single bit, and operands must be of equal size. ⁇ square root over (x) ⁇ floating-point square root of x x
  • x y extraction of bit y (using little-endian bit numbering) from value x.
  • Result is a single bit.
  • Value of x is a single bit.
  • bits in this document is always little-endian, regardless of the ordering of bytes within larger data structures.
  • the least-significant bit of a data structure is always labeled 0 (zero), and the most-significant bit is labeled as the data structure size (in bits) minus one.
  • Zeus memory is an array of 2 64 bytes, without a specified byte ordering, which is physically distributed among various components.
  • a byte is a single element of the memory array, consisting of 8 bits: Byte Ordering
  • a memory access of a data structure of size s at address i is formed from memory bytes at addresses i through i+s ⁇ 1.
  • alignment it is not generally required that i be a multiple of s. Aligned accesses are preferred whenever possible, however, as they will often require one fewer processor or memory clock cycle than unaligned accesses.
  • Zeus memory is byte-addressed, using either little-endian or big-endian byte ordering.
  • Zeus uses little-endian byte ordering when an ordering must be selected.
  • Zeus load and store instructions are available for both little-endian and big-endian byte ordering.
  • the selection of byte ordering is dynamic, so that little-endian and big-endian processes, and even data structures within a process, can be intermixed on the processor.
  • Zeus memory including memory-mapped registers, must conform to the following requirements regarding side-effects of read or load operations:
  • a memory read must have no side-effects on the contents of the addressed memory nor on the contents of any other memory.
  • Zeus memory including memory-mapped registers, must conform to the following requirements regarding side-effects of read or load operations:
  • a memory write must affect the contents of the addressed memory so that a memory read of the addressed memory returns the value written, and so that a memory read of a portion of the addressed memory returns the appropriate portion of the value written.
  • a memory write may affect or cause side-effects on the contents of memory not addressed by the write operation, however, a second memory write of the same value to the same address must have no side-effects on any memory; memory write operations must be idempotent.
  • Zeus store instructions that are weakly ordered may have side-effects on the contents of memory not addressed by the store itself; subsequent load instructions which are also weakly ordered may or may not return values which reflect the side-effects.
  • Zeus provides eight-byte (64-bit) virtual and physical address sizes, and eight-byte (64-bit) and sixteen-byte (128-bit) data path sizes, and uses fixed-length four-byte (32-bit) instructions. Arithmetic is performed on two's-complement or unsigned binary and ANSI/IEEE standard 754-1985 conforming binary floating-point number representations.
  • a bit is a primitive data element: Peck
  • a peck is the catenation of two bits: Nibble
  • a nibble is the catenation of four bits: Byte
  • a byte is the catenation of eight bits, and is a single element of the memory array: Doublet
  • a doublet is the catenation of 16 bits, and is the catenation of two bytes: Quadlet
  • a quadlet is the catenation of 32 bits, and is the catenation of four bytes: Octlet
  • a octlet is the catenation of 64 bits, and is the catenation of eight bytes: Hexlet
  • a hexlet is the catenation of 128 bits, and is the catenation of sixteen bytes: Triclet
  • a triclet is the catenation of 256 bits, and is the catenation of thirty-two bytes: Address
  • Zeus addresses both virtual addresses and physical addresses, are octlet quantities.
  • Zeus's floating-point formats are designed to satisfy ANSI/IEEE standard 754-1985: Binary Floating-point Arithmetic. Standard 754 leaves certain aspects to the discretion of implementers: additional precision formats, encoding of quiet and signaling NaN values, details of production and propagation of quiet NaN values. These aspects are detailed below.
  • Zeus adds additional half-precision and quad-precision formats to standard 754's single-precision and double-precision formats.
  • Zeus's double-precision satisfies standard 754's precision requirements for a single-extended format
  • Zeus's quad-precision satisfies standard 754's precision requirements for a double-extended format.
  • Each precision format employs fields labeled s (sign), e (exponent), and f (fraction) to encode values that are (1) NaN: quiet and signaling, (2) infinities: ( ⁇ 1) ⁇ s ⁇ , (3) normalized numbers: ( ⁇ 1) ⁇ s 2 ⁇ e-bias (1.f), (4) denormalized numbers: ( ⁇ 1) ⁇ s 2 ⁇ 1-bias (0.f), and (5) zero: ( ⁇ 1) ⁇ s 0.
  • Quiet NaN values are denoted by any sign bit value, an exponent field of all one bits, and a non-zero fraction with the most significant bit set.
  • Quiet NaN values generated by default exception handling of standard operations have a zero sign bit, an exponent field of all one bits, a fraction field with the most significant bit set, and all other bits cleared.
  • Signaling NaN values are denoted by any sign bit value, an exponent field of all one bits, and a non-zero fraction with the most significant bit cleared.
  • Infinite values are denoted by any sign bit value, an exponent field of all one bits, and a zero fraction field.
  • Normalized number values are denoted by any sign bit value, an exponent field that is not all one bits or all zero bits, and any fraction field value.
  • the numeric value encoded is ( ⁇ 1) ⁇ s 2 ⁇ e-bias (1.f).
  • the bias is equal the value resulting from setting all but the most significant bit of the exponent field, half: 15, single: 127, double: 1023, and quad: 16383.
  • Denormalized number values are denoted by any sign bit value, an exponent field that is all zero bits, and a non-zero fraction field value.
  • the numeric value encoded is ( ⁇ 1) ⁇ s 2 ⁇ 1-bias (0.f).
  • Zero values are denoted by any sign bit value, and exponent field that is all zero bits, and a fraction field that is all zero bits.
  • the numeric value encoded is ( ⁇ 1) ⁇ s 0. The distinction between +0 and ⁇ 0 is significant in some operations.
  • Zeus half precision uses a format similar to standard 754's requirements, reduced to a 16-bit overall format.
  • the format contains sufficient precision and exponent range to hold a 12-bit signed integer.
  • Zeus quad precision satisfies standard 754's requirements for “double extended,” but has additional fraction precision to use 128 bits.
  • MicroUnity's Zeus processor provides the general-purpose, high-bandwidth computation capability of the Zeus system.
  • Zeus includes high-bandwidth data paths, register files, and a memory hierarchy.
  • Zeus's memory hierarchy includes on-chip instruction and data memories, instruction and data caches, a virtual memory facility, and interfaces to external devices.
  • Zeus's interfaces in the initial implementation are solely the “Super Socket 7” bus, but other implementations may have different or additional interfaces.
  • the Zeus architecture defines a compatible framework for a family of implementations with a range of capabilities. The following implementation-defined parameters are used in the rest of the document in boldface. The value indicated is for MicroUnity's first Zeus implementation.
  • Parameter Interpretation Value Range of legal values T number of execution threads 4 1 ⁇ T ⁇ 31 CE log 2 cache blocks in first-level 9 0 ⁇ CE ⁇ 31 cache CS log 2 cache blocks in first-level 2 0 ⁇ CS ⁇ 4 cache set CT existence of dedicated tags in 1 0 ⁇ CT ⁇ 1 first-level cache LE log 2 entries in local TB 0 0 ⁇ LE ⁇ 3 LB Local TB based on base 1 0 ⁇ LB ⁇ 1 register GE log 2 entries in global TB 7 0 ⁇ GE ⁇ 15 GT log 2 threads which share a 1 0 ⁇ GT ⁇ 3 global TB Interfaces and Block Diagram
  • the first implementation of Zeus uses “socket 7 ” protocols and pinouts.
  • Instructions are specified to Zeus assemblers and other code tools (assemblers) in the syntax of an instruction mnemonic (operation code), then optionally white space (blanks or tabs) followed by a list of operands.
  • instruction mnemonics listed in this specification are in upper case (capital) letters, assemblers accept either upper case or lower case letters in the instruction mnemonics.
  • instruction mnemonics contain periods (“.”) to separate elements to make them easier to understand; assemblers ignore periods within instruction mnemonics.
  • the instruction mnemonics are designed to be parsed uniquely without the separating periods.
  • Register operands are specified by the names r0 (or r00) through r63 (a lower case “r” immediately followed by a one or two digit number from 0 to 63), or by the special designations of “lp” for “r0,” “dp” for “r1,” “fp” for “r62,” and “sp” for “r63.”
  • Integer-valued operands are specified by an optional sign ( ⁇ ) or (+) followed by a number, and assemblers generally accept a variety of integer-valued expressions.
  • a Zeus instruction is specifically defined as a four-byte structure with the little-endian ordering shown below. It is different from the quadlet defined above because the placement of instructions into memory must be independent of the byte ordering used for data structures. Instructions must be aligned on four-byte boundaries; in the diagram below, i must be a multiple of 4. Gateway
  • a Zeus gateway is specifically defined as an 8-byte structure with the little-endian ordering shown below.
  • a gateway contains a code address used to securely invoke a system call or procedure at a higher privilege level. Gateways are marked by protection information specified in the TB. Gateways must be aligned on 8-byte boundaries; in the diagram below, i must be a multiple of 8.
  • the gateway contains two data items within its structure, a code address and a new privilege level:
  • the virtual memory system can be used to designate a region of memory as containing gateways.
  • Other data may be placed within the gateway region, provided that if an attempt is made to use the additional data as a gateway, that security cannot be violated.
  • the user state consists of hardware data structures that are accessible to all conventional compiled code.
  • the Zeus user state is designed to be as regular as possible, and consists only of the general registers, the program counter, and virtual memory. There are no specialized registers for condition codes, operating modes, rounding modes, integer multiple/divide, or floating-point values.
  • Zeus user state includes 64 general registers. All are identical; there is no dedicated zero-valued register, and there are no dedicated floating-point registers.
  • Some Zeus instructions have 64-bit register operands. These operands are sign-extended to 128 bits when written to the register file, and the low-order 64 bits are chosen when read from the register file.
  • the program counter contains the address of the currently executing instruction. This register is implicitly manipulated by branch instructions, and read by branch instructions that save a return address in a general register. Privilege Level
  • the privilege level register contains the privilege level of the currently executing instruction. This register is implicitly manipulated by branch gateway and branch down instructions, and read by branch gateway instructions that save a return address in a general register.
  • the program counter and privilege level may be packed into a single octlet. This combined data structure is saved by the Branch Gateway instruction and restored by the Branch Down instruction.
  • the system state consists of the facilities not normally used by conventional compiled code. These facilities provide mechanisms to execute such code in a fully virtual environment. All system state is memory mapped, so that it can be manipulated by compiled code.
  • Zeus provides load and store instructions to move data between memory and the registers, branch instructions to compare the contents of registers and to transfer control from one code address to another, and arithmetic operations to perform computation on the contents of registers, returning the result to registers.
  • the load and store instructions move data between memory and the registers.
  • values are zero-extended or sign-extended to fill the register.
  • values are truncated on the left to fit the specified memory region.
  • Load and store instructions that specify a memory region of more than one byte may use either little-endian or big-endian byte ordering: the size and ordering are explicitly specified in the instruction. Regions larger than one byte may be either aligned to addresses that are an even multiple of the size of the region or of unspecified alignment: alignment checking is also explicitly specified in the instruction.
  • Load and store instructions specify memory addresses as the sum of a base general register and the product of the size of the memory region and either an immediate value or another general register. Scaling maximizes the memory space which can be reached by immediate offsets from a single base general register, and assists in generating memory addresses within iterative loops. Alignment of the address can be reduced to checking the alignment of the first general register.
  • the load and store instructions are used for fixed-point data as well as floating-point and digital signal processing data; Zeus has a single bank of registers for all data types.
  • Swap instructions provide multithread and multiprocessor synchronization, using indivisible operations: add-swap, compare-swap, multiplex-swap, and double-compare-swap.
  • a store-multiplex operation provides the ability to indivisibly write to a portion of an octlet. These instructions always operate on aligned octlet data, using either little-endian or big-endian byte ordering.
  • the fixed-point compare-and-branch instructions provide all arithmetic tests for equality and inequality of signed and unsigned fixed-point values. Tests are performed either between two operands contained in general registers, or on the bitwise and of two operands. Depending on the result of the compare, either a branch is taken, or not taken. A taken branch causes an immediate transfer of the program counter to the target of the branch, specified by a 12-bit signed offset from the location of the branch instruction. A non-taken branch causes no transfer; execution continues with the following instruction.
  • branch instructions provide for unconditional transfer of control to addresses too distant to be reached by a 12-bit offset, and to transfer to a target while placing the location following the branch into a register.
  • the branch through gateway instruction provides a secure means to access code at a higher privilege level, in a form similar to a normal procedure call.
  • a subset of general fixed-point arithmetic operations is available as addressing operations. These include add, subtract, Boolean, and simple shift operations. These addressing operations may be performed at a point in the Zeus processor pipeline so that they may be completed prior to or in conjunction with the execution of load and store operations in a “superspring” pipeline in which other arithmetic operations are deferred until the completion of load and store operations.
  • DSP Digital Signal Processing
  • These operations perform arithmetic operations on values of 8-, 16-, 32-, 64-, or 128-bit sizes, which are right-aligned in registers.
  • These execution operations include the add, subtract, boolean and simple shift operations which are also available as addressing operations, but further extend the available set to include three-operand add/subtract, three-operand boolean, dynamic shifts, and bit-field operations.
  • Zeus provides all the facilities mandated and recommended by ANSI/IEEE standard 754-1985: Binary Floating-point Arithmetic, with the use of supporting software.
  • the floating-point compare-and-branch instructions provide all the comparison types required and suggested by the IEEE floating-point standard. These floating-point comparisons augment the usual types of numeric value comparisons with special handling for NaN (not-a-number) values. A NaN value compares as “unordered” with respect to any other value, even that of an identical NaN value.
  • Zeus floating-point compare-branch instructions do not generate an exception on comparisons involving quiet or signaling NaN values. If such exceptions are desired, they can be obtained by combining the use of a floating-point compare-set instruction, with either a floating-point compare-branch instruction on the floating-point operands or a fixed-point compare-branch on the set result.
  • the E relation can be used to determine the unordered condition of a single operand by comparing the operand with itself.
  • compare-set floating-point instructions provide all the comparison types supported as branch instructions. Zeus compare-set floating-point instructions may optionally generate an exception on comparisons involving quiet or signaling NaNs.
  • the basic operations supported in hardware are floating-point add, subtract, multiply, divide, square root and conversions among floating-point formats and between floating-point and binary integer formats.
  • the operations explicitly specify the precision of the operation, and round the result (or check that the result is exact) to the specified precision at the conclusion of each operation.
  • Each of the basic operations splits operand registers into symbols of the specified precision and performs the same operation on corresponding symbols.
  • Zeus performs a variety of operations in which one or more products are summed to each other and/or to an additional operand.
  • the instructions include a fused multiply-add (E.MUL.ADD.F), convolve (E.CON.F), matrix multiply (E.MUL.MAT.F), and scale-add (E.SCAL.ADD.F).
  • ANSI/IEEE standard 754-1985 specifies that operations involving a signaling NaN or invalid operation shall, if no trap occurs and if a floating-point result is to be delivered, deliver a quiet NaN as its result. However, it fails to specify what quiet NaN value to deliver.
  • Zeus operations that produce a floating-point result and do not trap on invalid operations propagate signaling NaN values from operands to results, changing the signaling NaN values to quiet NaN values by setting the most significant fraction bit and leaving the remaining bits unchanged.
  • Other causes of invalid operations produce the default quiet NaN value, where the sign bit is zero, the exponent field is all one bits, the most significant fraction bit is set and the remaining fraction bits are zero bits.
  • signaling NaN propagation or quiet NaN production is handled separately and independently for each result symbol.
  • ANSI/IEEE standard 754-1985 specifies that quiet NaN values should be propagated from operand to result by the basic operations. However, it fails to specify which of several quiet NaN values to propagate when more than one operand is a quiet NaN. In addition, the standard does not clearly specify how quiet NaN should be propagated for the multiple-operation instructions provided in Zeus. The standard does not specify the quiet NaN produced as a result of an operand being a signaling NaN when invalid operation exceptions are handled by default. The standard leaves unspecified how quiet and signaling NaN values are propagated though format conversions and the absolute-value, negate and copy operations. This section specifies these aspects left unspecified by the standard.
  • quiet and signaling NaN propagation is handled separately and independently for each result symbol.
  • a quiet or signaling NaN value in a single symbol of an operand causes only those result symbols that are dependent on that operand symbol's value to be propagated as that quiet NaN.
  • Multiple quiet or signaling NaN values in symbols of an operand which influence separate symbols of the result are propagated independently of each other. Any signaling NaN that is propagated has the high-order fraction bit set to convert it to a quiet NaN.
  • Priority shall be given to the operand that is specified by a register definition at a lower-numbered (little-endian) bit position within the instruction (rb has priority over rc, which has priority over rd). In the case of operands which are catenated from two registers, priority shall be assigned based on the register which has highest priority (lower-numbered bit position within the instruction).
  • the value which is located at a lower-numbered (little-endian) bit position within the operand is to receive priority.
  • the identification of a NaN as quiet or signaling shall not confer any priority for selection—only the operand position, though a signaling NaN will cause an invalid operand exception.
  • the sign bit of NaN values propagated shall be complemented if the instruction subtracts or negates the corresponding operand or (but not and) multiplies it by or divides it by or divides it into an operand which has the sign bit set, even if that operand is another NaN. If a NaN is both subtracted and multiplied by a negative value, the sign bit shall be propagated unchanged.
  • NaN values are propagated by preserving the sign and the most-significant fraction bits, except that the most-significant bit of a signalling NaN is set and (for DEFLATE) the least-significant fraction bit preserved is combined, via a logical-or of all fraction bits not preserved. All additional fraction bits (for INFLATE) are set to zero.
  • NaN values For Zeus operations that convert from a floating-point format to a fixed-point format (SINK), NaN values produce zero values (maximum-likelihood estimate). Infinity values produce the largest representable positive or negative fixed-point value that fits in the destination field. When exception traps are enabled, NaN or Infinity values produce a floating-point exception. Underflows do not occur in the SINK operation, they produce ⁇ 1, 0 or +1, depending on rounding controls.
  • NaN values are propagated with the sign bit cleared, complemented, or copied, respectively.
  • Signalling NaN values cause the Invalid operation exception, propagating a quieted NaN in corresponding symbol locations (default) or an exception, as specified by the instruction.
  • an internal format represents infinite-precision floating-point values as a four-element structure consisting of (1) s (sign bit): 0 for positive, 1 for negative, (2) t (type): NORM, ZERO, SNAN, QNAN, INFINITY, (3) e (exponent), and (4) f: (fraction).
  • the mathematical interpretation of a normal value places the binary point at the units of the fraction, adjusted by the exponent: ( ⁇ 1) ⁇ s *(2 ⁇ e )*f.
  • the function F converts a packed IEEE floating-point value into internal format.
  • the function PackF converts an internal format back into IEEE floating-point format, with rounding and exception control.
  • the Zeus processor provides a set of operations that maintain the fullest possible use of 128-bit data paths when operating on lower-precision fixed-point or floating-point vector values. These operations are useful for several application areas, including digital signal processing, image processing and synthetic graphics. The basic goal of these operations is to accelerate the performance of algorithms that exhibit the following characteristics:
  • operands and intermediate results are fixed-point values represented in no greater than 64 bit precision.
  • operands and intermediate results are of 16, 32, or 64 bit precision.
  • the fixed-point arithmetic operations include add, subtract, multiply, divide, shifts, and set on compare.
  • fixed-point arithmetic permits various forms of operation reordering that are not permitted in floating-point arithmetic. Specifically, commutativity and associativity, and distribution identities can be used to reorder operations. Compilers can evaluate operations to determine what intermediate precision is required to get the specified arithmetic result.
  • Zeus supports several levels of precision, as well as operations to convert between these different levels. These precision levels are always powers of two, and are explicitly specified in the operation code.
  • add, subtract, and shift operations may cause a fixed-point arithmetic exception to occur on resulting conditions such as signed or unsigned overflow.
  • the fixed-point arithmetic exception may also be invoked upon a signed or unsigned comparison.
  • the algorithms are or can be expressed as operations on sequentially ordered items in memory. Scatter-gather memory access or sparse-matrix techniques are not required.
  • nx+k the value of n must be a power of two, and the values referenced should have k include the majority of values in the range 0 . . . n ⁇ 1.
  • a negative multiplier may also be used.
  • two hexlet registers specify the source triclet, and one of the two result hexlets are specified as hexlet register.
  • n is 2.
  • shuffle operations can be used to further subdivide the sequential stream. For example, when n is 4, we need to deal out 4 sets of doublet operands, as shown in FIG. 54B (An example of the use of a four-way deal is a digital signal processing application such as conversion of color to monochrome).
  • the reverse of the “deal” operation needs to be performed on vectors of results to interleave them for storage in sequential order.
  • the “shuffle” operation interleaves the bit fields of two octlets of results into a single hexlet. For example a X. SHUFFLE.16 operation combines two octlets of doublet fields into a hexlet as shown in FIG. 54C .
  • a series of shuffle operations can be used to combine additional sets of fields, similarly to the mechanism used for the deal operations. For example, when n is 4, we need to shuffle up 4 sets of doublet operands, as shown in FIG. 54D (An example of the use of a four-way shuffle is a digital signal processing application such as conversion of monochrome to color).
  • nx+k When the index of a source array operand or a destination array result is negated, or in other words, if of the form nx+k where n is negative, the elements of the array must be arranged in reverse order.
  • a group instruction in which one or more operands is a single value, not an array.
  • the “swizzle” operation can also copy operands to multiple locations within a hexlet. For example, a X.SWIZZLE 15,0 operation copies the low-order 16 bits to each double within a hexlet.
  • Variations of the deal and shuffle operations are also useful for converting from one precision to another. This may be required if one operand is represented in a different precision than another operand or the result, or if computation must be performed with intermediate precision greater than that of the operands, such as when using an integer multiply.
  • the “compress” operation is a variant of the “deal” operation, in which the operand is a hexlet, and the result is an octlet.
  • m is the precision of the source operand.
  • An operand can be doubled in precision and shifted left with the “expand” operation, which is essentially the reverse of the “compress” operation.
  • the “shuffle” operation can double the precision of an operand and multiply it by 1 (unsigned only), 2 m or 2 m +1, by specifying the sources of the shuffle operation to be a zeroed register and the source operand, the source operand and zero, or both to be the source operand.
  • a constant can be freely added to the source operand by specifying the constant as the right operand to the shuffle.
  • the characteristics of the algorithms that affect the arithmetic operations most directly are low-precision arithmetic, and vectorizable operations.
  • the fixed-point arithmetic operations provided are most of the functions provided in the standard integer unit, except for those that check conditions. These functions include add, subtract, bitwise Boolean operations, shift, set on condition, and multiply, in forms that take packed sets of bit fields of a specified size as operands.
  • the floating-point arithmetic operations provided are as complete as the scalar floating-point arithmetic set. The result is generally a packed set of bit fields of the same size as the operands, except that the fixed-point multiply function intrinsically doubles the precision of the bit field.
  • Conditional operations are provided only in the sense that the set on condition operations can be used to construct bit masks that can select between alternate vector expressions, using the bitwise Boolean operations. All instructions operate over the entire octlet or hexlet operands, and produce a hexlet result. The sizes of the bit fields supported are always powers of two.
  • Zeus provides a general software solution to the most common operations required for Galois Field arithmetic.
  • the instructions provided include a polynomial multiply, with the polynomial specified as one register operand. This instruction can be used to perform CRC generation and checking, Reed-Solomon code generation and checking, and spread-spectrum encoding and decoding.
  • Register usage and procedure call conventions may be modified, simplified or optimized when a single compilation encloses procedures within a compilation unit so that the procedures have no external interfaces.
  • internal procedures may permit a greater number of register-passed parameters, or have registers allocated to avoid the need to save registers at procedure boundaries, or may use a single stack or data pointer allocation to suffice for more than one level of procedure call.
  • register usage register assembler number names usage how saved 0 lp, r0 link pointer caller 1 dp, r1 data pointer caller 2-9 r2-r9 parameters caller 10-31 r10-r31 temporary caller 32-61 r32-r61 saved callee 62 fp, r62 frame pointer callee 63 sp, r63 stack pointer callee
  • registers are saved either by the caller or callee procedure, which provides a mechanism for leaf procedures to avoid needing to save registers.
  • Compilers may choose to allocate variables into caller or callee saved registers depending on how their lifetimes overlap with procedure calls.
  • Procedure parameters are normally allocated in registers, starting from register 2 up to register 9. These registers hold up to 8 parameters, which may each be of any size from one byte to sixteen bytes (hexlet), including floating-point and small structure parameters. Additional parameters are passed in memory, allocated on the stack. For C procedures which use varargs.h or stdarg.h and pass parameters to further procedures, the compilers must leave room in the stack memory allocation to save registers 2 through 9 into memory contiguously with the additional stack memory parameters, so that procedures such as_doprnt can refer to the parameters as an array.
  • Procedure return values are also allocated in registers, starting from register 2 up to register 9. Larger values are passed in memory, allocated on the stack.
  • the lp register contains the address to which the callee should return to at the conclusion of the procedure. If the procedure is also a caller, the lp register will need to be saved on the stack, once, before any procedure call, and restored, once, after all procedure calls. The procedure returns with a branch instruction, specifying the lp register.
  • the sp register is used to form addresses to save parameter and other registers, maintain local variables, i.e., data that is allocated as a LIFO stack. For procedures that require a stack, normally a single allocation is performed, which allocates space for input parameters, local variables, saved registers, and output parameters all at once.
  • the sp register is always hexlet aligned.
  • the dp register is used to address pointers, literals and static variables for the procedure.
  • the dp register points to a small (approximately 4096-entry) array of pointers, literals, and statically-allocated variables, which is used locally to the procedure.
  • the uses of the dp register are similar to the use of the gp register on a Mips R-series processor, except that each procedure may have a different value, which expands the space addressable by small offsets from this pointer. This is an important distinction, as the offset field of Zeus load and store instructions are only 12 bits.
  • the compiler may use additional registers and/or indirect pointers to address larger regions for a single procedure.
  • the compiler may also share a single dp register value between procedures which are compiled as a single unit (including procedures which are externally callable), eliminating the need to save, modify and restore the dp register for calls between procedures which share the same dp register value.
  • Load- and store-immediate-aligned instructions specifying the dp register as the base register, are generally used to obtain values from the dp region. These instructions shift the immediate value by the logarithm of the size of the operand, so loads and stores of large operands may reach farther from the dp register than of small operands.
  • the size of the addressable region is maximized if the elements to be placed in the dp region are sorted according to size, with the smallest elements placed closest to the dp base. At points where the size changes, appropriate padding is added to keep elements aligned to memory boundaries matching the size of the elements. Using this technique, the maximum size of the dp region is always at least 4096 items, and may be larger when the dp area is composed of a mixture of data sizes.
  • the dp register mechanism also permits code to be shared, with each static instance of the dp region assigned to a different address in memory. In conjunction with position-independent or pc-relative branches, this allows library code to be dynamically relocated and shared between processes.
  • the lp register is loaded with the entry point of the procedure, and the dp register is loaded with the value of the dp register required for the procedure. These two values are located adjacent to each other as a pair of octlet quantities in the dp region for the calling procedure.
  • the linker fills in the values at link time.
  • this mechanism also provides for dynamic linking, by initially filling in the lp and dp fields in the data structure to invoke the dynamic linker.
  • the dynamic linker can use the contents of the lp and/or dp registers to determine the identity of the caller and callee, to find the location to fill in the pointers and resume execution.
  • the lp value is initially set to point to an entry point in the dynamic linker
  • the dp value is set to point to itself: the location of the lp and dp values in the dp region of the calling procedure.
  • the identity of the procedure can be discovered from a string following the dp pointer, or a separate table, indexed by the dp pointer.
  • the fp register is used to address the stack frame when the stack size varies during execution of a procedure, such as when using the GNU C alloca function.
  • the sp register is used to address the stack frame and the fp register may be used for any other general purpose as a callee-saved register.
  • Procedures that are compiled together may share a common data region, in which case there is no need to save, load, and restore the dp region in the callee, assuming that the callee does not modify the dp register.
  • the pc-relative addressing of the B.LINK.I instruction permits the code region to be position-independent.
  • the stack frame may also be eliminated from the caller procedure by using “temporary” caller save registers not utilized by the callee leaf procedures.
  • this usage may include other values and variables that live in the caller procedure across callee procedure calls.
  • the load instruction is required in the caller following the procedure call to restore the dp register.
  • a second load instruction also restores the lp register, which may be located at any point between the last procedure call and the branch instruction which returns from the procedure.
  • Such a procedure must not be entered from anywhere other than its legitimate entry point, to prohibit entering a procedure after the point at which security checks are performed or with invalid register contents, otherwise the access to a higher privilege level can lead to a security violation.
  • the procedure generally must have access to memory data, for which addresses must be produced by the privileged code.
  • the branch-gateway instruction allows the privileged code procedure to rely the fact that a single register has been verified to contain a pointer to a valid memory region.
  • the branch-gateway instruction ensures both that the procedure is invoked at a proper entry point, and that other registers such as the data pointer and stack pointer can be properly set. To ensure this, the branch-gateway instruction retrieves a “gateway” directly from the protected virtual memory space.
  • the gateway contains the virtual address of the entry point of the procedure and the target privilege level.
  • a gateway can only exist in regions of the virtual address space designated to contain them, and can only be used to access privilege levels at or below the privilege level at which the memory region can be written to ensure that a gateway cannot be forged.
  • the branch-gateway instruction ensures that register 1 (dp) contains a valid pointer to the gateway for this target code address by comparing the contents of register 0 (lp) against the gateway retrieved from memory and causing an exception trap if they do not match.
  • auxiliary information such as the data pointer and stack pointer can be set by loading values located by the contents of register 1. For example, the eight bytes following the gateway may be used as a pointer to a data region for the procedure.
  • register 1 before executing the branch-gateway instruction, register 1 must be set to point at the gateway, and register 0 must be set to the address of the target code address plus the desired privilege level.
  • a return from a system or privileged routine involves a reduction of privilege. This need not be carefully controlled by architectural facilities, so a procedure may freely branch to a less-privileged code address. Normally, such a procedure restores the stack frame, then uses the branch-down instruction to return.
  • the calling sequence is identical to that of the inter-module calling sequence shown above, except for the use of the B.GATE instruction instead of a B.LINK instruction. Indeed, if a B.GATE instruction is used when the privilege level in the lp register is not higher than the current privilege level, the B.GATE instruction performs an identical function to a B.LINK.
  • the callee if it uses a stack for local variable allocation, cannot necessarily trust the value of the sp passed to it, as it can be forged. Similarly, any pointers which the callee provides should not be used directly unless it they are verified to point to regions which the callee should be permitted to address. This can be avoided by defining application programming interfaces (APIs) in which all values are passed and returned in registers, or by using a trusted, intermediate privilege wrapper routine to pass and return parameters. The method described below can also be used.
  • APIs application programming interfaces
  • a user may request that errors in a privileged routine be reported by invoking a user-supplied error-logging routine.
  • the privilege can be reduced via the branch-down instruction.
  • the return from the procedure actually requires an increase in privilege, which must be carefully controlled. This is dealt with by placing the procedure call within a lower-privilege procedure wrapper, which uses the branch-gateway instruction to return to the higher privilege region after the call through a secure re-entry point.
  • FIG. 1 a general purpose processor is illustrated therein in block diagram form.
  • FIG. 1 four copies of an access unit are shown, each with an access instruction fetch queue A-Queue 101 - 104 .
  • Each access instruction fetch queue A-Queue 101104 is coupled to an access register file AR 105 - 108 , which are each coupled to two access functional units A 109 - 116 .
  • each thread of the processor may have on the order of sixty-four general purpose registers (e.g., the AR's 105 - 108 and ER's 125 - 128 ).
  • the access units function independently for four simultaneous threads of execution, and each compute program control flow by performing arithmetic and branch instructions and access memory by performing load and store instructions. These access units also provide wide operand specifiers for wide operand instructions. These eight access functional units A 109 - 116 produce results for access register files AR 105 - 108 and memory addresses to a shared memory system 117 - 120 .
  • the memory hierarchy includes on-chip instruction and data memories, instruction and data caches, a virtual memory facility, and interfaces to external devices.
  • the memory system is comprised of a combined cache and niche memory 117 , an external bus interface 118 , and, externally to the device, a secondary cache 119 and main memory system with I/O devices 120 .
  • the memory contents fetched from memory system 117 - 120 are combined with execute instructions not performed by the access unit, and entered into the four execute instruction queues E-Queue 121 - 124 .
  • the machine state includes a linear byte-addressed shared memory space.
  • memory contents fetched from memory system 117 - 120 are also provided to wide operand microcaches 132 - 136 by bus 137 .
  • Instructions and memory data from E-queue 121 - 124 are presented to execution register files 125 - 128 , which fetch execution register file source operands.
  • the instructions are coupled to the execution unit arbitration unit Arbitration 131 , that selects which instructions from the four threads are to be routed to the available execution functional units E 141 and 149 , X 142 and 148 , G 143 - 144 and 146 - 147 , and T 145 .
  • the execution functional units E 141 and 149 , the execution functional units X 142 and 148 , and the execution functional unit T 145 each contain a wide operand microcache 132 - 136 , which are each coupled to the memory system 117 by bus 137 .
  • the execution functional units G 143 - 144 and 146 - 147 are group arithmetic and logical units that perform simple arithmetic and logical instructions, including group operations wherein the source and result operands represent a group of values of a specified symbol size, which are partitioned and operated on separately, with results catenated together.
  • the data path is 128 bits wide, although the present invention is not intended to be limited to any specific size of data path.
  • the execution functional units X 142 and 148 are crossbar switch units that perform crossbar switch instructions.
  • the crossbar switch units 142 and 148 perform data handling operations on the data stream provided over the data path source operand buses 151 - 158 , including deal, shuffles, shifts, expands, compresses, swizzles, permutes and reverses, plus the wide operations discussed hereinafter.
  • at least one such operation will be expanded to a width greater than the general register and data path width. Examples of the data manipulation operations are described in another section.
  • the execution functional units E 141 and 149 are ensemble units that perform ensemble instructions using a large array multiplier, including group or vector multiply and matrix multiply of operands partitioned from data path source operand buses 151 - 158 and treated as integer, floating-point, polynomial or Galois field values.
  • a general software solution is provided to the most common operations required for Galois Field arithmetic.
  • the instructions provided include a polynomial multiply, with the polynomial specified as one register operand. This instruction can be used to perform CRC generation and checking, Reed-Solomon code generation and checking, and spread-spectrum encoding and decoding.
  • matrix multiply instructions and other operations described in another section utilize a wide operand loaded into the wide operand microcache 132 and 136 .
  • the execution functional unit T 145 is a translate unit that performs table-look-up operations on a group of operands partitioned from a register operand, and catenates the result.
  • the Wide Translate instruction included in another section utilizes a wide operand loaded into the wide operand microcache 134 .
  • the execution functional units E 141 , 149 , execution functional units X- 142 , 148 , and execution functional unit T each contain dedicated storage to permit storage of source operands including wide operands as discussed hereinafter.
  • the dedicated storage 132 - 136 which may be thought of as a wide microcache, typically has a width which is a multiple of the width of the data path operands related to the data path source operand buses 151 - 158 . Thus, if the width of the data path 151 - 158 is 128 bits, the dedicated storage 132 - 136 may have a width of 256, 512, 1024 or 2048 bits.
  • Operands which utilize the full width of the dedicated storage are referred to herein as wide operands, although it is not necessary in all instances that a wide operand use the entirety of the width of the dedicated storage; it is sufficient that the wide operand use a portion greater than the width of the memory data path of the output of the memory system 117 - 120 and the functional unit data path of the input of the execution functional units 141 - 149 , though not necessarily greater than the width of the two combined. Because the width of the dedicated storage 132 - 136 is greater than the width of the memory operand bus 137 , portions of wide operands are loaded sequentially into the dedicated storage 132 - 136 . However, once loaded, the wide operands may then be used at substantially the same time. It can be seen that functional units 141 - 149 and associated execution registers 125 - 128 form a data functional unit, the exact elements of which may vary with implementation.
  • the execution register file ER 125 - 128 source operands are coupled to the execution units 141 - 145 using source operand buses 151 - 154 and to the execution units 145 - 149 using source operand buses 155 - 158 .
  • the function unit result operands from execution units 141145 are coupled to the execution register file ER 125 - 128 using result bus 161 and the function units result operands from execution units 145 - 149 are coupled to the execution register file using result bus 162 .
  • the wide operands used in some embodiments of the present invention provide the ability to execute complex instructions such as the wide multiply matrix instruction shown in FIG. 2 , which can be appreciated in an alternative form, as well, from FIG. 3 .
  • a wide operand permits, for example, the matrix multiplication of various sizes and shapes which exceed the data path width.
  • FIG. 2 involves a matrix specified by register rc having a 128*64/size multiplied by a vector contained in register rb having a 128 size, to yield a result, placed in register rd, of 128 bits.
  • the operands that are substantially larger than the data path width of the processor are provided by using a general-purpose register to specify a memory specifier from which more than one but in some embodiments several data path widths of data can be read into the dedicated storage.
  • the memory specifier typically includes the memory address together with the size and shape of the matrix of data being operated on.
  • the memory specifier or wide operand specifier can be better appreciated from FIG. 5 , in which a specifier 500 is seen to be an address, plus a field representative of the size/2 and a further field representative of width/2, where size is the product of the depth and width of the data.
  • the address is aligned to a specified size, for example sixty-four bytes, so that a plurality of low order bits (for example, six bits) are zero.
  • the specifier 500 can thus be seen to comprise a first field 505 for the address, plus two field indicia 510 within the low order six bits to indicate size and width.
  • the decoding of the specifier 500 may be further appreciated from FIG. 6 where, for a given specifier 600 made up of an address field 605 together with a field 610 comprising plurality of low order bits.
  • a series of arithmetic operations shown at steps 615 and 620 the portion of the field 610 representative of width/2 is developed.
  • the value of t is decoded, which can then be used to decode both size and address.
  • the portion of the field 610 representative of size/2 is decoded as shown at steps 635 and 640 , while the address is decoded in a similar way at steps 645 and 650 .
  • the wide function unit may be better appreciated from FIG. 7 , in which a register number 700 is provided to an operand checker 705 .
  • Wide operand, specifier 710 communicates with the operand checker 705 and also addresses memory 715 having a defined memory width.
  • the memory address includes a plurality of register operands 720 A-n, which are accumulated in a dedicated storage portion 714 of a data functional unit 725 .
  • the dedicated storage 714 can be seen to have a width equal to eight data path widths, such that eight wide operand portions 730 A-H are sequentially loaded into the dedicated storage to form the wide operand. Although eight portions are shown in FIG.
  • the present invention is not limited to eight or any other specific multiple of data path widths.
  • the wide operand portions 730 A-H may be used as a single wide operand 735 by the functional element 740 , which may be any element(s) from FIG. 1 connected thereto.
  • the result of the wide operand is then provided. to a result register 745 , which in a presently preferred embodiment is of the same width as the memory width.
  • the wide operand is successfully loaded into the dedicated storage 714 , a second aspect of the present invention may be appreciated. Further execution of this instruction or other similar instructions that specify the same memory address can read the dedicated storage to obtain the operand value under specific conditions that determine whether the memory operand has been altered by intervening instructions. Assuming that these conditions are met, the memory operand fetch from the dedicated storage is combined with one or more register operands in the functional unit, producing a result. In some embodiments, the size of the result is limited to that of a general register, so that no similar dedicated storage is required for the result. However, in some different embodiments, the result may be a wide operand, to further enhance performance.
  • Each memory store instruction checks the memory address against the memory addresses recorded for the dedicated storage. Any match causes the storage to be marked invalid, since a memory store instruction directed to any of the memory addresses stored in dedicated storage 714 means that data has been overwritten.
  • the value of the register is read and compared against the address recorded for the dedicated storage. This uses more resources than #1 because of the need to fetch the register contents and because the width of the register is greater than that of the register number itself. If the address matches, the storage is valid. The new register number is recorded for the dedicated storage.
  • the register contents are used to address the general-purpose processor's memory and load the dedicated storage. If dedicated storage is already fully loaded, a portion of the dedicated storage must be discarded (victimized) to make room for the new value. The instruction is then performed using the newly updated dedicated storage. The address and register number is recorded for the dedicated storage.
  • An alternate embodiment of the present invention can replace rule #1 above with the following rule:
  • Each memory store instruction checks the memory address against the memory addresses recorded for the dedicated storage. Any match causes the dedicated storage to be updated, as well as the general memory.
  • rule #4 is not made more complicated by this choice.
  • the advantage of this alternate embodiment is that the dedicated storage need not be discarded (invalidated) by memory store operations.
  • the wide microcache contents, wmc.c can be seen to form a plurality of data path widths 900 A-n, although in the example shown the number is eight.
  • the physical address, wmc.pa is shown as 64 bits in the example shown, although the invention is not limited to a specific width.
  • the size of the contents, wmc.size is also provided in a field which is shown as 10 bits in an exemplary embodiment.
  • a “contents valid” flag, wmc.ev, of one bit is also included in the data structure, together with a two bit field for thread last used, or wmc.th.
  • a six bit field for register last used, wmc.reg is provided in an exemplary embodiment.
  • a one bit flag for register and thread valid, or wmc.rtv may be provided.
  • step 805 a check of the register contents is made against the stored value wmc.rc. If true, a check is made at step 810 to verify the thread. If true, the process then advances to step 815 to verify whether the register and thread are valid. If step 815 reports as true, a check is made at step 820 to verify whether the contents are valid. If all of steps 805 through 820 return as true, the subsequent instruction is able to utilize the existing wide operand as shown at step 825 , after which the process ends.
  • steps 805 through 820 return as false, the process branches to step 830 , where content, physical address and size are set. Because steps 805 through 820 all lead to either step 825 or 830 , steps 805 through 820 may be performed in any order or simultaneously without altering the process.
  • the process then advances to step 835 where size is checked. This check basically ensures that the size of the translation unit is greater than or equal to the size of the wide operand, so that a physical address can directly replace the use of a virtual address.
  • the wide operands may be larger than the minimum region that the virtual memory system is capable of mapping.
  • step 850 a check of the contents valid flag is made. If either check at step 845 or 850 reports as false, the process branches and new content is written into the dedicated storage 114 , with the fields thereof being set accordingly. Whether the check at step 850 reported true, or whether new content was written at step 855 , the process advances to step 860 where appropriate fields are set to indicate the validity of the data, after which the requested function can be performed at step 825 . The process then ends.
  • FIGS. 10 and 11 which together show the operation of the microcache controller from a hardware standpoint, the operation of the microcache controller may be better understood.
  • the hardware implementation it is clear that conditions which are indicated as sequential steps in FIGS. 8 and 9 above can be performed in parallel, reducing the delay for such wide operand checking. Further, a copy of the indicated hardware may be included for each wide microcache, and thereby all such microcaches as may be alternatively referenced by an instruction can be tested in parallel. It is believed that no further discussion of FIGS. 10 and 11 is required in view of the extensive discussion of FIGS. 8 and 9 , above.
  • wide operands including an implementation in which a single instruction can accept two wide operands, partition the operands into symbols, multiply corresponding symbols together, and add the products to produce a single scalar value or a vector of partitioned values of width of the register file, possibly after extraction of a portion of the sums.
  • Such an instruction can be valuable for detection of motion or estimation of motion in video compression.
  • a further enhancement of such an instruction can incrementally update the dedicated storage if the address of one wide operand is within the range of previously specified wide operands in the dedicated storage, by loading only the portion not already within the range and shifting the in-range portion as required.
  • Such an enhancement allows the operation to be performed over a “sliding window” of possible values.
  • one wide operand is aligned and supplies the size and shape information, while the second wide operand, updated incrementally, is not aligned.
  • Another alternative embodiment of the present invention can define additional instructions where the result operand is a wide operand.
  • Such an enhancement removes the limit that a result can be no larger than the size of a general register, further enhancing performance.
  • These wide results can be cached locally to the functional unit that created them, but must be copied to the general memory system before the storage can be reused and before the virtual memory system alters the mapping of the address of the wide result.
  • Data paths must be added so that load operations and other wide operations can read these wide results—forwarding of a wide result from the output of a functional unit back to its input is relatively easy, but additional data paths may have to be introduced if it is desired to forward wide results back to other functional units as wide operands.
  • a specification of the size and shape of the memory operand is included in the low-order bits of the address.
  • such memory operands are typically a power of two in size and aligned to that size. Generally, one-half the total size is added (or inclusively or'ed, or exclusively or'ed) to the memory address, and one half of the data width is added (or inclusively or'ed, or exclusively or'ed) to the memory address.
  • These bits can be decoded and stripped from the memory address, so that the controller is made to step through all the required addresses. This decreases the number of distinct operands required for these instructions, as the size, shape and address of the memory operand are combined into a single register operand value.
  • Wide operations which are defined by the present invention include the Wide Switch instruction that performs bit-level switching; the Wide Translate instruction which performs byte (or larger) table-lookup; Wide Multiply Matrix, Wide Multiply Matrix Extract and Wide Multiply Matrix Extract Immediate (discussed below), Wide Multiply Matrix Floating-point, and Wide Multiply Matrix Galois (also discussed below). While the discussion below focuses on particular sizes for the exemplary instructions, it will be appreciated that the invention is not limited to a particular width.
  • the Wide Switch instruction rearranges the contents of up to two registers (256 bits) at the bit level, producing a full-width (128 bits) register result.
  • a wide operand specified by a single register consisting of eight bits per bit position is used.
  • eight wide operand bits for each bit position select which of the 256 possible source register bits to place in the result.
  • the high order bits of the memory operand are replaced with values corresponding to the result bit position, so that the memory operand specifies a bit selection within symbols of the operand size, performing the same operation on each symbol.
  • the Wide Translate instructions use a wide operand to specify a table of depth up to 256 entries and width of up to 128 bits.
  • the contents of a register is partitioned into operands of one, two, four, or eight bytes, and the partitions are used to select values from the table in parallel.
  • the depth and width of the table can be selected by specifying the size and shape of the wide operand as described above.
  • the Wide Multiply Matrix instructions use a wide operand to specify a matrix of values of width up to 64 bits (one half of register file and data path width) and depth of up to 128 bits/symbol size.
  • the contents of a general register (128 bits) is used as a source operand, partitioned into a vector of symbols, and multiplied with the matrix, producing a vector of width up to 128 bits of symbols of twice the size of the source operand symbols.
  • the width and depth of the matrix can be selected by specifying the size and shape of the wide operand as described above. Controls within the instruction allow specification of signed, mixed-signed, unsigned, complex, or polynomial operands.
  • the Wide Multiply Matrix Extract instructions use a wide operand to specify a matrix of value of width up to 128 bits (full width of register file and data path) and depth of up to 128 bits/symbol size.
  • the contents of a general register (128 bits) is used as a source operand, partitioned into a vector of symbols, and multiplied with the matrix, producing a vector of width up to 256 bits of symbols of twice the size of the source operand symbols plus additional bits to represent the sums of products without overflow.
  • the results are then extracted in a manner described below (Enhanced Multiply Bandwidth by Result Extraction), as controlled by the contents of a general register specified by the instruction.
  • the general register also specifies the format of the operands: signed, mixed-signed, unsigned, and complex as well as the size of the operands, byte (8 bit), doublet (16 bit), quadlet (32 bit), or hexlet (64 bit).
  • the Wide Multiply Matrix Extract Immediate instructions perform the same function as above, except that the extraction, operand format and size is controlled by fields in the instruction.
  • This form encodes common forms of the above instruction without the need to initialize a register with the required control information. Controls within the instruction allow specification of signed, mixed-signed, unsigned, and complex operands.
  • the Wide Multiply Matrix Floating-point instructions perform a matrix multiply in the same form as above, except that the multiplies and additions are performed in floating-point arithmetic. Sizes of half (16-bit), single (32-bit), double (64-bit), and complex sizes of half, single and double can be specified within the instruction.
  • Wide Multiply Matrix Galois instructions perform a matrix multiply in the same form as above, except that the multiples and additions are performed in Galois field arithmetic.
  • a size of 8 bits can be specified within the instruction.
  • the contents of a general register specify the polynomial with which to perform the Galois field remainder operation. The nature of the matrix multiplication is novel and described in detail below.
  • memory operands of either little-endian or big-endian conventional byte ordering are facilitated. Consequently, all Wide operand instructions are specified in two forms, one for little-endian byte ordering and one for big-endian byte ordering, as specified by a portion of the instruction.
  • the byte order specifies to the memory system the order in which to deliver the bytes within units of the data path width (128 bits), as well as the order to place multiple memory words (128 bits) within a larger Wide operand. Each of these instructions is described in greater detail.
  • Some embodiments of the present invention address extraction of a high order portion of a multiplier product or sum of products, as a way of efficiently utilizing a large multiplier array.
  • Parent U.S. Pat. No. 5,742,840 and U.S. Pat. No. 5,953,241 describe a system and method for enhancing the utilization of a multiplier array by adding specific classes of instructions to a general-purpose processor.
  • multiply instructions specify operations in which the size of the result is twice the size of identically-sized input operands.
  • a multiply instruction accepted two 64-bit register sources and produces a single 128-bit register-pair result, using an entire 64.times.64 multiplier array for 64-bit symbols, or half the multiplier array for pairs of 32-bit symbols, or one-quarter the multiplier array for quads of 16-bit symbols. For all of these cases, note that two register sources of 64 bits are combined, yielding a 128-bit result.
  • register pairs creates an undesirable complexity, in that both the register pair and individual register values must be bypassed to subsequent instructions.
  • prior art techniques only half of the source operand 128-bit register values could be employed toward producing a single-register 128-bit result.
  • a high-order portion of the multiplier product or sum of products is extracted, adjusted by a dynamic shift amount from a general register or an adjustment specified as part of the instruction, and, rounded by a control value from a register or instruction portion as round-to-nearest/even, toward zero, floor, or ceiling.
  • Overflows are handled by limiting the result to the largest and smallest values that can be accurately represented in the output result.
  • the size of the result can be specified, allowing rounding and limiting to a smaller number of bits than can fit in the result. This permits the result to be scaled to be used in subsequent operations without concern of overflow or rounding, enhancing performance.
  • a single register value defines the size of the operands, the shift amount and size of the result, and the rounding control.
  • the particular instructions included in this aspect of the present invention are Ensemble Convolve Extract, Ensemble Multiply Extract, Ensemble Multiply Add Extract and Ensemble Scale Add Extract, each of which is more thoroughly treated in another section.
  • An aspect of the present invention defines the Ensemble Scale Add Extract instruction, that combines the extract control information in a register along with two values that are used as scalar multipliers to the contents of two vector multiplicands. This combination reduces the number of registers that would otherwise be required, or the number of bits that the instruction would otherwise require, improving performance.
  • Another alternative embodiment can reduce the number of register read ports required for implementation of instructions in which the size, shift and rounding of operands is controlled by a register.
  • the value of the extract control register can be fetched using an additional cycle on an initial execution and retained within or near the functional unit for subsequent executions, thus reducing the amount of hardware required for implementation with a small additional performance penalty.
  • the value retained would be marked invalid, causing a re-fetch of the extract control register, by instructions that modify the register, or alternatively, the retained value can be updated by such an operation.
  • a re-fetch of the extract control register would also be required if a different register number were specified on a subsequent execution.
  • Another embodiment of the invention includes Galois field arithmetic, where multiplies are performed by an initial binary polynomial multiplication (unsigned binary multiplication with carries suppressed), followed by a polynomial modulo/remainder operation (unsigned binary division with carries suppressed). The remainder operation is relatively expensive in area and delay.
  • Galois field arithmetic additions are performed by binary addition with carries suppressed, or equivalently, a bitwise exclusive-or operation.
  • a matrix multiplication is performed using Galois field arithmetic, where the multiplies and additions are Galois field multiples and additions.
  • a technique for incorporating floating point information into processor instructions.
  • U.S. Pat. No. 5,812,439 a system and method are described for incorporating control of rounding and exceptions for floating-point instructions into the instruction itself.
  • the present invention extends this invention to include separate instructions in which rounding is specified, but default handling of exceptions is also specified, for a particular class of floating-point instructions.
  • the SINK instruction (which converts floating-point values to integral values) is available with control in the instruction that include all previously specified combinations (default-near rounding and default exceptions, Z—round-toward-zero and trap on exceptions, N—round to nearest and trap on exceptions, F—floor rounding (toward minus infinity) and trap on exceptions, C—ceiling rounding (toward plus infinity) and trap on exceptions, and X—trap on inexact and other exceptions), as well as three new combinations (Z.D—round toward zero and default exception handling, F.D—floor rounding and default exception handling, and C.D—ceiling rounding and default exception handling). (The other combinations: N.D is equivalent to the default, and X.D—trap on inexact but default handling for other exceptions is possible but not particularly valuable).
  • Zeus has separate function units to perform addressing operations (A, L, S, B instructions) from execution operations (G, X, E, W instructions). When possible, Zeus will execute all the addressing operations of an instruction stream, deferring execution of the execution operations until dependent load instructions are completed. Thus, the latency of the memory system is hidden, so long as addressing operations themselves do not need to wait for memory.
  • Instructions should generally be scheduled so that previous operations can be completed at the time of issue. When this is not possible, the processor inserts sufficient empty cycles to perform the instructions precisely—explicit no-operation instructions are not required.
  • Zeus can issue up to two addressing operations and up to two execution operations per cycle per thread. Considering functional unit parallelism, described below, as many of four instruction issues per cycle are possible per thread.
  • Zeus has separate function units for several classes of execution operations.
  • An A unit performs scalar add, subtract, boolean, and shift-add operations for addressing and branch calculations.
  • the remaining functional units are execution resources, which perform operations subsequent to memory loads and which operate on values in a parallel, partitioned form.
  • a G unit performs add, subtract, boolean, and shift-add operations.
  • An X unit performs general shift operations.
  • An E unit performs multiply and floating-point operations.
  • a T unit performs table-look-up operations.
  • the latency of each functional unit depends on what operation is performed in the unit, and where the result is used.
  • the aggressive nature of the pipeline makes it difficult to characterize the latency of each operation with a single number.
  • the addressing unit is decoupled from the execution unit, the latency of load operations is generally hidden, unless the result of a load instruction must be returned to the addressing unit.
  • Store instructions must be able to compute the address to which the data is to be stored in the addressing unit, but the data will not be irrevocably stored until the data is available and it is valid to retire the store instruction. However, under certain conditions, data may be forwarded from a store instruction to subsequent load instructions, once the data is available.
  • Unit instruction Latency rules A. A 1 cycle L Address operands must be ready to issue, 4 cycles to A unit, 0 to G, X, E, T units S Address operands must be ready to issue, Store occurs when data is ready and instruction may be retired.
  • B Conditional branch operands may be provided from the A unit (64-bit values), or the G unit (128-bit values). 4 cycles for mispredicted branch W Address operand must be ready to issue, G G 1 cycle X X, W.SWITCH 1 cycle for data operands, 2 cycles for shift amount or control operand E E, W.MULMAT 4 cycles T W.TRANSLATE 1 cycles Pipelining and Multithreading
  • some embodiments of the present invention employ both decoupled access from execution pipelines and simultaneous multithreading in a unique way.
  • Simultaneous Multithreaded pipelines have been employed in prior art to enhance the utilization of data path units by allowing instructions to be issued from one of several execution threads to each functional unit (e.g., Susan Eggers, University of Wash, papers on Simultaneous Multithreading).
  • Decoupled access from execution pipelines have been employed in prior art to enhance the utilization of execution data path units by buffering results from an access unit, which computes addresses to a memory unit that in turn fetches the requested items from memory, and then presenting them to an execution unit (e.g., James E. Smith, paper on Decoupled Access from Execution).
  • an execution unit e.g., James E. Smith, paper on Decoupled Access from Execution.
  • the embodiment shown in FIG. 4 contains individual access data path units, with associated register files, for each execution thread. These access units produce addresses, which are aggregated together to a common memory unit, which fetches all the addresses and places the memory contents in one or more buffers. Instructions for execution units, which are shared to varying degrees among the threads are also buffered for later execution. The execution units then perform operations from all active threads using functional data path units that are shared.
  • the extra cycle required for prior art simultaneous multithreading designs is overlapped with the memory data access time from prior art decoupled access from execution cycles, so that no additional delay is incurred by the execution functional units for scheduling resources.
  • the additional cycle for scheduling shared resources is also eliminated.
  • FIG. 12 is a timing diagram of a decoupled pipeline structure in accordance with one embodiment of the present invention.
  • the time permitted by a pipeline to service load operations may be flexibly extended.
  • various types of instructions are abbreviated as A, L, B, E, and S, representing a register-to-register address calculation, a memory load, a branch, a register-to-register data calculation, and a memory store, respectively.
  • A, L and B type instructions representing a register-to-register address calculation, a memory load, a branch, a register-to-register data calculation, and a memory store, respectively.
  • the front of the pipeline in which A, L and B type instructions are handled, is decoupled from the back of the pipeline, in which E, and S type instructions are handled.
  • FIG. 13 further illustrates this pipeline organization. Accordingly, the latency of load instructions can be hidden, as execute instructions are deferred until the results of the load are available. Nevertheless, the execution unit still processes instructions in normal order, and provides precise exceptions. More details relating to this pipeline structure is explained in the “Superspring Pipeline” section.
  • a difficulty in particular pipeline structures is that dependent operations must be separated by the latency of the pipeline, and for highly pipelined machines, the latency of simple operations can be quite significant.
  • very highly pipelined implementations are provided by alternating execution of two or more independent threads.
  • a thread is the state required to maintain an independent execution; the architectural state required is that of the register file contents, program counter, privilege level, local TB, and when required, exception status.
  • ensuring that only one thread may handle an exception at one time may minimize the latter state, exception status.
  • several of the machine resources must be scheduled fairly.
  • the processor may be able to perform a load operation only on every second cycle, and a store operation only on every fourth cycle.
  • the processor schedules these fixed timing resources fairly by using a round-robin schedule for a number of threads that is relatively prime to the resource reuse rates.
  • five simultaneous threads of execution ensure that resources which may be used every two or four cycles are fairly shared by allowing the instructions which use those resources to be issued only on every second or fourth issue slot for that thread. More details relating to this pipeline structure are explained in the “Superthread Pipeline” section.
  • one embodiment of the present invention employs several different classics of functional units for the execution unit, with varying cost, utilization, and performance.
  • the G units which perform simple addition and bitwise operations is relatively inexpensive (in area and power) compared to the other units, and its utilization is relatively high. Consequently, the design employs four such units, where each unit can be shared between two threads.
  • the X unit which performs a broad class of data switching functions is more expensive and less used, so two units are provided that are each shared among two threads.
  • the T unit which performs the Wide Translate instruction, is expensive and utilization is low, so the single unit is shared among all four threads.
  • the E unit which performs the class of Ensemble instructions, is very expensive in area and power compared to the other functional units, but utilization is relatively high, so we provide two such units, each unit shared by two threads.
  • FIG. 4 four copies of an access unit are shown, each with an access instruction fetch queue A-Queue 401 - 404 , coupled to an access register file AR 405 - 408 , each of which is, in turn, coupled to two access functional units A 409 - 416 .
  • the access units function independently for four simultaneous threads of execution.
  • These eight access functional units A 409 - 416 produce results for access register files AR 405 - 408 and addresses to a shared memory system 417 .
  • the memory contents fetched from memory system 417 are combined with execute instructions not performed by the access unit and entered into the four execute instruction queues E-Queue 421 - 424 .
  • Instructions and memory data from E-queue 421 - 424 are presented to execution register files 425 - 428 , which fetches execution register file source operands.
  • the instructions are coupled to the execution unit arbitration unit Arbitration 431 , that selects which instructions from the four threads are to be routed to the available execution units E 441 and 449 , X 442 and 448 , G 443 - 444 and 446 - 447 , and T 445 .
  • the execution register file source operands ER 425 - 428 are coupled to the execution units 441 - 445 using source operand buses 451 - 454 and to the execution units 445 - 449 using source operand buses 455 - 458 .
  • the function unit result operands from execution units 441 - 445 are coupled to the execution register file using result bus 461 and the function units result operands from execution units 445 - 449 are coupled to the execution register file using result bus 462 .
  • an improved interprivilege gateway is described which involves increased parallelism and leads to enhanced performance.
  • U.S. application Ser. No. 08/541,416, now U.S. Pat. No. 6,101,590 a system and method is described for implementing an instruction that, in a controlled fashion, allows the transfer of control (branch) from a lower-privilege level to a higher-privilege level.
  • Embodiment of the present invention provides an improved system and method for a modified instruction that accomplishes the same purpose but with specific advantages.
  • processor resources such as control of the virtual memory system itself, input and output operations, and system control functions are protected from accidental or malicious misuse by enclosing them in a protective, privileged region. Entry to this region must be established only though particular entry points, called gateways, to maintain the integrity of these protected regions.
  • Prior art versions of this operation generally load an address from a region of memory using a protected virtual memory attribute that is only set for data regions that contain valid gateway entry points, then perform a branch to an address contained in the contents of memory. Basically, three steps were involved: load, branch, then check. Compared to other instructions, such as register-to-register computation instructions and memory loads and stores, and register-based branches, this is a substantially longer operation, which introduces delays and complexity to a pipelined implementation.
  • the branch-gateway instruction performs two operations in parallel: 1) a branch is performed to the contents of register 0 and 2) a load is performed using the contents of register 1, using a specified byte order (little-endian) and a specified size (64 bits). If the value loaded from memory does not equal the contents of register 0, the instruction is aborted due to an exception. In addition, 3) a return address (the next sequential instruction address following the branch-gateway instruction) is written into register 0, provided the instruction is not aborted.
  • This approach essentially uses a first instruction to establish the requisite permission to allow user code to access privileged code, and then a second instruction is permitted to branch directly to the privileged code because of the permissions issued for the first instruction.
  • the new privilege level is also contained in register 0, and the second parallel operation does not need to be performed if the new privilege level is not greater than the old privilege level.
  • the remainder of the instruction performs an identical function to a branch-link instruction, which is used for invoking procedures that do not require an increase in privilege.
  • the memory load operation verifies with the virtual memory system that the region that is loaded has been tagged as containing valid gateway data.
  • a further advantage of the present invention is that the called procedure may rely on the fact that register 1 contains the address that the gateway data was loaded from, and can use the contents of register 1 to locate additional data or addresses that the procedure may require.
  • Prior art versions of this instruction required that an additional address be loaded from the gateway region of memory in order to initialize that address in a protected manner—the present invention allows the address itself to be loaded with a “normal” load operation that does not require special protection.
  • the present invention allows a “normal” load operation to also load the contents of register 0 prior to issuing the branch-gateway instruction.
  • the value may be loaded from the same memory address that is loaded by the branch-gateway instruction, because the present invention contains a virtual memory system in which the region may be enabled for normal load operations as well as the special “gateway” load operation performed by the branch-gateway instruction.
  • a system and method for performing a three-input bitwise Boolean operation in a single instruction.
  • a novel method described in detail in another section is used to encode the eight possible output states of such an operation into only seven bits, and decoding these seven bits back into the eight states.
  • a system and method for improving the branch prediction of simple repetitive loops of code.
  • the method includes providing a count field for indicating how many times a branch is likely to be taken before it is not taken, which enhances the ability to properly predict both the initial and final branches of simple loops when a compiler can determine the number of iterations that the loop will be performed. This improves performance by avoiding misprediction of the branch at the end of a loop.
  • Zeus performs all instructions as if executed one-by-one, in-order, with precise exceptions always available. Consequently, code that ignores the subsequent discussion of Zeus pipeline implementations will still perform correctly. However, the highest performance of the Zeus processor is achieved only by matching the ordering of instructions to the characteristics of the pipeline. In the following discussion, the general characteristics of all Zeus implementations precede discussion of specific choices for specific implementations.
  • Pipelining in general refers to hardware structures that overlap various stages of execution of a series of instructions so that the time required to perform the series of instructions is less than the sum of the times required to perform each of the instructions separately. Additionally, pipelines carry to connotation of a collection of hardware structures which have a simple ordering and where each structure performs a specialized function.
  • the diagram below shows the timing of what has become a canonical pipeline structure for a simple RISC processor, with time on the horizontal axis increasing to the right, and successive instructions on the vertical axis going downward.
  • the stages I, R, E, M, and W refer to units which perform instruction fetch, register file fetch, execution, data memory fetch, and register file write.
  • the stages are aligned so that the result of the execution of an instruction may be used as the source of the execution of an immediately following instruction, as seen by the fact that the end of an E stage (bold in line 1) lines up with the beginning of the E stage (bold in line 2) immediately below.
  • Superscalar pipeline is one capable of simultaneously issuing two or more instructions which are independent, in that they can be executed in either order and separately, producing the same result as if they were executed serially.
  • the diagram below shows a two-way superscalar processor, where one instruction may be a register-to-register operation (using stage E) and the other may be a register-to-register operation (using stage A) or a memory load or store (using stages A and M).
  • a superpipelined pipeline is one capable is issuing simple instructions frequently enough that the result of a simple instruction must be independent of the immediately following one or more instruction.
  • the diagram below shows a two-cycle superpipelined implementation:
  • pipeline stages are labelled with the type of instruction that may be performed by that stage.
  • the position of the stage further identifies the function of that stage, as for example a load operation may require several L stages to complete the instruction.
  • Zeus architecture provides for implementations designed to fetch and execute several instructions in each clock cycle. For a particular ordering of instruction types, one instruction of each type may be issued in a single clock cycle. The ordering required is A, L, E, S, B; in other words, a register-to-register address calculation, a memory load, a register-to-register data calculation, a memory store, and a branch. Because of the organization of the pipeline, each of these instructions may be serially dependent. Instructions of type E include the fixed-point execute-phase instructions as well as floating-point and digital signal processing instructions.
  • Zeus architecture provides an additional refinement to the organization defined above, in which the time permitted by the pipeline to service load operations may be flexibly extended.
  • the front of the pipeline in which A, L and B type instructions are handled, is decoupled from the back of the pipeline, in which E, and S type instructions are handled.
  • This decoupling occurs at the point at which the data cache and its backing memory is referenced; similarly, a FIFO that is filled by the instruction fetch unit decouples instruction cache references from the front of the pipeline shown above.
  • the depth of the FIFO structures is implementation-dependent, i.e. not fixed by the architecture.
  • FIG. 13 indicates why we call this pipeline organization feature “superspring,” an extension of our superstring organization.
  • a difficulty of superpipelining is that dependent operations must be separated by the latency of the pipeline, and for highly pipelined machines, the latency of simple operations can be quite significant.
  • Zeus “superthread” pipeline provides for very highly pipelined implementations by alternating execution of two or more independent threads.
  • a thread is the state required to maintain an independent execution; the architectural state required is that of the register file contents, program counter, privilege level, local TB, and when required, exception status. Ensuring that only one thread may handle an exception at one time may minimize the latter state, exception status.
  • several of the machine resources must be scheduled fairly.
  • Zeus is able to perform a load operation only on every second cycle, and a store operation only on every fourth cycle.
  • Zeus schedules these fixed timing resources fairly by using a round-robin schedule for a number of threads that is relatively prime to the resource reuse rates. For this implementation, five simultaneous threads of execution ensure that resources which may be used every two or four cycles are fairly shared by allowing the instructions which use those resources to be issued only on every second or fourth issue slot for that thread.
  • Thread 0 may issue an E, L, S or B on cycle 0, but on its next opportunity, cycle 5, may only issue E or B, and on cycle 10 may issue E, L or B, and on cycle 15, may issue E or B.
  • a Zeus Superthread pipeline with 5 simultaneous threads of execution, permits simple operations, such as register-to-register add (G.ADD), to take 5 cycles to complete, allowing for an extremely deeply pipelined implementation.
  • G.ADD register-to-register add
  • the intial Zeus implementation performs simultaneous multithreading among 4 threads.
  • Each of the 4 threads share a common memory system, a common T unit. Pairs of threads share two G units, one X unit, and one E unit. Each thread individually has two A units.
  • a fair allocation scheme balances access to the shared resources by the four threads.
  • Zeus does not have delayed branch instructions, and so relies upon branch or fetch prediction to keep the pipeline full around unconditional and conditional branch instructions.
  • branch prediction as in Zeus's first implementation, a taken conditional backward (toward a lower address) branch predicts that a future execution of the same branch will be taken. More elaborate prediction may cache the source and target addresses of multiple branches, both conditional and unconditional, and both forward and reverse.
  • the hardware prediction mechanism is tuned for optimizing conditional branches that close loops or express frequent alternatives, and will generally require substantially more cycles when executing conditional branches whose outcome is not predominately taken or not-taken. For such cases of unpredictable conditional results, the use of code that avoids conditional branches in favor of the use of compare-set and multiplex instructions may result in greater performance.
  • conditional branch “guards” code which cannot be performed when the branch is taken. This may occur, for example, when a conditional branch tests for a valid (non-zero) pointer and the conditional code performs a load or store using the pointer. In these cases, the conditional branch has a small positive offset, but is unpredictable.
  • a Zeus pipeline may handle this case as if the branch is always predicted to be not taken, with the recovery of a misprediction causing cancellation of the instructions which have already been issued but not completed which would be skipped over by the taken conditional branch. This “conditional-skip” optimization is performed by the initial Zeus implementation and requires no specific architectural feature to access or implement.
  • a Zeus pipeline may also perform “branch-return” optimization, in which a branch-link instruction saves a branch target address that is used to predict the target of the next returning branch instruction.
  • This optimization may be implemented with a depth of one (only one return address kept), or as a stack of finite depth, where a branch and link pushes onto the stack, and a branch-register pops from the stack.
  • This optimization can eliminate the misprediction cost of simple procedure calls, as the calling branch is susceptible to hardware prediction, and the returning branch is predictable by the branch-return optimization.
  • this feature is performed by the initial Zeus implementation and requires no specific architectural feature to access or implement.
  • Zeus implements two related instructions that can eliminate or reduce branch delays for conditional loops, conditional branches, and computed branches.
  • the “branch-hint” instruction has no effect on architectural state, but informs the instruction fetch unit of a potential future branch instruction, giving the addresses of both the branch instruction and of the branch target.
  • the two forms of the instruction specify the branch instruction address relative to the current address as an immediate field, and one form (branch-hint-immediate) specifies the branch target address relative to the current address as an immediate field, and the other (branch-hint) specifies the branch target address from a general register.
  • the branch-hint-immediate instruction is generally used to give advance notice to the instruction fetch unit of a branch-conditional instruction, so that instructions at the target of the branch can be fetched in advance of the branch-conditional instruction reaching the execution pipeline. Placing the branch hint as early as possible, and at a point where the extra instruction will not reduce the execution rate optimizes performance. In other words, an optimizing compiler should insert the branch-hint instruction as early as possible in the basic block where the parcel will contain at most one other “front-end” instruction.
  • This section discusses the caches, the translation mechanisms, the memory interfaces, and how the multiprocessor interface is used to maintain cache coherence.
  • FIG. 14 is a diagram illustrating the basic organization of the memory management system according to one embodiment of the invention.
  • the Zeus processor provides for both local and global virtual addressing, arbitrary page sizes, and coherent-cache multiprocessing.
  • the memory management system is designed to provide the requirements for implementation of virtual machines as well as virtual memory. All facilities of the memory management system are themselves memory mapped, in order to provide for the manipulation of these facilities by high-level language, compiled code.
  • the translation mechanism is designed to allow full byte-at-a-time control of access to the virtual address space, with the assistance of fast exception handlers.
  • Privilege levels provide for the secure transition between insecure user code and secure system facilities. Instructions execute at a privilege, specified by a two-bit field in the access information. Zero is the least-privileged level, and three is the most-privileged level.
  • the memory management starts from a local virtual address.
  • the local virtual address is translated to a global virtual address by an LTB (Local Translation Buffer).
  • the global virtual address is translated to a physical address by a GTB (Global Translation Buffer).
  • One of the addresses, a local virtual address, a global virtual address, or a physical address is used to index the cache data and cache tag arrays, and one of the addresses is used to check the cache tag array for cache presence. Protection information is assembled from the LTB, GTB, and optionally the cache tag, to determine if the access is legal.
  • indexing of the cache arrays with the local virtual address is usually identical to cache arrays indexed by the global virtual address.
  • indexing cache arrays by the global virtual address rather than the physical address produces a coherence issue if the mapping from global virtual address to physical is many-to-one.
  • the memory management system Starting from a local virtual address, the memory management system performs three actions in parallel: the low-order bits of the virtual address are used to directly access the data in the cache, a low-order bit field is used to access the cache tag, and the high-order bits of the virtual address are translated from a local address space to a global virtual address space.
  • the cache tag may contain either a physical address and access control information (a physically-tagged cache), or may contain a global virtual address and global protection information (a virtually-tagged cache).
  • the global virtual address is translated to a physical address by the GTB, which generates global protection information.
  • the cache tag is checked against the physical address, to determine a cache hit.
  • the local and global protection information is checked.
  • the cache tag is checked against the global virtual address, to determine a cache hit, and the local and global protection information is checked. If the cache misses, the global virtual address is translated to a physical address by the GTB, which also generates the global protection information.
  • the 64-bit global virtual address space is global among all tasks.
  • requirements for a task-local address space arise from operations such as the UNIX “fork” function, in which a task is duplicated into parent and child tasks, each now having a unique virtual address space.
  • access to one task's address space must be disabled and another task's access enabled.
  • Zeus provides for portions of the address space to be made local to individual tasks, with a translation to the global virtual space specified by four 16-bit registers for each local virtual space.
  • the registers specify a mask selecting which of the high-order 16 address bits are checked to match a particular value, and if they match, a value with which to modify the virtual address.
  • Zeus avoids setting a fixed page size or local address size; these can be set by software conventions.
  • a local virtual address space is specified by the following: Local virtual address space specifiers field name size description lm 16 mask to select fields of local virtual address to perform match over la 16 value to perform match with masked local virtual address lx 16 value to xor with local virtual address if matched lp 16 local protection field (detailed later) Physical Address
  • FIG. 15 illustrates the physical address of a LTB entry for thread th, entry en, byte b.
  • FIG. 16 illustrates a definition for AccessPhysicalLTB.
  • FIG. 17 illustrates how various 16-bit values are packed together into a 64-bit LTB entry.
  • the LTB contains a separate context of register sets for each thread, indicated by the th index above.
  • a context consists of one or more sets of lm/la/lx/lp registers, one set for each simultaneously accessible local virtual address range, indicated by the en index above.
  • This set of registers is called the “Local TB context,” or LTB (Local Translation Buffer) context.
  • LTB context LTB (Local Translation Buffer) context.
  • a failure to match a LTB entry results either in an exception or an access to the global virtual address space, depending on privilege level.
  • a single bit, selected by the privilege level active for the access from a four bit control register field, global access, ga determines the result. If ga PL is zero (0), the failure causes an exception, if it is one (1), the failure causes the address to be directly used as a global virtual address without modification.
  • FIG. 18 illustrates global access as fields of a control register.
  • global access is a right conferred to highly privilege levels, so a typical system may be configured with ga 0 and ga 1 clear (0), but ga 2 and ga 3 set (1).
  • a single low-privilege (0) task can be safely permitted to have global access, as accesses are further limited by the rwxg privilege fields.
  • a concrete example of this is an emulation task, which may use global addresses to simulate segmentation, such as an x86 emulation.
  • the emulation task then runs as privilege 0 , with ga 0 set, while most user tasks run as privilege 1 , with ga 1 clear.
  • Operating system tasks then use privilege 2 and 3 to communicate with and control the user tasks, with ga 2 and ga 3 set.
  • the exception handler may load a LTB entry and continue execution, thus providing access to an arbitrary number of local virtual address ranges.
  • instructions may access any region in the local virtual address space, when a LTB entry matches, and may access regions in the global virtual address space when no LTB entry matches.
  • This mechanism permits privileged code to make judicious use of local virtual address ranges, which simplifies the manner in which privileged code may manipulate the contents of a local virtual address range on behalf of a less-privileged client. Note, however, that under this model, an LTB miss does not cause an exception directly, so the use of more local virtual address ranges than LTB entries requires more care: the local virtual address ranges should be selected so as not to overlap with the global virtual address ranges, and GTB misses to LVA regions must be detected and cause the handler to load an LTB entry.
  • Each thread has an independent LTB, so that threads may independently define local translation.
  • the size of the LTB for each thread is implementation dependent and defined as the LE parameter in the architecture description register.
  • a minimum implementation of a LTB context is a single set of lm/la/lx/lp registers per thread.
  • the need for the LTB to translate both code addresses and data addresses imposes some limits on the use of the LTB in such systems.
  • the code or the data must use global addresses, or both must use the same local address range, as must the LTB and GTB exception handler.
  • the implementation must be raised to two sets per thread, at least one for code and one for data, to guarantee forward progress for arbitrary use of local addresses in the user code (but still be limited to using global addresses for exception handlers).
  • a single-set LTB context may be further simplified by reserving the implementation of the lm and la registers, setting them to a read-only zero value: Note that in such a configuration, only a single LA region can be implemented.
  • the virtual address is partitioned as shown in FIG. 20 . Any of the bits marked as “local” below may be used as “offset” as desired.
  • the LTB protect field controls the minimum privilege level required for each memory action of read (r), write (w), execute (x), and gateway (g), as well as memory and cache attributes of write allocate (wa), detail access (da), strong ordering (so), cache disable (cd), and write through (wt). These fields are combined with corresponding bits in the GTB protect field to control these attributes for the mapped memory region.
  • FIG. 22 illustrates a definition for LocalTranslation.
  • GTB Global Translation Buffer
  • Each processor may have one or more GTB's, with each GTB shared by one or more threads.
  • the parameter GT the base-two log of the number of threads which share a GTB
  • the parameter T the number of threads, allow computation of the number of GTBs (T/2 GT ), and the number of threads which share each GTB (2 GT ).
  • GTB 0 services references from threads 0 and 1
  • GTB 1 services references from threads 2 and 3.
  • GTB shared by all four threads.
  • each GTB can translate one global virtual address to a physical address, yielding protection information as a side effect.
  • a GTB miss causes a software trap. This trap is designed to permit a fast handler for GlobalTBMiss to be written in software, by permitting a second GTB miss to occur as an exception, rather than a machine check.
  • FIG. 23 illustrates the physical address of a GTB entry for thread th, entry en, byte b. Note that in FIG. 23 , the low-order GT bits of the th value are ignored, reflecting that 2 GT threads share a single GTB. A single GTB shared between threads appears multiple times in the address space. Referring to FIG. 24 , GTB entries are packed together so that entries in a GTB are consecutive.
  • FIG. 24 illustrates a definition for AccessPhysicalGTB.
  • FIG. 25 illustrates the format of a GTB entry.
  • each GTB entry is 128 bits.
  • gs ga+size/2: 256 ⁇ size ⁇ 2 64 , ga, global address, is aligned (a multiple of) size.
  • px pa ⁇ ga. pa, ga, and px are all aligned (a multiple of) size.
  • the entry will not match any global address at all. If a zero value is written, a zero value is read for the GTB entry. Software must not write a zero value for the gs field unless the entire entry is a zero value.
  • FIG. 26 illustrates a definition for GlobatAddressTranslation.
  • the processor contains multiple threads of execution, even when taking virtual memory exceptions, it is possible for two threads to nearly simultaneously invoke software GTB miss exception handlers for the same memory region.
  • the GTB includes access facilities for indivisibly checking and then updating the contents of the GTB as a result of a memory write to specific addresses.
  • the GTBUpdateFill register is a 128-bit memory-mapped location, to which a write operation performs the operation defined above. A read operation returns a zero value.
  • the format of the GTBUpdateFill register is identical to that of a GTB entry.
  • FIG. 27 illustrates a definition for GTBUpdateWrite.
  • FIG. 28 illustrates the physical address of a GTB control register for thread th, register rn, byte b. Note that in FIG. 28 , the low-order GT bits of the th value are ignored, reflecting that 2 GT threads share single GTB registers. A single set of GTB registers shared between threads appears multiple times in the address space, and manipulates the GTB of the threads with which the registers are associated.
  • the GTBUpdate register is a 128-bit memory-mapped location, to which a write operation performs the operation defined above. A read operation returns a zero value.
  • the format of the GTBUpdateFill register is identical to that of a GTB entry.
  • FIG. 29 illustrates the registers GTBLast, GTBFirst, and GTBBump.
  • the registers GTBLast, GTBFirst, and GTBBump are memory mapped. As shown in FIG. 29 , the GTBLast and GTBFirst registers are G bits wide, and the GTBBump register is one bit.
  • FIG. 30 illustrates a definition for AccessPhysicalGTBRegisters.
  • the address units of each of the four threads provide up to two global virtual addresses of load, store, or memory instructions, for a total of eight addresses.
  • LTB units associated with each thread translate the local addresses into global addresses.
  • the LZC operates on global addresses.
  • MTB, BTB, and PTB units associated with each thread translate the global addresses into physical addresses and cache addresses.
  • a PTB unit associated with each thread produces physical addresses and cache addresses for program counter references.—this is optional, as by limiting address generation to two per thread, the MTB can be used for program references.)
  • Cache addresses are presented to the LOC as required, and physical addresses are checked against cache tags as required.
  • the LZC has two banks, each servicing up to four requests.
  • the LOC has eight banks, each servicing at most one request.
  • FIG. 55 shows the expected rate at which requests are serviced by multi-bank/multi-port memories that have 8 total ports and divided into 1, 2, 4, or 8 interleaved banks.
  • the LZC is 2 banks, each with 4 ports, and the LOC is 8 banks, each 1 port.
  • FIG. 56 shows the rates for both 8 total ports and 16 total ports.
  • a program microcache which holds only program code for each thread may optionally exist, and does exist for the initial implementation.
  • the program microcache is flushed by reset, or by executing a B.BARRIER instruction.
  • the program microcache is always clean, and is not snooped by writes or otherwise kept coherent, except by flushing as indicated above.
  • the microcache is not altered by writing to the LTB or GTB, and software must execute a B.BARRIER instruction before expecting the nEw contents of the LTB or GTB to affect determination of PMC hit or miss status on program fetches.
  • the program microcache holds simple loop code.
  • the microcache holds two separately addressed cache lines. Branches or execution beyond this region cause the microcache to be flushed and refilled at the new address, provided that the addresses are executable by the current thread.
  • the program microcache uses the B.HINT and B.HINT.I to accelerate fetching of program code when possible.
  • the program microcache generally functions as a prefetch buffer, except that short forward or backward branches within the region covered maintain the contents of the microcache.
  • Program fetches into the microcache are requested on any cycle in which less than two load/store addresses are generated by the address unit, unless the microcache is already full.
  • System arbitration logic should give program fetches lower priority than load/store references when first presented, then equal priority if the fetch fails arbitration a certain number of times. The delay until program fetches have equal priority should be based on the expected time the program fetch data will be executed; it may be as small as a single cycle, or greater for fetches which are far ahead of the execution point.
  • a wide microcache (WMC) which holds only data fetched for wide (W) instructions may optionally exist, and does exist for the initial implementation, for each unit which implements one or more wide (W) instructions.
  • the wide (W) instructions each operate on a block of data fetched from memory and the contents of one or more registers, producing a result in a register.
  • the amount of data in the block exceeds the maximum amount of data that the memory system can supply in a single cycle, so caching the memory data is of particular importance.
  • All the wide (W) instructions require that the memory data be located at an aligned address, an address that is a multiple of the size of the memory data, which is always a power of two.
  • the wide (W) instructions are performed by functional units which normally perform execute or “back-end” instructions, though the loading of the memory data requires use of the access or “front-end” functional units.
  • WMC wide microcache
  • a wide (W) instruction has a residual effect of loading the specified memory data into a wide microcache (WMC).
  • WMC wide microcache
  • a future wide (W) instruction may be able to reuse the WMC contents.
  • any store or cache coherency action on the physical addresses referenced by the WMC will invalidate the contents.
  • the minimum translation unit of the virtual memory system 256 bytes, defines the number of physical address blocks which must be checked by any store.
  • a WMC for the W.TABLE instruction may be as large as 4096 bytes, and so requires as many as 16 such physical address blocks to be checked for each WMC entry.
  • a WMC for the W.SWITCH or W.MUL.* instructions need check only one address block for each WMC entry, as the maximum size is 128 bytes.
  • the WMC is only valid if it contains the contents relevant to the current wide (W) instruction.
  • each WMC entry contains a first tag with the thread and address register for which it was last used. If the current wide (W) instruction uses the same thread and address register, it may proceed safely. Any intervening writes to that address register by that thread invalidates the WMC thread and address register tag.
  • the front-end is used to fetch the address register and check its contents against a second WMC tag, with the physical addresses for which it was last used. If the tag matches, it may proceed safely. As detailed above, any intervening stores or cache coherency action by any thread to the physical addresses invalidates the WMC entry.
  • the front-end units are responsible for generating the necessary addresses to the virtual memory system to fetch the entire data block into a WMC.
  • each W.TABLE WMC entry uses a contiguous and aligned physical data memory block, for which a single address tag can contain the relevant information.
  • the size of such a block is a maximum of 4096 bytes.
  • the restriction can be checked by examining the size field of the referenced GTB entry.
  • Level Zero Cache The innermost cache level, here named the “Level Zero Cache,” (LZC) is fully associative and indexed by global address. Entries in the LZC contain global addresses and previously fetched data from the memory system.
  • LZC is an implementation feature, not visible to the Zeus architecture.
  • Entries in the LZC are also used to hold the global addresses of store instructions that have been issued, but not yet completed in the memory system.
  • the LZC entry may also contain the data associated with the global address, as maintained either before or after updating with the store data. When it contains the post-store data, results of stores may be forwarded directly to the requested reference.
  • All loads and program fetches are checked against the LZC for conflicts with entries being used as store buffer. On a LZC hit on such entries, if the post-store data is present, data may be returned by the LZC to satisfy the load or program fetch. If the post-store data is not present, the load or program fetch must stall until the data is available.
  • LZC miss a victim entry is selected, and if dirty, the victim entry is written to the LOC.
  • the LOC cache is accessed, and a valid LZC entry is constructed from data from the LOC and tags from the LOC protection information.
  • All stores are checked against the LZC for conflicts, and further cause a new entry in the LZC, or “take over” a previously clean LZC entry for this purpose. Unaligned stores may require two entries in the LZC. At time of allocation, the address is filled in.
  • the eight memory addresses are partitioned into up to four odd addresses, and four even addresses.
  • the LZC contains 16 fully associative entries that may each contain a single hexlet of data at even hexlet addresses (LZCE), and another 16 entries for odd hexlet addresses (LZCO).
  • LZCE even hexlet addresses
  • LZCO odd hexlet addresses
  • the tags for these entries are indexed by global virtual address (63 . . . 5), and contain access control information, detailed below.
  • the address of entries accessed associatively is also encoded into binary and provided as output from the tags for use in updating the LZC, through its write ports.
  • Level One Cache is four-set-associative and indexed by the physical address.
  • the eight memory addresses are partitioned into up to eight addresses for each of eight independent memory banks.
  • the LOC has a cache block size of 256 bytes, with triclet (32-byte) sub-blocks.
  • the LOC may be partitioned into two sections, one part used as a cache, and the remainder used as “niche memory.”
  • Niche memory is at least as fast as cache memory, but unlike cache, never misses to main memory.
  • Niche memory may be placed at any virtual address, and has physical addresses fixed in the memory map. The nl field in the control register configures the partitioning of LOC into cache memory and niche memory.
  • the LOC data memory is (256+8) ⁇ 4 ⁇ (128+2) bits, depth to hold 256 entries in each of four sets, each entry consisting of one hexlet of data (128 bits), one bit of parity, and one spare bit.
  • the additional 8 entries in each of four sets hold the LOC tags, with 128 bits per entry for 1 ⁇ 8 of the total cache, using 512 bytes per data memory and 4K bytes total.
  • the maximum capacity of the LOC is 128 k bytes. Used as a cache, the LOC is partitioned into 4 sets, each 32 k bytes. Physically, the LOC is partitioned into 8 interleaved physical blocks, each holding 16 k bytes.
  • the physical address pa 63 . . . 0 is partitioned as below into a 52 to 54 bit tag (three to five bits are duplicated from the following field to accommodate use of portion of the cache as niche), 8-bit address to the memory bank (7 bits are physical address (pa), 1 bit is virtual address (v)), 3 bit memory bank select (bn), and 4-bit byte address (bt). All access to the LOC are in units of 128 bits (hexlets), so the 4-bit byte address (bt) does not apply here.
  • the shaded field (pa,v) is translated via nl to a cache identifier (ci) and set identifier (si) and presented to the LOC as the LOC address to LOC bank bn.
  • the LOC tag consists of 64 bits of information, including a 52 to 54-bit tag and other cache state information. Only one MTB entry at a time may contain a LOC tag.
  • each MTB table entry contains the cache index derived from physical address bits 14 . . . 8 , ci, (7 bits) and set identifier, si, (2 bits) required to access the LOC data.
  • Each MTB table entry also contains the protection information of the LOC tag.
  • the MTB supplies the resulting cache index (ci, from the MTB), set identifier, si, (2 bits) and virtual address (bit 7 , v, from the LA), which are applied to the LOC data bank selected from bits 6 . . . 4 of the LA.
  • the diagram below shows the address presented to LOC data bank bn.
  • the GTB (described below) is referenced to obtain a physical address and protection information.
  • a 7-bit niche limit register nl is compared against the value of pa 14 . . . 8 from the GTB. If pa 14 . . . 8 ⁇ nl, a 7-bit address modifier register am is inclusive-or'ed against pa 14 . . . 8 , producing a cache index, ci. Otherwise, pa 14 . . . 8 is used as ci. Cache lines 0 . . . nl-1, and cache tags 0 . . . nl-1, are available for use as niche memory. Cache lines nl . . . 127 and cache tags nl . . . 127 are used as LOC. ci ⁇ ( pa 14 . . . 8 ⁇ nl )?( pa 14 . . . 8 ⁇ am ): pa 14 . . . 8
  • the address modifier am is (1 7-log(128-nl) ⁇ 0 log(128-nl) ).
  • the bt field specifies the least-significant bit used for tag, and is (nl ⁇ 112) ? 12 : 8 +log(128-nl): nl am bt 0 0 12 1 . . . 64 64 12 65 . . . 96 96 12 97 . . . 112 112 12 113 . . . 120 120 11 121 . . . 124 124 10 125 . . . 126 126 9 127 127 8
  • the da bit is used for bit 11 of the physical address, so the Tag detail access bit is suppressed.
  • the vs bit is used for bit 10 of the physical address, so victim selection is performed without state bits in the LOC tag.
  • nl is in the range 125 . . . 127, the set associativity is decreased, so that si 1 is used for bit 9 of the physical address and when nl is 127, si 0 is used for bit 8 of the physical address.
  • LOC tags are fetched from the LOC tags and compared against the PA to determine which of the four sets contain the data.
  • the four tags are contained in two consecutive banks; they may be simultaneously or independently fetched.
  • the diagram below shows the address presented to LOC data bank (ci 1 . . . 0 ⁇ si 1 ).
  • CT describes whether dedicated locations exist in the LOC for tags at the next power-of-two boundary above the LOC data.
  • the LOC address (ci ⁇ si) uniquely identifies the cache location, and this LOC address is associatively checked against all MTB entries on changes to the LOC tags, such as by cache block replacement, bus snooping, or software modification. Any matching MTB entries are flushed, even if the MTB entry specifies a different global address—this permits address aliasing (the use of a physical address with more than one global address.
  • LOC victim selection is described below, whose contents, if any sub-block is modified, is written to the external memory.
  • a new LOC entry is constructed with address and protection information from the GTB, and data fetched from external memory.
  • the table below shows the contents of LOC data memory banks 0 . . . 7 for addresses 0 . . . 2047: address bank 7 . . . bank 1 bank 0 0 line 0, hexlet 7, set 0 line 0, hexlet 1, set 0 line 0, hexlet 0, set 0 1 line 0, hexlet 15, set 0 line 0, hexlet 9, set 0 line 0, hexlet 8, set 0 2 line 0, hexlet 7, set 1 line 0, hexlet 1, set 1 line 0, hexlet 0, set 1 3 line 0, hexlet 15, set 1 line 0, hexlet 9, set 1 line 0, hexlet 8, set 1 4 line 0, hexlet 7, set 2 line 0, hexlet 1, set 2 line 0, hexlet 0, set 2 5 line 0, hexlet 15, set 2 line 0, hexlet 9, set 2 line 0, hexlet 8, set 2 6 line 0, hexlet 7, set 3 line 0, hexlet 1, set 3 line 0, hexlet 1, set 3 line 0,
  • Tag[si] 11 0 if al then sm Tag[si] 7..1+pa 7..5
  • Tag[si] pa 7..5 ⁇ 1..0 endif endif // write new data into cache and update victim selection and other tag fields if al then if op R then mesi xen ? E : S else mesi xen ?
  • the LOC data memory banks are accessed implicitly by cached memory accesses to any physical memory location as shown above.
  • the LOC data memory banks are also accessed explicitly by uncached memory accesses to particular physical address ranges. The address mapping of these ranges is designed to facilitate use of a contiguous portion of the LOC cache as niche memory.
  • LOC address (pa 17 . . . 7 ) presented to LOC data bank (pa 6 . . . 4 ).
  • LOC cells may be fabricated with marginal parameters, for which changes in clock timing or power supply voltage may cause these LOC cells to fail or pass.
  • marginal parameters for which changes in clock timing or power supply voltage may cause these LOC cells to fail or pass.
  • LOC stress two bits of the control register, LOC stress, may be set to stress the circuit environment while testing. Under normal operation, these bits are cleared (00), while during stress testing, one or more of these bits are set (01, 10, 11). Self-testing should be performed in each of the environment settings, and the detected failures combined together to produce a reliable test for cells with marginal parameters.
  • the LOC contains facilities that can be used to avoid minor defects in the LOC data array.
  • Each LOC bank has three additional bits of data storage for each 128 bits of memory data (for a total of 131 bits). One of these bits is used to retain odd parity over the 128 bits of memory data, and the other two bits are spare, which can be pressed into service by setting a non-zero value in the LOC redundancy control register for that bank.
  • Each row of a LOC bank contains 131 bits: 128 bits of memory data, one bit for parity, and two spare bits:
  • LOC redundancy control has 129 bits:
  • Each bit set in the control word causes the corresponding data bit to be selected from a bit address increased by two: output ⁇ (data and ⁇ control) or ((spare 0 ⁇ p ⁇ data 127 . . . 2 ) and control) parity ⁇ (p and ⁇ pc) or (spare 1 and pc)
  • the LOC redundancy control register has 129 bits, but is written with a 128-bit value. To set the pc bit in the LOC redundancy control, a value is written to the control with either bit 124 set (1) or bit 126 set (1). To set bit 124 of the LOC redundancy control, a value is written to the control with both bit 124 set (1) and 126 set (1). When the LOC redundancy control register is read, the process is reversed by selecting the pc bit instead of control bit 124 for the value of bit 124 if control bit 126 is zero (0).
  • This system can remove one defective column at an even bit position and one defective column at an odd bit position within each LOC block.
  • x, LOC control bit For each defective column location, x, LOC control bit must be set at bits x, x+2, x+4, x+6, . . . If the defective column is in the parity location (bit 128 ), then set bit 124 only.
  • the following table defines the control bits for parity, bit 126 and bit 124 : (other control bits are same as values written) value 126 value 124 pc control 126 control 124 0 0 0 0 0 0 0 1 1 0 0 1 1 0 1 1 1 1 1 1 Physical Address
  • the LOC redundancy controls are accessed explicitly by uncached memory accesses to particular physical address ranges.
  • Fields in the LTB, GTB and cache tag control various attributes of the memory access in the specified region of memory. These include the control of cache consultation, updating, allocation, prefetching, coherence, ordering, victim selection, detail access, and cache prefetching.
  • the cache may be used in one of five ways, depending on a three-bit cache control field (cc) in the LTB and GTB.
  • the cache control field may be set to one of seven states: NC, CD, WT, WA, PF, SS, and LS: read allo- write read/write State consult cate update allocate victim prefetch No Cache 0 No No No No No No No No Cache 1 Yes No Yes No No No No Disable Write 2 Yes Yes Yes Yes No No No Through reserved 3 Write 4 Yes Yes Yes Yes Yes No No No Allocate PreFetch 5 Yes Yes Yes Yes Yes No Yes SubStream 6 Yes Yes Yes Yes Yes Yes No LineStream 7 Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes
  • the Zeus processor controls cc as an attribute in the LTB and GTB, thus software may set this attribute for certain address ranges and clear it for others.
  • a three-bit field indicates the choice of caching, according to the table above.
  • the maximum of the three-bit cache control field (cc) values of the LTB and GTB indicates the choice of caching, according to the table above.
  • NC is an attribute that can be set on a LTB or GTB translation region to indicate that the cache is to be not to be consulted. No changes to the cache state result from reads or writes with this attribute set, (except for accesses that directly address the cache via memory-mapped region).
  • Cache Disable is an attribute that can be set on a LTB or GTB translation region to indicate that the cache is to be consulted and updated for cache lines which are already present, but no new cache lines or sub-blocks are to be allocated when the cache does not already contain the addressed memory contents.
  • the “Socket 7” bus also provides a mechanism for supporting chip sets to decide on each access whether data is to be cached, using the CACHE# and KEN# signals. Using these signals, external hardware may cause a region selected as WT, WA or PF to be treated as CD. This mechanism is only active on the first such access to a memory region if caching is enabled, as the cache may satisfy subsequent references without a bus transaction.
  • WT Write Through
  • the “Socket 7” bus also provides a mechanism for supporting chip sets to decide on each access whether data is to be written through, using the PWT and WB/WT# signals. Using these signals, external hardware may cause a region selected as WA or PF to be treated as WT. This mechanism is only active on the first write to each region of memory; as on subsequent references, if the cache line is in the Exclusive or Modified state and writeback caching is enabled on the first reference, no subsequent bus operation occurs, at least until the cache line is flushed.
  • Write allocate is an attribute that can be set of a LTB or GTB translation region to indicate that the processor is to allocate a memory block to the cache when the data is not previously present in the cache and the operation to be performed is a store. Reads to addressed memory that is not present in the cache cause cache lines or sub-blocks to be allocated. For cacheable data, write allocate is generally the preferred policy, as allocating the data to the cache reduces further bus traffic for subsequent references (loads or stores) or the data. Write allocate never occurs for data which is not cached. A write allocate brings in the data immediately into the Modified state.
  • ocket 7 processors have the ability to inhibit write allocate to cached locations under certain conditions, related by the address range. K6, for example, can inhibit write allocate in the range of 15-16 Mbyte, or for all addresses above a configurable limit with 4 Mbyte granularity. Pentium has the ability to label address ranges over which write allocate can be inhibited.
  • Prefetch is an attribute that can be set on a LTB or GTB translation region to indicate that increased prefetching is appropriate for references in this region.
  • Each program fetch, load or store to a cache line that or does not already contain all the sub-blocks causes a prefetch allocation of the remaining sub-blocks.
  • Cache misses cause allocation of the requested sub-block and prefetch allocation of the remaining sub-blocks.
  • Prefetching does not necessarily fill in the entire cache line, as prefetch memory references are performed at a lower priority to other cache and memory reference traffic.
  • a limited number of prefetches (as low as one in the initial implementation) can be queued; the older prefetch requests are terminated as new ones are created.
  • the PF attribute is handled in the manner of the WA attribute. Prefetching is considered an implementation-dependent feature, and an implementation may choose to implement region with the PF attribute exactly as with the WA attribute.
  • Implementations may perform even more aggressive prefetching in future versions.
  • Data may be prefetched into the cache in regions that are cacheable, as a result of program fetches, loads or stores to nearby addresses.
  • Prefetches may extend beyond the cache line associated with the nearby address. Prefetches shall not occur beyond the reach of the GTB entry associated with the nearby address.
  • Prefetching is terminated if an attempted cache fill results in a bus response that is not cacheable.
  • Prefetches are implementation-dependent behavior, and such behavior may vary as a result of other memory references or other bus activity.
  • SubStream is an attribute that can be set on a LTB or GTB translation region to indicate that references in this region are to be selected as the next victim on a cache miss.
  • cache misses which normally place the cache line in the last-to-be-victim state, instead place the cache line in the first-to-be-victim state, except relative to cache lines in the I state.
  • the SS attribute is handled in the manner of the WA attribute.
  • SubStream is considered an implementation-dependent feature, and an implementation may choose to implement region with the SS attribute exactly as with the WA attribute.
  • the SubStream attribute is appropriate for regions which are large data structures in which the processor is likely to reference the memory data just once or a small number of times, but for which the cache permits the data to be fetched using burst transfers. By making it a priority for victimization, these references are less likely to interfere with caching of data for which the cache performs a longer-term storage function.
  • LineStream is an attribute that can be set on a LTB or GTB translation region to indicate that references in this region are to be selected as the next victim on a cache miss, and to enable prefetching.
  • cache misses which normally place the cache line in the last-to-be-victim state, instead place the cache line in the first-to-be-victim state, except relative to cache lines in the I state.
  • the LS attribute is handled in the manner of the PF attribute.
  • LineStream is considered an implementation-dependent feature, and an implementation may choose to implement region with the SS attribute exactly as with the PF or WA attributes.
  • the LineStream attribute is particularly appropriate for regions for which large data structures are used in sequential fashion. By prefetching the entire cache line, memory traffic is performed as large sequential bursts of at least 256 bytes, maximizing the available bus utilization.
  • Cache coherency is maintained by using MESI protocols, for which each cache line (256 bytes) the cache data is kept in one of four states: M, E, S, I: State this Cache data other Cache data
  • Memory data Modified 3 Data is held No data is present The contents of exclusively in this in other caches. main memory are now cache. invalid. Exclusive 2 Data is held No data is present in Data is the same exclusively in this other caches. as the contents of main cache. memory Shared 1 Data is held in this Data is possibly in Data is the same cache, and possibly other caches. as the contents of others. main memory. Invalid 0 No data for this Data is possibly in Data is possibly location is present in the other caches. present in main cache. memory.
  • the state is contained in the mesi field of the cache tag.
  • each cache line also maintains 8 bits of triclet valid (tv) state.
  • Each bit of tv corresponds to a triclet sub-block of the cache line; bit 0 for bytes 0 . . . 31 , bit 1 for bytes 32 . . . 63 , bit 2 for bytes 64 . . . 95 , etc. If the tv bit is zero (0), the coherence state for that triclet is I, no matter what the value of the mesi field. If the tv bit is one (1), the coherence state is defined by the mesi field. If all the tv bits are cleared (0), the mesi field must also be cleared, indicating an invalid cache line.
  • Cache coherency activity generally follows the protocols defined by the “Socket 7” bus, as defined by Pentium and K6-2 documentation.
  • the coherence state of a cache line is represented in only 10 bits per 256 bytes (1.25 bits per triclet), a few state transistions are defined differently. The differences are a direct result of attempts to set triclets within a cache line to different MES states that cannot be represented.
  • the data structure allows any triclet to be changed to the I state, so state transitions in this direction match the Pentium processor exactly.
  • an external bus Inquiry cycle that does not require invalidation places the cache line in the S state.
  • the Zeus processor if no other triclet in the cache line is valid, the mesi field is changed to S. If other triclets in the cache line are valid, the mesi field is left unchanged, and the tv bit for this triclet is turned off, effectively changing it to the I state.
  • an external bus Inquiry cycle that does not require invalidation places the cache line in the S state.
  • the mesi field is changed to S. If other triclets in the cache line are valid, the MESI state is effectively changed to the S state for these other triclets.
  • Strong ordering is an attribute which permits certain memory regions to be operated with strong ordering, in which all memory operations are performed exactly in the order specified by the program and others to be operated with weak ordering, in which some memory operations may be performed out of program order.
  • the Zeus processor controls strong ordering as an attribute in the LTB and GTB, thus software may set this attribute for certain address ranges and clear it for others.
  • a one bit field indicates the choice of access ordering.
  • a one (1) bit indicates strong ordering, while a zero (0) bit indicates weak ordering.
  • the memory system may retain store operations in a store buffer indefinitely for later storage into the memory system, or until a synchronization operation to any address performed by the thread that issued the store operation forces the store to occur.
  • Load operations may be performed in any order, subject to requirements that they be performed logically subsequent to prior store operations to the same address, and subsequent to prior synchronization operations to any address.
  • weak ordering it is permitted to forward results from a retained store operation to a future load operation to the same address. Operations are considered to be to the same address when any bytes of the operation are in common.
  • Weak ordering is usually appropriate for conventional memory regions, which are side-effect free.
  • strong ordering the memory system must perform load and store operations in the order specified.
  • strong-ordered load operations are performed in the order specified, and all load operations (whether weak or strong) must be delayed until all previous strong-ordered store operations have been performed, which can have a significant performance impact.
  • Strong ordering is often required for memory-mapped I/O regions, where store operations may have a side-effect on the value returned by loads to other addresses.
  • Zeus has memory-mapped I/O, such as the TB, for which the use of strong ordering is essential to proper operation of the virtual memory system.
  • the EWBE# signal in “Socket 7” is of importance in maintaining strong ordering. When a write is performed with the signal inactive, no further writes to E or M state lines may occur until the signal becomes active. Further details are given in Pentium documentation (K6-2 documentation may not apply to this signal.)
  • vs bit One bit of the cache tag, the vs bit, controls the selection of which set of the four sets at a cache address should next be chosen as a victim for cache line replacement.
  • Victim selection is an attribute associated with LOC cache blocks. No vs bits are present in the LTB or GTB.
  • vs bits from the four sets are combined to produce a 6-bit value: vsc ⁇ (vs[3] ⁇ vs[2] ⁇ (vs[1] ⁇ vs[0])
  • the highest priority for replacement is set vsc 1 . . . 0
  • second highest priority is set vsC 3 . . . 2
  • third highest priority is set vsc 5 . . . 4
  • lowest priority is vsc 5 . . . 4 ⁇ vsc 3 . . . 2 ⁇ vsc 1 . . . 0 .
  • the highest priority set is replaced, it becomes the new lowest priority and the others are moved up, computing a new vsc by: vsc ⁇ vsc 5 . . . 4 ⁇ vsc 3 . . . 2 ⁇ vsc 1 . . . 0 ⁇ vsc 5 . . . 2
  • Cache flushing and invalidations can cause cache lines to be cleared out of sequential order. Flushing or invalidating a cache line moves that set to highest priority. If that set is already highest priority, the vsc is unchanged. If the set was second or third highest or lowest priority, the vsc is changed to move that set to highest priority, moving the others down.
  • vsc must be set to 0b100100.
  • the highest priority for replacement is set vsc
  • second highest priority is set vsc+1
  • third highest priority is set vsc+2
  • lowest priority is vsc+3.
  • vsc must be set to 0b00.
  • vs[3] ⁇ 0, vs[2] ⁇ 0, vs[1] ⁇ 0, vs[0] ⁇ 0 there are many legal solutions that yield this vsc value, such as vs[3] ⁇ 0, vs[2] ⁇ 0, vs[1] ⁇ 0, vs[0] ⁇ 0.
  • the bit normally used to hold the vs bit is usurped for use as a physical address bit. Under these conditions, no vsc value is maintained per cache line, instead a single, global vsc value is used to select victims for cache replacement. In this case, the cache consists of four lines, each with four sets. On each replacement a new si values is computed from: gvsc ⁇ gvsc+1 si ⁇ gvsc ⁇ pa 11 . . . 10
  • the algorithm above is designed to utilize all four sets on sequential access to memory.
  • the algorithm above is designed to utilize all four sets on sequential access to memory.
  • Detail access is an attribute which can be set on a cache block or translation region to indicate that software needs to be consulted on each potential access, to determine whether the access should proceed or not. Setting this attribute causes an exception trap to occur, by which software can examine the virtual address, by for example, locating data in a table, and if indicated, causes the processor to continue execution.
  • ephemeral state is set upon returning to the re-execution of the instruction that prevents the exception trap from recurring on this particular re-execution only. The ephemeral state is cleared as soon as the instruction is either completed or subject to another exception, so DetailAccess exceptions can recur on a subsequent execution of the same instruction.
  • the access is not to proceed, execution has been trapped to software at this point, which can abort the thread or take other correction action.
  • the detail access attribute permits specification of access parameters over memory region on arbitrary byte boundaries. This is important for emulators, which must prevent store access to code which has been translated, and for simulating machines which have byte granularity on segment boundaries.
  • the detail access attribute can also be applied to debuggers, which have the need to set breakpoints on byte-level data, or which may use the feature to set code breakpoints on instruction boundaries without altering the program code, enabling breakpoints on code contained in ROM.
  • a one bit field indicates the choice of detail access.
  • a one (1) bit indicates detail access, while a zero (0) bit indicates no detail access.
  • Detail access is an attribute that can be set by the LTB, the GTB, or a cache tag.
  • the first eight rows show appropriate activities when all three bits are available.
  • the detail access attributes for the LTB, GTB, and cache tag work together to define whether and which kind of detail access exception trap occurs.
  • setting a single attribute bit causes an exception, while setting two bits inhibits such exceptions.
  • a detail access exception can be narrowed down to cause an exception over a specified region of memory:
  • Software generally will set the cache tag detail access bit only for regions in which the LTB or GTB also has a detail access bit set. Because cache activity may flush and refill cache lines implicity, it is not generally useful to set the cache tag detail access bit alone, but if this occurs, the AccessDetailRequiredByTag exception catches such an attempt.
  • next two rows show appropriate activities on a GTB miss.
  • the detail access bit in the GTB is not present. If the LTB indicates detail access and the GTB misses, the AccessDetailRequiredByLTB exception should be indicated. If software continues from the AccessDetailRequiredByLTB exception and has not filled in the GTB, the GTBMiss exception happens next. Since the GTBMiss execution is not a continuation exception, a re-execution after the GTBMiss exception can cause a reoccurence of the AccessDetailRequiredByLTB exception.
  • the AccessDetailRequiredByLTB exception is inhibited for that reference, no matter what the status of the GTB and Tag detail bits, but the re-executed instruction is still subject to the AccessDetailRequiredByGTB and AccessDetailRequiredByTag exceptions.
  • the last four rows show appropriate activities for a cache miss.
  • the detail access bit in the tag is not present. If the LTB or GTB indicates detail access and the cache misses, the AccessDetailRequiredByLTB or AccessDetailRequiredByGTB exception should be indicated. If software continues from these exceptions and has not filled in the cache, a cache miss happens next. If software continues from the AccessDetailRequiredByLTB or AccessDetailRequiredByGTB exception and has filled in the cache, the previous exception is inhibited for that reference, no matter what the status of the Tag detail bit, but is still subject to the AccessDetailRequiredByTag exception. When the detail bit must be created from a cache miss, the intial value filled in is zero. Software may set the bit, thus turning off AccessDetailRequired exceptions per cache line. If the cache line is flushed and refilled, the detail access bit in the cache tag is again reset to zero, and another AccessDetailRequired exception occurs.
  • Tag detail access bit is effectively always zero (0), so it cannot inhibit AccessDetailRequiredByLTB, inhibit AccessDetailRequiredByGTB, or cause AccessDetailRequiredByTag.
  • the execution of a Zeus instruction has a reference to one quadlet of instruction, which may be subject to the DetailAccess exceptions, and a reference to data, which may be unaligned or wide.
  • These unaligned or wide references may cross GTB or cache boundaries, and thus involve multiple separate reference that are combined together, each of which may be subject to the DetailAccess exception. There is sufficient information in the DetailAccess exception handler to process unaligned or wide references.
  • the implementation is free to indicate DetailAccess exceptions for unaligned and wide data references either in combined form, or with each sub-reference separated. For example, in an unaligned reference that crosses a GTB or cache boundary, a DetailAccess exception may be indicated for a portion of the reference.
  • the exception may report the virtual address and size of the complete reference, and upon continuing, may inhibit reoccurrence of the DetailAccess exception for any portion of the reference. Alternatively, it may report the virtual address and size of only a reference portion and inhibit reoccurrence of the DetailAccess exception for only that portion of the reference, subject to another DetailAccess exception occurring for the remaining portion of the reference.
  • the Micro Translation Buffer is an implementation-dependent structure which reduces the access traffic to the GTB and the LOC tags.
  • the MTB contains and caches information read from the GTB and LOC tags, and is consulted on each access to the LOC.
  • a global address is supplied to the Micro-Translation Buffer (MTB), which associatively looks up the global address into a table holding a subset of the LOC tags.
  • MTB Micro-Translation Buffer
  • each table entry contains the physical address bits 14 . . . 8 (7 bits) and set identifier (2 bits) required to access the LOC data.
  • each MTB block can check for 4 simultaneous references to the LOC.
  • Each MTB block has 16 entries.
  • Each MTB entry consists of a bit less than 128 bits of information, including a 56-bit global address tag, 8 bits of privilege level required for read, write, execute, and gateway access, a detail bit, and 10 bits of cache state indicating for each triclet (32 bytes) sub-block, the MESI state.
  • the output of the MTB combines physical address and protection information from the GTB and the referenced cache line.
  • the resulting cache index ( 14 . . . 8 from the MTB, bit 7 from the LA) and set identifier (2 bits from the MTB) are applied to the LOC data bank selected from bits 6 . . . 4 of the GVA.
  • the access protection information (pr and rwxg) is supplied from the MTB.
  • the MTB and BTB are always clean, so the victim entry is discarded without a writeback.
  • the GTB (described below) is referenced to obtain a physical address and protection information. Depending on the access information in the GTB, either the MTB or BTB is filled.
  • processing of the physical address pa 14 . . . 8 against the niche limit nl can be performed on the physical address from the GTB, producing the LOC address, ci.
  • the LOC address, after processing against the nl is placed into the MTB directly, reducing the latency of an MTB hit.
  • the operation of the MTB is largely not visible to software—hardware mechanisms are responsible for automatically initializing, filling and flushing the MTB.
  • Activity that modifies the GTB or LOC tag state may require that one or more MTB entries are flushed.
  • MTB flushing is accomplished by searching MTB entries for values that match on the gi field with the GTB entry that has been modified. Each such matching MTB entry is flushed.
  • the MTB is kept synchronous with the LOC tags, particularly with respect to MESI state. On an LOC miss or LOC snoop, any changes in MESI state update (or flush) MTB entries which physically match the address. If the MTB may contain less than the full physical address: it is sufficient to retain the LOC physical address (ci ⁇ v ⁇ si).
  • the Zeus has a per thread “Block Translation Buffer” (BTB).
  • BTB Block Translation Buffer
  • the BTB retains GTB information for uncached address blocks.
  • the BTB is used in parallel with the MTB—exactly one of the BTB or MTB may translate a particular reference.
  • the GTB is consulted, and depending on the result, the block is filled into either the MTB or BTB as appropriate.
  • the BTB has 2 entries for each thread.
  • BTB entries cover any power-of-two granularity, as they retain the size information from the GTB. BTB entries contain no MESI state, as they only contain uncached blocks.
  • Each BTB entry consists of 128 bits of information, containing the same information in the same format as a GTB entry.
  • Niche blocks are indicated by GTB information, and correspond to blocks of data that are retained in the LOC and never miss.
  • a special physical address range indicates niche blocks.
  • the BTB enables use of the LOC as a niche memory, generating the “set select” address bits from low-order address bits.
  • address ranges include other on-chip resources, such as bus interface registers, the control register and status register, as well as off-chip memory, accessed through the bus interface. Each of these regions are accessible as uncached memory.
  • the PTB retains GTB and LOC cache tag information.
  • the PTB enables generation of LOC instruction fetching in parallel with load/store fetching.
  • the PTB is updated when instruction fetching crosses a cache line boundary (each 64 instructions in straight-line code).
  • the PTB functions similarly to a one-entry MTB, but can use the sequential nature of program code fetching to avoid checking the 56-bit match.
  • the PTB is flushed at the same time as the MTB.
  • Zeus contains cache which is both indexed and tagged by a physical address.
  • Other prototype implementations have used a global vitual address to index and/or tag an internal cache. This section will define the required characteristics of a global vitually-indexed cache.
  • Dedicated hardware mechanisms are provided to fetch data blocks in the levels zero and one caches, provided that a matching entry can be found in the MTB or GTB (or if the MMU is disabled). Dedicated hardware mechanisms are provided to store back data blocks in the level zero and one caches, regardless of the state of the MTB and GTB. When no entry is to be found in the GTB, an exception handler is invoked either to generate the required information from the virtual address, or to place an entry in the GTB to provide for automatic handling of this and other similarly addressed data blocks.
  • Zeus accesses the remainder of the memory system through the “Socket 7” interface. Via this interface, Zeus accesses a secondary cache, DRAM memory, external ROM memory, and an I/O system
  • the size and presence of the secondary cache and the DRAM memory array, and the contents of the external ROM memory and the I/O system are variables in the processor environment.
  • Each thread has two address generation units, capable of producing two aligned, or one unaligned load or store operation per cycle. Alternatively, these units may produce a single load or store address and a branch target address.
  • Each thread has a LTB, which translates the two addresses into global virtual addresses.
  • Each pair of threads has a MTB, which looks up the four references into the LOC.
  • the PTB provides for additional references that are program code fetches.
  • these four references are combined with the four references from the other thread pair and partitioned into even and odd hexlet references. Up to four references are selected for each of the even and odd portions of the LZC. One reference for each of the eight banks of the LOC (four are even hexlets; four are odd hexlets) are selected from the eight load/store/branch references and the PTB references.
  • Some references may be directed to both the LZC and LOC, in which case the LZC hit causes the LOC data to be ignored.
  • An LZC miss which hits in the MTB is filled from the LOC to the LZC.
  • An LZC miss which misses in the MTB causes a GTB access and LOC tag access, then an MTB fill and LOC access, then an LZC fill.
  • Priority of access (highest/lowest) cache dump, cache fill, load, program, store.
  • the “Socket 7” bus requires certain bus accesses to be checked against on-chip caches.
  • the address is checked against the on-chip caches, with accesses aborted when requested data is in an internal cache in the M state, and the E state, the internal cache is changed to the S state.
  • data written must update data in on-chip caches.
  • physical bus addresses must be checked against the LOC tags.
  • the S7 bus requires that responses to inquire cycles occur with fixed timing. At least with certain combinations of bus and processor clock rate, inquire cycles will require top priority to meet the inquire response timing requirement.
  • Synchronization operations must take into account bus activity—generally a synchronization operation can only proceed on cached data which is in Exclusive or Modified—if cached data in Shared state, ownership must be obtained. Data that is not cached must be accessed using locked bus cycles.
  • Load operations require partitioning into reads that do not cross a hexlet (128 bit) boundary, checking for store conflicts, checking the LZC, checking the LOC, and reading from memory.
  • Execute and Gateway accesses are always aligned and since they are smaller than a hexlet, do not cross a hexlet boundary.
  • S7 processors perform unaligned operations LSB first, MSB last, up to 64 bits at a time. Unaligned 128 bit loads need 3 64-bit operations, LSB, octlet, MSB. Transfers which are smaller than a hexlet but larger than an octlet are further divided in the S7 bus unit.
  • Store operations requires partitioning into stores less than 128 bits that do not cross hexlet boundaries, checking for store conflicts, checking the LZC, checking the LOC, and storing into memory.
  • Memory operations require first translating via the LTB and GTB, checking for access exceptions, then accessing the cache.
  • rounding is specified within the instructions explicitly, to avoid explicit state registers for a rounding mode.
  • the instructions explicitly specify how standard exceptions (invalid operation, division by zero, overflow, underflow and inexact) are to be handled (U.S. Pat. No. 5,812,439 describes this “Technique of incorporating floating point information into processor instructions.”).
  • round to nearest rounding when no rounding is explicitly named by the instruction (default), round to nearest rounding is performed, and all floating-point exception signals cause the standard-specified default result, rather than a trap.
  • rounding is explicitly named by the instruction (N: nearest, Z: zero, F: floor, C: ceiling)
  • the specified rounding is performed, and floating-point exception signals other than inexact cause a floating-point exception trap.
  • X exact, or exception
  • all floating-point exception signals cause a floating-point exception trap, including inexact. More details regarding rounding and exceptions are described in the “Rounding and Exceptions” section.
  • This technique assists the Zeus processor in executing floating-point operations with greater parallelism.
  • Zeus may safely retire instructions following them, as they are guaranteed not to cause data-dependent exceptions.
  • floating-point instructions with N, Z, F, or C control can be guaranteed not to cause data-dependent exceptions once the operands have been examined to rule out invalid operations, division by zero, overflow or underflow exceptions.
  • Only floating-point instructions with X control, or when exceptions cannot be ruled out with N, Z, F, or C control need to avoid retiring following instructions until the final result is generated.
  • ANSI/IEEE standard 754-1985 specifies information to be given to trap handlers for the five floating-point exceptions.
  • the Zeus architecture produces a precise exception, (The program counter points to the instruction that caused the exception and all register state is present) from which all the required information can be produced in software, as all source operand values and the specified operation are available.
  • ANSI/IEEE standard 754-1985 specifies a set of five “sticky-exception” bits, for recording the occurrence of exceptions that are handled by default.
  • the Zeus architecture produces a precise exception for instructions with N, Z, F, or C control for invalid operation, division by zero, overflow or underflow exceptions and with X control for all floating-point exceptions, from which corresponding sticky-exception bits can be set. Execution of the same instruction with default control will compute the default result with round-to-nearest rounding. Most compound operations not specified by the standard are not available with rounding and exception controls.
  • Operation codes are numerically defined by their position in the following operation code tables, and are referred to symbolically in the detailed instruction definitions. Entries that span more than one location in the table define the operation code identifier as the smallest value of all the locations spanned. The value of the symbol can be calculated from the sum of the legend values to the left and above the identifier.
  • the Operation codes section lists each instruction by mnemonic that is defined on that page. A textual interpretation of each instruction is shown beside each mnemonic.
  • each equivalent instruction is defined, either in terms of a base instruction or another equivalent instruction.
  • the symbol between the instruction and the definition has a particular meaning. If it is an arrow ( ⁇ or ⁇ ), it connects two mathematically equivalent operations, and the arrow direction indicates which form is preferred and produced in a reverse assembly. If the symbol is a ( ), the form on the left is assembled into the form on the right solely for encoding purposes, and the form on the right is otherwise illegal in the assembler.
  • the parameters in these definitions are formal; the names are solely for pattern-matching purposes, even though they may be suggestive of a particular meaning.
  • the Redundancies section lists instructions and operand values that may also be performed by other instructions in the instruction set.
  • the symbol connecting the two forms is a ( ), which indicates that the two forms are mathematically equivalent, both are legal, but the assembler does not transform one into the other.
  • the Selection section lists instructions and equivalences together in a tabular form that highlights the structure of the instruction mnemonics.
  • the Format section lists (1) the assembler format, (2) the C intrinsics format, (3) the bit-level instruction format, and (4) a definition of bit-level instruction format fields that are not a one-for-one match with named fields in the assembler format.
  • the Definition section gives a precise definition of each basic instruction.
  • the Exceptions section lists exceptions that may be caused by the execution of the instructions in this category.
  • All instructions are 32 bits in size, and use the high order 8 bits to specify a major operation code.
  • the major field is filled with a value specified by the following table (Blank table entries cause the Reserved Instruction exception to occur.): major operation code field values MAJOR 0 32 64 96 128 160 192 224 0 ARES BEF16 LI16L SI16L XDEPOSIT EMULXI WMULMATXIL 1 AADDI BEF32 LI16B SI16B GADDI EMULXIU WMULMATXIB 2 AADDI.O BEF64 LI16AL SI16AL GADDI.O EMULXIM WMULMATXIUL 3 AADDIU.O BEF128 LI16AB SI16AB GADDIU.O EMULXIC WMULMATXIUB 4 BLGF16 LI32L SI32L XDEPOSITU EMULADDXI WMULMATXIML 5 ASUBI BLGF32 LI32B SI32B GSUBI EMULADDXIU WMULMATXIMB 6 ASUBI.O BLGF64 LI32AL SI32AL GSUBI.
  • the minor field is filled with a value from one of the following tables: minor operation code field values for A.MINOR A.MINOR 0 8 16 24 32 40 48 56 0 AAND ASETE ASETEF ASHLI ASHLIADD 1 AADD AXOR ASETNE ASETLGF 2 AADDO AOR ASETANDE ASETLF ASHLIO 3 AADDUO AANDN ASETANDNE ASETGEF ASHLIUO 4 AORN ASETL/LZ ASETEF.X ASHLISUB 5 ASUB AXNOR ASETGE/GEZ ASETLGF.X 6 ASUBO ANOR ASETLU/GZ ASETLF.X ASHRI 7 ASUBUO ANAND ASETGEU/LEZ ASETGEF.X ASHRIU ACOM
  • the minor field is filled with a value from the following table: Note that the shift amount field value shown below is the “sh” value, which is encoded in an instruction-dependent manner from the immediate field in the assembler format.
  • the sz field is filled with a value from the following table: sz size 0 16 1 32 2 64 3 128
  • the unary field is filled with a value from the following table: unary operation code field values for E.UNARY.size E.UNARY 0 8 16 24 32 40 48 56 0 ESQRFN ESUMFN ESINKFN EFLOATFN EDEFLATEFN ESUM 1 ESQRFZ ESUMFZ ESINKFZ EFLOATFZ EDEFLATEFZ ESUMU ESINKFZD 2 ESQRFF ESUMFF ESINKFF EFLOATFF EDEFLATEFF ELOGMOST ESINKFFD 3 ESQRFC ESUMFC ESINKFC EFLOATFC EDEFLATEFC ELOGMOSTU ESINKFCD 4 ESQRFX ESUMFX ESINKFX EFLOATFX EDEFLATEFX 5 ESQRF ESUMF ESINKF EFLOATF EDEFLATEF 6 ERSQRESTFX ERECESTFX EABSFX ENEGFX EINFLATEFX E
  • the compare field is filled with a value from the following table: compare operation code field values for A.COM.op and G.COM.op.size x.COM 0 8 16 24 32 40 48 56 0 xCOME xCOMEF 1 xCOMNE xCOMLGF 2 xCOMANDE xCOMLF 3 xCOMANDNE xCOMGEF 4 xCOML xCOMEF.X 5 xCOMGE xCOMLGF.X 6 xCOMLU xCOMLF.X 7 xCOMGEU xCOMGEF.X General Forms
  • Register rd is either a source register or destination register, or both. Registers rc and rb are always source registers. Register ra is always a destination register.
  • A.MINOR minor inst 5..0 case minor of A.ADD, A.ADD.O, A.ADD.OU, A.AND, A.ANDN, A.NAND, A.NOR, A.OR, A.ORN, A.XNOR, A.XOR: Address(minor,rd,rc,rb)
  • A.COM compare inst 11..6 case compare of A.COM.E, A.COM.NE, A.COM.AND.E, A.COM.AND.NE, A.COM.L, A.COM.GE, A.COM.L.U, A.COM.GE.U: AddressCompare(compare,rd,rc) others: raise ReservedInstruction endcase A.SUB,
  • LOG.MOST.U EnsembleUnary(unary,rd,rc) E.ABS.F, E.ABS.F.X, E.COPY.F, E.COPY.F.X, E.DEFLATE.F, E.DEFLATE.F.N, E.DEFLATE.F.Z, E.DEFLATE.F.F, E.DEFLATE.F.C, E.DEFLATE.F.X: E.FLOAT.F, E.FLOAT.F.N, E.FLOAT.F.Z, E.FLOAT.F.F, E.FLOAT.F.C, E.FLOAT.F.X: E.INFLATE.F, E.INFLATE.F.X, E.NEG.F, E.NEG.F.X, E.RECEST.F, E.RECEST.F.X, E.RSQREST.F, E.RSQREST.F.X, E.SQR.F, E.SQR.F.
  • these operations take operands from three registers, perform Boolean operations on corresponding bits in the operands, and place the concatenated results in the third register.
  • the processor handles a variety Group Boolean operations.
  • FIG. 31A presents various Group Boolean instructions.
  • FIGS. 31B and 31C illustrate an exemplary embodiment of a format and operation codes that can be used to perform the Boolean instructions shown in FIG. 31A .
  • three values are taken from the contents of registers rd, rc and rb.
  • the ih and il fields specify a function of three bits, producing a single bit result. The specified function is evaluated for each bit position, and the results are catenated and placed in register rd.
  • Register rd is both a source and destination of this instruction.
  • the function is specified by eight bits, which give the result for each possible value of the three source bits in each bit position: d 1 1 1 1 1 0 0 0 0 0 c 1 1 0 0 1 1 0 0 b 1 0 1 0 1 0 1 0 f(d, c, b) f 7 f 6 f 5 f 4 f 3 f 2 f 1 f 0
  • a function can be modified by rearranging the bits of the immediate value.
  • the table below shows how rearrangement of immediate value f 7 . . . 0 can reorder the operands d,c,b for the same function.
  • Encoding Some special characteristics of this rearrangement is the basis of the manner in which the eight function specification bits are compressed to seven immediate bits in this instruction. As seen in the table above, in the general case, a rearrangement of operands from f(d,c,b) to f(d,b,c) (interchanging rc and rb) requires interchanging the values of f 6 and f 5 and the values of f 2 and f 1 .
  • FIGS. 31D and 31E illustrate an exemplary embodiment of a format and operation codes that can be used to perform the various Group Multiplex instructions.
  • the contents of registers rd, rc and rb are fetched.
  • Each bit of the result is equal to the corresponding bit of rc, if the corresponding bit of rd is set, otherwise it is the corresponding bit of rb.
  • the result is placed into register ra. While the use of three operand registers and a different result register is described here and elsewhere in the present specification, other arrangements, such as the use of immediate values, may also be implemented.
  • the table marked Redundancies in FIG. 31D illustrates that for particular values of the register specifiers, the Group Multiplex operation performs operations otherwise available within the Group Boolean instructions. More specifically, when the result register ra is also present as a source register in the first, second or third source operand position of the operation, the operation is equivalent to the Group Boolean instruction with arguments of 0.times.11001010, 0.times.11100010, or 0.times.11011000 respectively. When the first source operand is the same as the second or third source operand, the Group Multiplex operation is equivalent to a bitwise OR or AND operation respectively.
  • these operations take operands from two registers, perform operations on partitions of bits in the operands, and place the concatenated results in a third register.
  • the processor handles a variety of fixed-point, or integer, group operations.
  • FIG. 32A presents various examples of Group Add instructions accommodating different operand sizes, such as a byte (8 bits), doublet (16 bits), quadlet (32 bits), octlet (64 bits), and hexlet (128 bits).
  • FIGS. 32B and 32C illustrate an exemplary embodiment of a format and operation codes that can be used to perform the various Group Add instructions shown in FIG. 32A . As shown in FIGS.
  • registers rc and rb are partitioned into groups of operands of the size specified and added, and if specified, checked for overflow or limited, yielding a group of results, each of which is the size specified.
  • the group of results is catenated and placed in register rd. While the use of two operand registers and a different result register is described here and elsewhere in the present specification, other arrangements, such as the use of immediate values, may also be implemented.
  • each register may be partitioned into 16 individual operands, and 16 different individual add operations may take place as the result of a single Group Add instruction.
  • Other instructions involving groups of operands may perform group operations in a similar fashion.
  • these operations take two values from registers, perform operations on partitions of bits in the operands, and place the concatenated results in a register. Two values are taken from the contents of registers rc and rb. The specified operation is performed, and the result is placed in register rd.
  • FIG. 33A presents various examples of Group Subtract instructions accommodating different operand sizes.
  • FIGS. 33B and 33C illustrate an exemplary embodiment of a format and operation codes that can be used to perform the various Group Subtract instructions. As shown in FIGS. 33B and 33C , in this exemplary embodiment, the contents of registers rc and rb are partitioned into groups of operands of the size specified and subtracted, and if specified, checked for overflow or limited, yielding a group of results, each of which is the size specified. The group of results is catenated and placed in register rd.
  • these operations take two values from registers, perform operations on partitions of bits in the operands, and place the concatenated results in a register. Two values are taken from the contents of registers rc and rb. The specified operation is performed, and the result is placed in register rd.
  • FIG. 33A also presents various examples of Group Set instructions accommodating different operand sizes.
  • FIG. 33A also presents additional pseudo-instructions which are equivalent to other Group Set instructions according to the mapping rules further presented in FIG. 33A .
  • FIGS. 33B and 33C illustrate an exemplary embodiment of a format and operation codes that can be used to perform the various Group Set instructions.
  • the contents of registers rc and rb are partitioned into groups of operands of the size specified and the specified comparisons are performed, each producing a Boolean result repeated to the size specified, yielding a group of results, each of which is the size specified.
  • the group of results is catenated and placed in register rd.
  • certain comparisons between two identically specified registers for which the result of such comparisons would be predictable no matter what the contents of the register, are used to encode comparisons against a zero value.
  • conditional operations are provided in the sense that the set on condition operations can be used to construct bit masks that can select between alternate vector expressions, using the bitwise Boolean operations.
  • FIG. 34A presents various examples of Ensemble Divide and Ensemble Multiply instructions accommodating different operand sizes.
  • FIGS. 34B and 34C illustrate an exemplary embodiment of a format and operation codes that can be used to perform the various Ensemble Divide and Ensemble Multiply instructions. As shown in FIGS. 34B and 34C , in this exemplary embodiment, the contents of registers rc and rb are partitioned into groups of operands of the size specified and divided or multiplied, yielding a group of results. The group of results is catenated and placed in register rd.
  • FIG. 35A presents various examples of Group Compare instructions accommodating different operand sizes.
  • FIGS. 35B and 35C illustrate an exemplary embodiment of a format and operational codes that can be used to perform the various Group Compare instructions. As shown in FIGS. 35B and 35C , in this exemplary embodiment, these operations perform calculations on partitions of bits in two general register values, and generate a fixed-point arithmetic exception if the condition specified is met. Two values are taken from the contents of registers rd and rc. The specified condition is calculated on partitions of the operands. If the specified condition is true for any partition, a fixed-point arithmetic exception is generated. This instruction generates no general purpose register results.
  • FIG. 36A presents various examples of Ensemble Unary instructions accommodating different operand sizes.
  • FIGS. 36B and 36C illustrate an exemplary embodiment of a format and operational codes that can be used to perform the various Ensemble Unary instructions. As shown in FIGS. 36B and 36C , in this exemplary embodiment, these operations take operands from a register, perform operations on partitions of bits in the operand, and place the concatenated results in a second register. Values are taken from the contents of register rc. The specified operation is performed, and the result is placed in register rd.
  • the code E.SUM.U.1 in FIG. 36A is preferably encoded as E.SUM.U.128.
  • the processor also handles a variety floating-point group operations accommodating different operand sizes.
  • the different operand sizes may represent floating-point operands of different precisions, such as half-precision (16 bits), single-precision (32 bits), double-precision (64 bits), and quad-precision (128 bits).
  • FIG. 37 illustrates exemplary functions that are defined for use within the detailed instruction definitions in other sections and figures. In the functions set forth in FIG.
  • an internal format represents infinite-precision floating-point values as a four-element structure consisting of (1) s (sign bit): 0 for positive, 1 for negative, (2) t (type): NORM, ZERO, SNAN, QNAN, INFINITY, (3) e (exponent), and (4) f: (fraction).
  • the mathematical interpretation of a normal value places the binary point at the units of the fraction, adjusted by the exponent: ( ⁇ 1) ⁇ circumflex over ( ) ⁇ s*(2 ⁇ circumflex over ( ) ⁇ e)*f.
  • the function F converts a packed IEEE floating-point value into internal format.
  • the function PackF converts an internal format back into IEEE floating-point format, with rounding and exception control.
  • FIGS. 38A and 39A present various examples of Ensemble Floating Point Add, Divide, Multiply, and Subtract instructions.
  • FIGS. 38 B-C and 39 B-C illustrate an exemplary embodiment of formats and operation codes that can be used to perform the various Ensemble Floating Point Add, Divide, Multiply, and Subtract instructions.
  • Ensemble Floating Point Add, Divide, and Multiply instructions have been labeled as “EnsembleFloatingPoint.”
  • Ensemble Floating-Point Subtract instructions have been labeled as “EnsembleReversedFloatingPoint.” As shown in FIGS.
  • registers ra and rb are partitioned into groups of operands of the size specified, and the specified group operation is performed, yielding a group of results.
  • the group of results is catenated and placed in register rc (or rd).
  • the operation is rounded using the specified rounding option or using round-to-nearest if not specified. If a rounding option is specified, the operation raises a floating-point exception if a floating-point invalid operation, divide by zero, overflow, or underflow occurs, or when specified, if the result is inexact. If a rounding option is not specified, floating-point exceptions are not raised, and are handled according to the default rules of IEEE 754.
  • FIG. 38D presents various examples of Ensemble Floating Point Multiply Add instructions.
  • FIGS. 38 E-F illustrate an exemplary embodiment of formats and operation codes that can be used to perform the various Ensemble Floating Point Multiply Add instructions.
  • Ensemble Floating Point Multiply Add instructions have been labeled as “EnsembleInplaceFloatingPoint.”
  • operations take operands from three registers, perform operations on partitions of bits in the operands, and place the concatenated results in the third register.
  • the contents of registers rd, rc and rb are fetched.
  • the specified operation is performed on these operands.
  • the result is placed into register rd.
  • registers rd, rc and rb are partitioned into groups of operands of the size specified, and for each partitioned element, the contents of registers rc and rb are multiplied and added to the contents of register rd, yielding a group of results.
  • the group of results is catenated and placed in register rd.
  • Register rd is both a source and destination of this instruction.
  • the operation is rounded using the specified rounding option or using round-to-nearest if not specified. If a rounding option is specified, the operation raises a floating-point exception if a floating-point invalid operation, divide by zero, overflow, or underflow occurs, or when specified, if the result is inexact. If a rounding option is not specified, floating-point exceptions are not raised, and are handled according to the default rules of IEEE 754.
  • these operations take three values from registers, perform a group of floating-point arithmetic operations on partitions of bits in the operands, and place the concatenated results in a register.
  • FIG. 38G presents various examples of Ensemble Floating Point Scale Add instructions.
  • FIGS. 38 H-I illustrate an exemplary embodiment of formats and operation codes that can be used to perform the various Ensemble Floating Point Scale Add instructions.
  • Ensemble Floating Point Scale Add instructions have been labeled as “EnsembleTernaryFloatingPoint.”
  • FIGS. 38 E-F in this exemplary embodiment, the contents of registers rd and rc are taken to represent a group of floating-point operands.
  • Operands from register rd are multiplied with a floating-point operand taken from the least-significant bits of the contents of register rb and added to operands from register rc multiplied with a floating-point operand taken from the next least-significant bits of the contents of register rb.
  • the results are concatenated and placed in register ra.
  • the results are rounded to the nearest representable floating-point value in a single floating-point operation.
  • floating-point exceptions are not raised, and are handled according to the default rules of IEEE 754. In an exemplary embodiment, these instructions cannot select a directed rounding mode or trap on inexact.
  • these operations take two values from registers, perform a group of floating-point arithmetic operations on partitions of bits in the operands, and place the concatenated results in a register.
  • the contents of registers ra and rb are combined using the specified floating-point operation.
  • the result is placed in register rc.
  • the operation is rounded using the specified rounding option or using round-to-nearest if not specified. If a rounding option is specified, the operation raises a floating-point exception if a floating-point invalid operation, divide by zero, overflow, or underflow occurs, or when specified, if the result is inexact. If a rounding option is not specified, floating-point exceptions are not raised, and are handled according to the default rules of IEEE 754.
  • FIG. 39D also presents various examples of Group Set Floating-point instructions accommodating different operand sizes.
  • FIG. 39E also presents additional pseudo-instructions which are equivalent to other Group Set Floating-Point instructions according to the mapping rules further presented in FIG. 39E .
  • FIGS. 39F and 39G illustrate an exemplary embodiment of a format and operation codes that can be used to perform the various Group Set instructions. As shown in FIG. 39G , in this exemplary embodiment, the contents of registers rc and rb are partitioned into groups of operands of the size specified and the specified comparisons are performed, each producing a Boolean result repeated to the size specified, yielding a group of results, each of which is the size specified. The group of results is catenated and placed in register rd.
  • a floating-point exception is raised if any operand is a SNAN, or when performing a Less or Greater Equal comparison, any operand is a QNAN. If a rounding option is not specified, floating-point exceptions are not raised, and are handled according to the default rules of IEEE 754.
  • FIG. 40A presents various examples of Group Compare Floating-point instructions accommodating different operand sizes.
  • FIGS. 40B and 40C illustrate an exemplary embodiment of a format and operational codes that can be used to perform the various Group Compare Floating-point instructions. As shown in FIGS. 40B and 40C , in this exemplary embodiment, these operations perform calculations on partitions of bits in two general register values, and generate a floating-point arithmetic exception if the condition specified is met. The contents of registers rd and rc are compared using the specified floating-point condition. If the result of the comparison is true for any corresponding pair of elements, a floating-point exception is raised. If a rounding option is specified, the operation raises a floating-point exception if a floating-point invalid operation occurs. If a rounding option is not specified, floating-point exceptions are not raised, and are handled according to the default rules of IEEE 754.
  • FIG. 41A presents various examples of Ensemble Unary Floating-point instructions accommodating different operand sizes.
  • FIGS. 41B and 41C illustrate an exemplary embodiment of a format and operational codes that can be used to perform the various Ensemble Unary Floating-point instructions. As shown in FIGS. 41B and 41C , in this exemplary embodiment, these operations take one value from a register, perform a group of floating-point arithmetic operations on partitions of bits in the operands, and place the concatenated results in a register. The contents of register rc is used as the operand of the specified floating-point operation. The result is placed in register rd. The operation is rounded using the specified rounding option or using round-to-nearest if not specified.
  • a rounding option is specified, unless default exception handling is specified, the operation raises a floating-point exception if a floating-point invalid operation, divide by zero, overflow, or underflow occurs, or when specified, if the result is inexact. If a rounding option is not specified or if default exception handling is specified, floating-point exceptions are not raised, and are handled according to the default rules of IEEE 754.
  • the reciprocal estimate and reciprocal square root estimate instructions compute an exact result for half precision, and a result with at least 12 bits of significant precision for larger formats.
  • the processor handles different Galois filed operations.
  • FIG. 42A presents various examples of Ensemble Multiply Galois Field instructions accommodating different operand sizes.
  • FIGS. 42B and 42C illustrate an exemplary embodiment of a format and operation codes that can be used to perform the Ensemble Multiply Galois Field instructions shown in FIG. 42A .
  • the contents of registers rd, rc, and rb are fetched. The specified operation is performed on these operands. The result is placed into register ra.
  • registers rd and rc are partitioned into groups of operands of the size specified and multiplied in the manner of polynomials.
  • the group of values is reduced modulo the polynomial specified by the contents of register rb, yielding a group of results, each of which is the size specified.
  • the group of results is catenated and placed in register ra.
  • An ensemble multiply Galois field bytes instruction (E.MULG.8) multiplies operand [d15 d14 d13 d12 d11 d10 d9 d8 d7 d6 d5 d4 d3 d2 d1 d0] by operand [c15 c14 c13 c12 c11 c10 c9 c8 c7 c6 c5 c4 c3 c2 c1 c0], modulo polynomial [q], yielding the results [(d15c 15 mod q) (d14c14 mod q) . . . (d0c0 mod q), as illustrated in FIG. 42D .
  • these operations take operands from two registers, perform operations on partitions of bits in the operands, and place the concatenated results in a third register.
  • Two values are taken from the contents of registers rc and rb.
  • the specified operation is performed, and the result is placed in register rd.
  • crossbar switch units such as units 142 and 148 perform data handling operations, as previously discussed.
  • data handling operations may include various examples of Crossbar Compress, Crossbar Expand, Crossbar Rotate, and Crossbar Shift operations.
  • FIGS. 43B and 43C illustrate an exemplary embodiment of a format and operation codes that can be used to perform the various Crossbar Compress, Crossbar Expand, Crossbar Rotate, and Crossbar Shift instructions. As shown in FIGS.
  • the contents of registers rc and rb are obtained and the contents of register rc is partitioned into groups of operands of the size specified and the specified operation is performed using a shift amount obtained from the contents of register rb masked to values from zero to one less than the size specified, yielding a group of results.
  • the group of results is catenated and placed in register rd.
  • Various Group Compress operations may convert groups of operands from higher precision data to lower precision data.
  • An arbitrary half-sized sub-field of each bit field can be selected to appear in the result.
  • Various Group Shift operations may allow shifting of groups of operands by a specified number of bits, in a specified direction, such as shift right or shift left.
  • certain Group Shift Left instructions may also involve clearing (to zero) empty low order bits associated with the shift, for each operand.
  • Certain Group Shift Right instructions may involve clearing (to zero) empty high order bits associated with the shift, for each operand.
  • certain Group Shift Right instructions may involve filling empty high order bits associated with the shift with copies of the sign bit, for each operand.
  • these operations take operands from three registers, perform operations on partitions of bits in the operands, and place the concatenated results in the third register.
  • the contents of registers rd, rc and rb are fetched.
  • the specified operation is performed on these operands.
  • the result is placed into register rd.
  • FIGS. 43F and 43G illustrate an exemplary embodiment of a format and operation codes that can be used to perform the various Shift Merge instructions.
  • the contents of registers rd, and rc are obtained and the contents of register rd and rc are partitioned into groups of operands of the size-specified, and the specified operation is performed using a shift amount obtained from the contents of register rb masked to values from zero to one less than the size specified, yielding a group of results.
  • the group of results is catenated and placed in register rd.
  • Register rd is both a source and destination of this instruction.
  • Shift Merge operations may allow shifting of groups of operands by a specified number of bits, in a specified direction, such as shift right or shift left. As can be seen in FIG. 43G , certain Shift Merge operations may involve filling empty bits associated with the shift with copies of corresponding bits from the contents of register rd, for each operand.
  • these operations take operands from a register and a short immediate value, perform operations on partitions of bits in the operands, and place the concatenated results in a register.
  • a 128-bit value is taken from the contents of register rc.
  • the second operand is taken from simm.
  • the specified operation is performed, and the result is placed in register rd.
  • crossbar switch units such as units 142 and 148 perform data handling operations, as previously discussed.
  • data handling operations may include various examples of Crossbar Compress Immediate, Crossbar Expand Immediate, Crossbar Rotate Immediate, and Crossbar Shift Immediate operations.
  • FIGS. 43I and 43J illustrate an exemplary embodiment of a format and operation codes that can be used to perform the various Crossbar Compress Immediate, Crossbar Expand Immediate, Crossbar Rotate Immediate, and Crossbar Shift Immediate instructions. As shown in FIGS.
  • the contents of register rc is obtained and is partitioned into groups of operands of the size specified and the specified operation is performed using a shift amount obtained from the instruction masked to values from zero to one less than the size specified, yielding a group of results.
  • the group of results is catenated and placed in register rd.
  • Various Group Compress Immediate operations may convert groups of operands from higher precision data to lower precision data.
  • An arbitrary half-sized sub-field of each bit field can be selected to appear in the result.
  • Various Group Shift Immediate operations may allow shifting of groups of operands by a specified number of bits, in a specified direction, such as shift right or shift left.
  • certain Group Shift Left Immediate instructions may also involve clearing (to zero) empty low order bits associated with the shift, for each operand.
  • Certain Group Shift Right Immediate instructions may involve clearing (to zero) empty high order bits associated with the shift, for each operand. Further, certain Group Shift Right Immediate instructions may involve filling empty high order bits associated with the shift with copies of the sign bit, for each operand.
  • these operations take operands from two registers and a short immediate value, perform operations on partitions of bits in the operands, and place the concatenated results in the second register.
  • Two 128-bit values are taken from the contents of registers rd and rc.
  • a third operand is taken from simm. The specified operation is performed, and the result is placed in register rd. This instruction is undefined and causes a reserved instruction exception if the simm field is greater or equal to the size specified.
  • FIGS. 43L and 43M illustrate an exemplary embodiment of a format and operation codes that can be used to perform the various Shift Merge Immediate instructions.
  • the contents of registers rd and rc are obtained and are partitioned into groups of operands of the size specified, and the specified operation is performed using a shift amount obtained from the instruction masked to values from zero to one less than the size specified, yielding a group of results.
  • the group of results is catenated and placed in register rd.
  • Register rd is both a source and destination of this instruction.
  • Shift Merge operations may allow shifting of groups of operands by a specified number of bits, in a specified direction, such as shift right or shift left. As can be seen in FIG. 43G , certain Shift Merge operations may involve filling empty bits associated with the shift with copies of corresponding bits from the contents of register rd, for each operand.
  • data handling operations may also include a Crossbar Extract instruction. These operations take operands from three registers, perform operations on partitions of bits in the operands, and place the concatenated results in a fourth register.
  • FIGS. 44A and 44B illustrate an exemplary embodiment of a format and operation codes that can be used to perform the Crossbar Extract instruction. These operations take operands from three registers, perform operations on partitions of bits in the operands, and place the concatenated results in a fourth register. As shown in FIGS. 44A and 44B , in this exemplary embodiment, the contents of registers rd, rc, and rb are fetched. The specified operation is performed on these operands. The result is placed into register ra.
  • the Crossbar Extract instruction allows bits to be extracted from different operands in various ways. Specifically, bits 31 . . . 0 of the contents of register rb specifies several parameters which control the manner in which data is extracted, and for certain operations, the manner in which the operation is performed.
  • the position of the control fields allows for the source position to be added to a fixed control value for dynamic computation, and allows for the lower 16 bits of the control field to be set for some of the simpler extract cases by a single GCOPYI.128 instruction.
  • the control fields are further arranged so that if only the low order 8 bits are non-zero, a 128-bit extraction with truncation and no rounding is performed:
  • the group size, gsize is a power of two in the range 1 . . . 128.
  • the source position, spos is in the range 0 . . . (2*gsize) ⁇ 1.
  • bits 127 . . . 64 of the contents of register rc specifies the multipliers for the multiplicands in registers ra and rb. Specifically, bits 64+2*gsize ⁇ 1 . . . 64+gsize is the multiplier for the contents of register ra, and bits 64+gsize ⁇ 1 . . . 64 is the multiplier for the contents of register rb.
  • data handling operations may also include an Ensemble Extract instruction. These operations take operands from three registers, perform operations on partitions of bits in the operands, and place the concatenated results in a fourth register.
  • FIGS. 44E, 44F and 44 G illustrate an exemplary embodiment of a format and operation codes that can be used to perform the Ensemble Extract instruction. As shown in FIGS. 44F and 44G , in this exemplary embodiment, the contents of registers rd, rc, and rb are fetched. The specified operation is performed on these operands. The result is placed into register ra.
  • the Crossbar Extract instruction allows bits to be extracted from different operands in various ways. Specifically, bits 31 . . . 0 of the contents of register rb specifies several parameters which control the manner in which data is extracted, and for certain operations, the manner in which the operation is performed.
  • the position of the control fields allows for the source position to be added to a fixed control value for dynamic computation, and allows for the lower 16 bits of the control field to be set for some of the simpler extract cases by a single GCOPYI.128 instruction.
  • the control fields are further arranged so that if only the low order 8 bits are non-zero, a 128-bit extraction with truncation and no rounding is performed:
  • the group size, gsize is a power of two in the range 1 . . . 128.
  • the source position, spos is in the range 0 . . . (2*gsize) ⁇ 1.
  • values in the s, n, m, l, and rnd fields have the following meaning: values s n m l rnd 0 unsigned real extract/same-sign truncate F 1 signed complex merge/mixed-sign saturate Z 2 N 3 C
  • an ensemble-multiply-extract-doublets instruction (E.MULX) multiplies vector ra [h g f e d c b a] with vector rb [p o n m l k j i], yielding the result [hp go fn em dl ck bj ai], rounded and limited as specified by rc31 . . . 0.
  • an ensemble-multiply-extract-doublets-complex instruction (E.MUL.X with n set) multiplies operand [h g f e d c b a] by operand [p o n m l k j i], yielding the result [gp+ho go ⁇ hp en+fm em ⁇ fn cl+dk ck ⁇ dl aj+bi ai ⁇ bj], rounded and limited as specified.
  • this instruction prefers an organization of complex numbers in which the real part is located to the right (lower precision) of the imaginary part.
  • an ensemble-scale-add-extract-doublets instruction (E.SCAL.ADD.X) multiplies vector ra [h g f e d c b a] with rc95 . . . 80 [r] and adds the product to the product of vector rb [p o n m l k j i] with rc79 . . . 64 [q], yielding the result [hr+pq gr+oq fr+nq er+mq dr+lq cr+kq br+jq ar+iq], rounded and limited as specified by rc31 . . . 0.
  • an ensemble-scale-add-extract-doublets-complex instruction (E.SCLADD.X with n set) multiplies vector ra [h g f e d c b a] with rc127 . . . 96 [t s] and adds the product to the product of vector rb [p o n m l k j i] with rc95 . . .
  • the operand portion to the left of the selected field is treated as signed or unsigned as controlled by the s field, and truncated or saturated as controlled by the t field, while the operand portion to the right of the selected field is rounded as controlled by the rnd field.
  • data handling operations include various Deposit and Withdraw instructions.
  • FIGS. 45B and 45C illustrate an exemplary embodiment of a format and operation codes that can be used to perform the various Deposit and Withdraw instructions. As shown in FIGS. 45B and 45C , in this exemplary embodiment, these operations take operands from a register and two immediate values, perform operations on partitions of bits in the operands, and place the concatenated results in the second register. Specifically, the contents of register rc are fetched, and 7-bit immediate values are taken from the 2-bit ih and the 6-bit gsfp and gsfs fields. The specified operation is performed on these operands. The result is placed into register rd.
  • FIG. 45D shows legal values for the ih, gsfp and gsfs fields, indicating the group size to which they apply.
  • the ih, gsfp and gsfs fields encode three values: the group size, the field size, and a shift amount.
  • the shift amount can also be considered to be the source bit field position for group-withdraw instructions or the destination bit field position for group-deposit instructions.
  • the encoding is designed so that combining the gsfp and gsfs fields with a bitwise—and produces a result which can be decoded to the group size, and so the field size and shift amount can be easily decoded once the group size has been determined.
  • the crossbar-deposit instructions deposit a bit field from the lower bits of each group partition of the source to a specified bit position in the result. The value is either sign-extended or zero-extended, as specified.
  • the crossbar-withdraw instructions withdraw a bit field from a specified bit position in the each group partition of the source and place it in the lower bits in the result. The value is either sign-extended or zero-extended, as specified.
  • data handling operations include various Deposit Merge instructions.
  • FIGS. 45H and 451 illustrate an exemplary embodiment of a format and operation codes that can be used to perform the various Deposit Merge instructions. As shown in FIGS. 45H and 451 , in this exemplary embodiment, these operations take operands from two registers and two immediate values, perform operations on partitions of bits in the operands, and place the concatenated results in the second register. Specifically, the contents of registers rc and rd are fetched, and 7-bit immediate values are taken from the 2-bit ih and the 6-bit gsfp and gsfs fields. The specified operation is performed on these operands. The result is placed into register rd.
  • FIG. 45D shows legal values for the ih, gsfp and gsfs fields, indicating the group size to which they apply.
  • the ih, gsfp and gsfs fields encode three values: the group size, the field size, and a shift amount.
  • the shift amount can also be considered to be the source bit field position for group-withdraw instructions or the destination bit field position for group-deposit instructions.
  • the encoding is designed so that combining the gsfp and gsfs fields with a bitwise—and produces a result which can be decoded to the group size, and so the field size and shift amount can be easily decoded once the group size has been determined.
  • the crossbar-deposit-merge instructions deposit a bit field from the lower bits of each group partition of the source to a specified bit position in the result.
  • the value is merged with the contents of register rd at bit positions above and below the deposited bit field. No sign- or zero-extension is performed by this instruction.
  • these operations take operands from two registers, perform operations on partitions of bits in the operands, and place the concatenated results in a register.
  • data handling operations may also include various Shuffle instructions, which allow the contents of registers to be partitioned into groups of operands and interleaved in a variety of ways.
  • FIGS. 46B and 46C illustrate an exemplary embodiment of a format and operation codes that can be used to perform the various Shuffle instructions. As shown in FIGS. 46B and 46C , in this exemplary embodiment, one of two operations is performed, depending on whether the rc and rb fields are equal. Also, FIG. 46B and the description below illustrate the format of and relationship of the rd, re, rb, op, v, w, h, and size fields.
  • a 128-bit operand is taken from the contents of register rc. Items of size v are divided into w piles and shuffled together, within groups of size bits, according to the value of op. The result is placed in register rd.
  • FIG. 46C illustrates that for this operation, values of three parameters x, y, and z are computed depending on the value of op, and in each result bit position i, a source bit position within the contents of register rc is selected, wherein the source bit position is the catenation of four fields, the first and fourth fields containing fields of i which are unchanged: 6 . . . x and y ⁇ 1 . . . 0, and the second and third fields containing a subfield of i, bits x ⁇ 1 . . . y which is rotated by an amount z: y+z ⁇ 1 . . . y and x ⁇ 1 . . . y+z.
  • This instruction is undefined and causes a reserved instruction exception if rc and rb are not equal and the op field is greater or equal to 56, or if rc and rb are equal and op4 . . . 0 is greater or equal to 28.
  • FIG. 46C illustrates that for this operation, the value of x is fixed, and values of two parameters y and z are computed depending on the value of op, and in each result bit position i, a source bit position within the contents of register rc is selected, wherein the source bit position is the catenation of three fields, the first field containing a fields of i which is unchanged: y ⁇ 1 . . . 0, and the second and third fields containing a subfield of i, bits x ⁇ 1 . . . y which is rotated by an amount z: y+z ⁇ 1 . . . y and x ⁇ 1 . . . y+z.
  • these operations perform calculations with a general register value and immediate values, placing the result in a general register.
  • data handling operations may also include various Crossbar Swizzle instruction.
  • FIGS. 47A and 47B illustrate an exemplary embodiment of a format and operation codes that can be used to perform Crossbar Swizzle instructions. As shown in FIGS. 47A and 47B , in this exemplary embodiment, the contents of register rc are fetched, and 7-bit immediate values, icopy and iswap, are constructed from the 2-bit ih field and from the 6-bit icopya and iswapa fields. The specified operation is performed on these operands. The result is placed into register rd.
  • the “swizzle” operation can reverse the order of the bit fields in a hexlet.
  • a X.SWIZZLE rd-rc, 127,112 operation reverses the doublets within a hexlet, as shown in FIG. 47C .
  • the “swizzle” operation can also copy operands to multiple locations within a hexlet.
  • a X.SWIZZLE 15,0 operation copies the low-order 16 bits to each double within a hexlet.
  • these operations take three values from registers, perform a group of calculations on partitions of bits of the operands and place the catenated results in a fourth register.
  • the contents of registers rd, rc, and rb are fetched.
  • the specified operation is performed on these operands.
  • the result is placed into register ra.
  • data handling operations may also include various Crossbar Select instruction.
  • FIGS. 47D and 47E illustrate an exemplary embodiment of a format and operation codes that can be used to perform Crossbar Select instructions.
  • the contents of registers rd, rc and rb are fetched, and the contents of registers rd and rc are catenated, producing catenated data dc.
  • the contents of register rb is partitioned into elements, and the value expressed in each partition is employed to select one partitioned element of the catenated data dc.
  • the selected elements are catenated together, and result is placed into register ra.
  • memory access operations may also include various Load and Load Immediate instructions.
  • These figures and FIGS. 50B and 51B show that the various Load and Load Immediate instructions specify a type of operand, either signed, or unsigned, represented by omitting or including a U, respectively.
  • the instructions further specify a size of memory operand, byte, double, quadlet, octlet, or hexlet, representing 8, 16, 32, 64, and 128 bits respectively.
  • the instructions further specify aligned memory operands, or not, represented by including a A, or with the A omitted, respectively.
  • the instructions further specify a byte-ordering of the memory operand, either big-endian, or little-endian, represented by B, and L respectively.
  • L. 8 , L.U 8 , L.I. 8 , L.I.U 8 need not distinguish between little-endian and big-endian ordering, nor between aligned and unaligned, as only a single byte is loaded.
  • L. 128 .B, L. 128 .AB, L. 128 .L, L. 128 AL, L.I. 128 .B, L.I. 128 .AB, L.I. 128 .L, and L.I. 128 AL need not distinguish between signed and unsigned, as the hexlet fills the destination register.
  • L. 8 need not distinguish between little-endian and big-endian ordering, nor between aligned and unaligned, as only a single byte is loaded.
  • L. 128 .B need not distinguish between signed and unsigned, as the hexlet fills the destination register.
  • L. 128 .AB need not distinguish between signed and unsigned, as the hexlet fills the destination register.
  • L. 128 .L need not distinguish between signed and unsigned, as the hexlet fills the destination register.
  • L. 128 .AL need not distinguish between signed and unsigned, as the hexlet fills the destination register.
  • L.U 8 need not distinguish between little-endian and big-endian ordering, nor between aligned and unaligned, as only a single byte is loaded.
  • LI. 8 need not distinguish between little-endian and big-endian ordering, nor between aligned and unaligned, as only a single byte is loaded.
  • LI. 128 .AB need not distinguish between signed and unsigned, as the hexlet fills the destination register.
  • LI. 128 .B need not distinguish between signed and unsigned, as the hexlet fills the destination register.
  • LI. 128 .L need not distinguish between signed and unsigned, as the hexlet fills the destination register.
  • LI.U 8 need not distinguish between little-endian and big-endian ordering, nor between aligned and unaligned, as only a single byte is loaded.
  • FIGS. 50B and 50C illustrate an exemplary embodiment of formats and operation codes that can be used to perform Load instructions. These operations compute a virtual address from the contents of two registers, load data from memory, sign- or zero-extending the data to fill the destination register. As shown in FIGS. 50B and 50C , in this exemplary embodiment, an operand size, expressed in bytes, is specified by the instruction. A virtual address is computed from the sum of the contents of register rc and the contents of register rb multiplied by operand size.
  • FIGS. 51B and 51C illustrate an exemplary embodiment of formats and operation codes that can be used to perform Load Immediate instructions. These operations compute a virtual address from the contents of a register and a sign-extended immediate value, load data from memory, sign- or zero-extending the data to fill the destination register. As shown in FIGS. 51B and 51C , in this exemplary embodiment, an operand size, expressed in bytes, is specified by the instruction. A virtual address is computed from the sum of the contents of register rc and the sign-extended value of the offset field, multiplied by the operand size.
  • the contents of memory using the specified byte order are read, treated as the size specified, zero-extended or sign-extended as specified, and placed into register rd. If alignment is specified, the computed virtual address must be aligned, that is, it must be an exact multiple of the size expressed in bytes. If the address is not aligned an “access disallowed by virtual address” exception occurs.
  • memory access operations may also include various Store and Store Immediate instructions.
  • These figures and FIGS. 52B and 53B show that the various Store and Store Immediate instructions specify a size of memory operand, byte, double, quadlet, octlet, or hexlet, representing 8, 16, 32, 64, and 128 bits respectively.
  • the instructions further specify aligned memory operands, or not, represented by including a A, or with the A omitted, respectively.
  • the instructions further specify a byte-ordering of the memory operand, either big-endian, or little-endian, represented by B, and L respectively.
  • L. 8 and L.I. 8 need not distinguish between little-endian and big-endian ordering, nor between aligned and unaligned, as only a single byte is stored.
  • S. 8 need not specify byte ordering, nor need it specify alignment checking, as it stores a single byte.
  • SI. 8 need not specify byte ordering, nor need it specify alignment checking, as it stores a single byte.
  • FIGS. 52B and 52C illustrate an exemplary embodiment of formats and operation codes that can be used to perform Store instructions. These operations add the contents of two registers to produce a virtual address, and store the contents of a register into memory. As shown in FIGS. 52B and 52C , in this exemplary embodiment, an operand size, expressed in bytes, is specified by the instruction. A virtual address is computed from the sum of the contents of register rc and the contents of register rb multiplied by operand size.
  • FIGS. 53B and 53C illustrate an exemplary embodiment of formats and operation codes that can be used to perform Store Immediate instructions. These operations add the contents of a register to a sign-extended immediate value to produce a virtual address, and store the contents of a register into memory. As shown in FIGS. 53B and 53C , in this exemplary embodiment, an operand size, expressed in bytes, is specified by the instruction. A virtual address is computed from the sum of the contents of register rc and the sign-extended value of the offset field, multiplied by the operand size.
  • the contents of register rd is stored in memory using the specified byte order. If alignment is specified, the computed virtual address must be aligned, that is, it must be an exact multiple of the size expressed in bytes. If the address is not aligned an “access disallowed by virtual address” exception occurs.
  • memory access operations may also include various Store Multiplex and Store Multiplex Immediate instructions.
  • These figures and FIGS. 52B and 53B show that the various Store Multiplex and Store Multiplex Immediate instructions specify a size of memory operand, octlet, representing 64 bits.
  • the instructions further specify aligned memory operands, represented by including a A.
  • the instructions further specify a byte-ordering of the memory operand, either big-endian, or little-endian, represented by B, and L respectively.
  • FIGS. 52B and 52C illustrate an exemplary embodiment of formats and operation codes that can be used to perform Store Multiplex instructions.
  • an operand size expressed in bytes, is specified by the instruction.
  • a virtual address is computed from the sum of the contents of register rc and the contents of register rb multiplied by operand size.
  • FIGS. 53B and 53C illustrate an exemplary embodiment of formats and operation codes that can be used to perform Store Multiplex Immediate instructions.
  • an operand size expressed in bytes, is specified by the instruction.
  • a virtual address is computed from the sum of the contents of register rc and the sign-extended value of the offset field, multiplied by the operand size.
  • data contents and mask contents of the contents of register rd are identified.
  • the data contents are stored in memory using the specified byte order for values in which the corresponding mask contents are set.
  • masked writing of data can be accomplished by indivisibly reading the original contents of the addressed memory operand, modifying the value, and writing the modified value back to the addressed memory operand.
  • the modification of the value is accomplished using an operation previously identified as a Multiplex operation in the section titled Group Multiplex, above, and in FIG. 31E .
  • the computed virtual address must be aligned, that is, it must be an exact multiple of the size expressed in bytes. If the address is not aligned an “access disallowed by virtual address” exception occurs.
  • studies of the dynamic distribution of instructions on various benchmark suites indicate that the most frequently-issued instruction classes are load instructions and execute instructions.
  • one of the means to increase the ability to issue execute-class instructions is to provide the means to issue two execute instructions in a single-issue string.
  • the execution unit actually requires several distinct resources, so by partitioning these resources, the issue capability can be increased without increasing the number of functional units, other than the increased register file read and write ports.
  • the partitioning favored places all instructions that involve shifting and shuffling in one execution unit, and all instructions that involve multiplication, including fixed-point and floating-point multiply and add in another unit.
  • resources used for implementing add, subtract, and bitwise logical operations may be duplicated, being modest in size compared to the shift and multiply units.
  • resources used are shared between the two units, as the operations have low-enough latency that two operations might be pipelined within a single issue cycle.
  • These instructions must generally be independent, except in another exemplary embodiment that two simple add, subtract, or bitwise logical instructions may be performed dependently, if the resources for executing simple instructions are shared between the execution units.
  • one of the means to increase the ability to issue load-class instructions is to provide the means to issue two load instructions in a single-issue string. This would generally increase the resources required of the data fetch unit and the data cache, but a compensating solution is to steal the resources for the store instruction to execute the second load instruction.
  • a single-issue string can then contain either two load instructions, or one load instruction and one store instruction, which uses the same register read ports and address computation resources as the basic 5-instruction string in another exemplary embodiment.
  • this capability also may be employed to provide support for unaligned load and store instructions, where a single-issue string may contain as an alternative a single unaligned load or store instruction which uses the resources of the two load-class units in concert to accomplish the unaligned memory operation.
  • This operation generates a reserved instruction exception.
  • the reserved instruction exception is raised. Software may depend upon this major operation code raising the reserved instruction exception in all implementations. The choice of operation code intentionally ensures that a branch to a zeroed memory area will raise an exception.
  • FIGS. 58A-58C An exemplary embodiment of the Always Reserved instruction is shown in FIGS. 58A-58C .
  • registers rc and rb are fetched and the specified operation is performed on these operands.
  • the result is placed into register rd.
  • FIGS. 59A-59C An exemplary embodiment of the Address instructions is shown in FIGS. 59A-59C .
  • registers rd and rc are fetched and the specified condition is calculated on these operands. If the specified condition is true, a fixed-point arithmetic exception is generated. This instruction generates no general register results.
  • FIGS. 60A-60C An exemplary embodiment of the Address Compare instructions is shown in FIGS. 60A-60C .
  • This operation produces one immediate value, placing the result in a general register.
  • FIGS. 61A-61C An exemplary embodiment of the Address Copy Immediate instruction is shown in FIGS. 61A-61C .
  • register rc The contents of register rc is fetched, and a 64-bit immediate value is sign-extended from the 12-bit imm field. The specified operation is performed on these operands. The result is placed into register rd.
  • FIGS. 62A-62C An exemplary embodiment of the Address Immediate instructions is shown in FIGS. 62A-62C .
  • register rc The contents of register rc is fetched, and a 64-bit immediate value is sign-extended from the 12-bit imm field. The specified operation is performed on these operands. The result is placed into register rd.
  • FIGS. 63A-63C An exemplary embodiment of the Address Immediate Reversed instructions is shown in FIGS. 63A-63C .
  • registers rc and rb are fetched and the specified operation is performed on these operands.
  • the result is placed into register rd.
  • FIGS. 64A-64C An exemplary embodiment of the Address Reversed instructions is shown in FIGS. 64A-64C .
  • register rb The contents of register rb are shifted left by the immediate amount and added to the contents of register rc. The result is placed into register rd.
  • FIGS. 65A-65C An exemplary embodiment of the Address Shift Left Immediate Add instruction is shown in FIGS. 65A-65C .
  • register rc The contents of register rc is subtracted from the contents of register rb shifted left by the immediate amount. The result is placed into register rd.
  • FIGS. 66A-66C An exemplary embodiment of the Address Shift Left Immediate Subtract instruction is shown in FIGS. 66A-66C .
  • register rc The contents of register rc is fetched, and a 6-bit immediate value is taken from the 6-bit simm field. The specified operation is performed on these operands. The result is placed into register rd.
  • FIGS. 67A-67C An exemplary embodiment of the Address Shift Immediate instructions is shown in FIGS. 67A-67C .
  • registers rd, rc, and rb are fetched.
  • the specified operation is performed on these operands.
  • the result is placed into register ra.
  • FIGS. 68A-68C An exemplary embodiment of the Address Ternary instruction is shown in FIGS. 68A-68C .
  • This operation branches to a location specified by a register.
  • Execution branches to the address specified by the contents of register rd.
  • FIGS. 69A-69C An exemplary embodiment of the Branch instruction is shown in FIGS. 69A-69C .
  • This operation branches to a location specified by the previous contents of register 0, reduces the current privilege level, loads a value from memory, and restores register 0 to the value saved on a previous exception.

Abstract

Systems and apparatuses are presented relating a programmable processor comprising an execution unit that is operable to decode and execute instructions received from an instruction path and partition data stored in registers in the register file into multiple data elements, the execution unit capable of executing a plurality of different group floating-point and group integer arithmetic operations that each arithmetically operates on multiple data elements stored registers in a register file to produce a catenated result that is returned to a register in the register file, wherein the catenated result comprises a plurality of individual results, wherein the execution unit is capable of executing group data handling operations that re-arrange data elements in different ways in response to data handling instructions.

Description

    CROSS-REFERENCES TO RELATED APPLICATIONS
  • This application is a continuation of U.S. patent application Ser. No. 10/436,340, filed May 13, 2003, which is a continuation of U.S. patent application Ser. No. 09/534,745, filed Mar. 24, 2000, now U.S. Pat. No. 6,643,765, which is a continuation of U.S. patent application Ser. No. 09/382,402, filed Aug. 24, 1999, now U.S. Pat. No. 6,295,599, and which is a continuation-in-part of U.S. patent application Ser. No. 09/169,963, filed Oct. 13, 1998, now U.S. Pat. No. 6,006,318, which is a continuation of U.S. patent application Ser. No. 08/754,827, filed Nov. 22, 1996, now U.S. Pat. No. 5,822,603, which is a division of U.S. patent application Ser. No. 08/516,036, filed Aug. 16, 1995, now U.S. Pat. No. 5,742,840.
  • This application is a continuation of U.S. patent application Ser. No. 11/511,466, filed Aug. 29, 2006, which is a continuation of U.S. patent application Ser. No. 10/646,787, filed Aug. 25, 2003, now U.S. Pat. No. 7,216,217, which is a continuation of U.S. patent application Ser. No. 09/922,319, filed Aug. 2, 2001, which is a continuation of U.S. patent application Ser. No. 09/382,402, filed Aug. 24, 1999, now U.S. Pat. No. 6,295,599, which claims the benefit of priority to Provisional Application No. 60/097,635 filed Aug. 24, 1998, and is a continuation-in-part of U.S. patent application Ser. No. 09/169,963, filed Oct. 13, 1998, now U.S. Pat. No. 6,006,318, which is a continuation of U.S. patent application Ser. No. 08/754,827, filed Nov. 22, 1996 now U.S. Pat. No. 5,822,603, which is a divisional of U.S. patent application Ser. No. 08/516,036, filed Aug. 16, 1995 now U.S. Pat. No. 5,742,840.
  • The contents of all the U.S. patent applications and provisional applications listed above are hereby incorporated by reference including their appendices in their entirety.
  • FIELD OF THE INVENTION
  • The present invention relates to general purpose processor architectures, and particularly relates to general purpose processor architectures capable of executing group operations.
  • BACKGROUND OF THE INVENTION
  • The performance level of a processor, and particularly a general purpose processor, can be estimated from the multiple of a plurality of interdependent factors: clock rate, gates per clock, number of operands, operand and data path width, and operand and data path partitioning. Clock rate is largely influenced by the choice of circuit and logic technology, but is also influenced by the number of gates per clock. Gates per clock is how many gates in a pipeline may change state in a single clock cycle. This can be reduced by inserting latches into the data path: when the number of gates between latches is reduced, a higher clock is possible. However, the additional latches produce a longer pipeline length, and thus come at a cost of increased instruction latency. The number of operands is straightforward; for example, by adding with carry-save techniques, three values may be added together with little more delay than is required for adding two values. Operand and data path width defines how much data can be processed at once; wider data paths can perform more complex functions, but generally this comes at a higher implementation cost. Operand and data path partitioning refers to the efficient use of the data path as width is increased, with the objective of maintaining substantially peak usage.
  • SUMMARY OF THE INVENTION
  • Embodiments of the invention pertain to systems and methods for enhancing the utilization of a general purpose processor by adding classes of instructions. These classes of instructions use the contents of general purpose registers as data path sources, partition the operands into symbols of a specified size, perform operations in parallel, catenate the results and place the catenated results into a general-purpose register. Some embodiments of the invention relate to a general purpose microprocessor which has been optimized for processing and transmitting media data streams through significant parallelism.
  • Some embodiments of the present invention provide a system and method for improving the performance of general purpose processors by including the capability to execute group operations involving multiple floating-point operands. In one embodiment, a programmable media processor comprises a virtual memory addressing unit, a data path, a register file comprising a plurality of registers coupled to the data path, and an execution unit coupled to the data path capable of executing group-floating point operations in which multiple floating-point operations stored in partitioned fields of one or more of the plurality of registers are operated on to produce catenated results. The group floating-point operations may involve operating on at least two of the multiple floating-point operands in parallel. The catenated results may be returned to a register, and general purpose registers may used as operand and result registers for the floating-point operations. In some embodiments the execution unit may also be capable of performing group floating-point operations on floating-point data of more than one precision. In some embodiments the group floating-point operations may include group add, group subtract, group compare, group multiply and group divide arithmetic operations that operate on catenated floating-point data. In some embodiments, the group floating-point operations may include group multiply-add, group scale-add, and group set operations that operate on catenated floating-point data.
  • In one embodiment, the execution unit is also capable of executing group integer instructions involving multiple integer operands stored in partitioned fields of registers. The group integer operations may involve operating on at least two of the multiple integer operands in parallel. The group integer operations may include group add, group subtract, group compare, and group multiply arithmetic operations that operate on catenated integer data.
  • In one embodiment, the execution unit is capable of performing group data handling operations, including operations that copy, operations that shift, operations that rearrange and operations that resize catenated integer data stored in a register and return catenated results. The execution unit may also be configurable to perform group data handling operations on integer data having a symbol width of 8 bits, group data handling operations on integer data having a symbol width of 16 bits, and group data handling operations on integer data having a symbol width of 32 bits. In one embodiment, the operations are controlled by values in a register operand. In one embodiment, the operations are controlled by values in the instruction.
  • In one embodiment, the multi-precision execution unit is capable of executing a Galois field instruction operation.
  • In one embodiment, the multi-precision execution unit is configurable to execute a plurality of instruction streams in parallel from a plurality of threads, and the programmable media processor further comprises a register file associated with each thread executing in parallel on the multi-precision execution unit to support processing of the plurality of threads. In some embodiments, the multi-precision execution unit executes instructions from the plurality of instruction streams in a round-robin manner. In some embodiments, the processor ensures only one thread from the plurality of threads can handle an exception at any given time.
  • Some embodiments of the present invention provide a multiplier array that is fully used for high precision arithmetic, but is only partly used for other, lower precision operations. This can be accomplished by extracting the high-order portion of the multiplier product or sum of products, adjusted by a dynamic shift amount from a general register or an adjustment specified as part of the instruction, and rounded by a control value from a register or instruction portion. The rounding may be any of several types, including round-to-nearest/even; toward zero, floor, or ceiling. Overflows are typically handled by limiting the result to the largest and smallest values that can be accurately represented in the output result.
  • When an extract is controlled by a register, the size of the result can be specified, allowing rounding and limiting to a smaller number of bits than can fit in the result. This permits the result to be scaled for use in subsequent operations without concern of overflow or rounding. As a result, performance is enhanced. In those instances where the extract is controlled by a register, a single register value defines the size of the operands, the shift amount and size of the result, and the rounding control. By placing such control information in a single register, the size of the instruction is reduced over the number of bits that such an instruction would otherwise require, again improving performance and enhancing processor flexibility. Exemplary instructions are Ensemble Convolve Extract, Ensemble Multiply Extract, Ensemble Multiply Add Extract, and Ensemble Scale Add Extract. With particular regard to the Ensemble Scale Add Extract Instruction, the extract control information is combined in a register with two values used as scalar multipliers to the contents of two vector multiplicands. This combination reduces the number of registers otherwise required, thus reducing the number of bits required for the instruction.
  • In one embodiment, the processor performs load and store instructions operable to move values between registers and memory. In one embodiment, the processor performs both instructions that verify alignment of memory operands and instructions that permit memory operands to be unaligned. In one embodiment, the processor performs store multiplex instructions operable to move to memory a portion of data contents controlled by a corresponding mask contents. In one embodiment, this masked storage operation is performed by indivisibly reading-modifying-writing a memory operand.
  • In one embodiment, all processor, memory and interface resources are directly accessible to high-level language programs. In one embodiment, assembler codes and high-level language formats are specified to access enhanced instructions. In one embodiment interface and system state is memory mapped, so that it can be manipulated by compiled code. In one embodiment, software libraries provide other operations required by the ANSI/IEEE floating-point standard. In one embodiment, software conventions are employed at software module boundaries, in order to permit the combination of separately compiled code and to provide standard interfaces between application, library and system software. In one embodiment, instruction scheduling is performed by a compiler.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a system level diagram showing the functional blocks of a system according to the present invention.
  • FIG. 2 is a matrix representation of a wide matrix multiply in accordance with one embodiment of the present invention.
  • FIG. 3 is a further representation of a wide matrix multiple in accordance with one embodiment of the present invention.
  • FIG. 4 is a system level diagram showing the functional blocks of a system incorporating a combined Simultaneous Multi Threading and Decoupled Access from Execution processor in accordance with one embodiment of the present invention.
  • FIG. 5 illustrates a wide operand in accordance with one embodiment of the present invention.
  • FIG. 6 illustrates an approach to specifier decoding in accordance with one embodiment of the present invention.
  • FIG. 7 illustrates in operational block form a Wide Function Unit in accordance with one embodiment of the present invention.
  • FIG. 8 illustrates in flow diagram form the Wide Microcache control function.
  • FIG. 9 illustrates Wide Microcache data structures.
  • FIGS. 10 and 11 illustrate a Wide Microcache control.
  • FIG. 12 is a timing diagram of a decoupled pipeline structure in accordance with one embodiment of the present invention.
  • FIG. 13 further illustrates the pipeline organization of FIG. 12.
  • FIG. 14 is a diagram illustrating the basic organization of the memory management system according to the present embodiment of the invention.
  • FIG. 15 illustrates the physical address of an LTB entry for thread th, entry en, byte b.
  • FIG. 16 illustrates a definition for AccessPhysicalLTB.
  • FIG. 17 illustrates how various 16-bit values are packed together into a 64-bit LTB entry.
  • FIG. 18 illustrates global access as fields of a control register.
  • FIG. 19 shows how a single-set LTB context may be further simplified by reserving the implementation of the lm and la registers.
  • FIG. 20 shows the partitioning of the virtual address space if the largest possible space is reserved for an address space identifier.
  • FIG. 21 shows how the LTB protect field controls the minimum privilege level required for each memory action of read (r), write (w), execute (x), and gateway (g), as well as memory and cache attributes of write allocate (wa), detail access (da), strong ordering (so), cache disable (cd), and write through (wt).
  • FIG. 22 illustrates a definition for LocalTranslation.
  • FIG. 23 shows how the low-order GT bits of the th value are ignored, reflecting that 2GT threads share a single GTB.
  • FIG. 24 illustrates a definition for AccessPhysicalGTB.
  • FIG. 25 illustrates the format of a GTB entry.
  • FIG. 26 illustrates a definition for GlobalAddressTranslation.
  • FIG. 27 illustrates a definition for GTBUpdateWrite.
  • FIG. 28 shows how the low-order GT bits of the th value are ignored, reflecting that 2GT threads share single GTB registers.
  • FIG. 29 illustrates the registers GTBLast, GTBFirst, and GTBBump.
  • FIG. 30 illustrates a definition for AccessPhysicalGTBRegisters.
  • FIGS. 31A-31C illustrate Group Boolean instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 31D illustrates Group Multiplex instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 32A-32C illustrate Group Add instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 33A-33C illustrate Group Subtract and Group Set instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 34A-34C illustrate Ensemble Divide and Ensemble Multiply instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 35A-35C illustrate Group Compare instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 36A-36C illustrate Ensemble Unary instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 37 illustrates exemplary functions that are defined for use within the detailed instruction definitions in other sections.
  • FIGS. 38A-38C illustrate Ensemble Floating-Point Add, Ensemble Floating-Point Divide, and Ensemble Floating-Point Multiply instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 38D-38F illustrate Ensemble Floating-Point Multiply Add instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 38G-38I illustrate Ensemble Floating-Point Scale Add instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 39A-39C illustrate Ensemble Floating-Point Subtract instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 39D-39G illustrate Group Set Floating-point instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 40A-40C illustrate Group Compare Floating-point instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 41A-41C illustrate Ensemble Unary Floating-point instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 42A-42D illustrate Ensemble Multiply Galois Field instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 43A-43D illustrate Compress, Expand, Rotate, and Shift instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 43E-43G illustrate Shift Merge instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 43H-43J illustrate Compress Immediate, Expand Immediate, Rotate Immediate, and Shift Immediate instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 43K-43M illustrate Shift Merge Immediate instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 44A-44D illustrate Crossbar Extract instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 44E-44K illustrate Ensemble Extract instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 45A-45F illustrate Deposit and Withdraw instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 45G-45J illustrate Deposit Merge instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 46A-46E illustrate Shuffle instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 47A-47C illustrate Swizzle instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 47D-47E illustrate Select instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 48 is a pin summary describing the functions of various pins in accordance with the one embodiment of the present invention.
  • FIGS. 49A-49G present electrical specifications describing AC and DC parameters in accordance with one embodiment of the present invention.
  • FIGS. 50A-50C illustrate Load instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 51A-51C illustrate Load Immediate instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 52A-52C illustrate Store and Store Multiplex instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 53A-53C illustrate Store Immediate and Store Multiplex Immediate instructions in accordance with an exemplary embodiment of the present invention.
  • FIGS. 54A-54D illustrate Data-Handling Operations in accordance with an exemplary embodiment of the present invention.
  • FIG. 54F illustrates Procedure Calling Conventions in accordance with an exemplary embodiment of the present invention.
  • FIG. 54G illustrates alignment withing the dp region in accordance with an exemplary embodiment of the present invention.
  • FIG. 54H illustrates gateway with pointers to code and data spaces in accordance with an exemplary embodiment of the present invention.
  • FIGS. 55-56 illustrate an expected rate at which memory requests are serviced in accordance with an exemplary embodiment of the present invention.
  • FIG. 57F is a pinout diagram in accordance with an exemplary embodiment of the present invention.
  • FIG. 58A-58C illustrate Always Reserved instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 59A-59C illustrate Address instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 60A-60C illustrate Address Compare instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 61A-61C illustrate Address Copy Immediate instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 62A-62C illustrate Address Immediate instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 63A-63C illustrate Address Immediate Reversed instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 64A-64C illustrate Address Reversed instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 65A-65C illustrate Address Shift Left Immediate Add instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 66A-66C illustrate Address Shift Left Immediate Subtract instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 67A-67C illustrate Address Shift Left Immediate instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 68A-68C illustrate Address Ternary instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 69A-69C illustrate Branch instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 70A-70C illustrate Branch Back instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 71A-71C illustrate Branch Barrier instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 72A-72C illustrate Branch Conditional instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 73A-73C illustrate Branch Conditional Floating-Point instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 74A-74C illustrate Branch Conditional Visibility Floating-Point instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 75A-75C illustrate Branch Down instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 76A-76C illustrate Branch Gateway instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 77A-77C illustrate Branch Halt instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 78A-78C illustrate Branch Hint instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 79A-79C illustrate Branch Hint Immediate instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 80A-80C illustrate Branch Immediate instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 81A-81C illustrate Branch Immediate Link instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 82A-82C illustrate Branch Link instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 83A-83C illustrate Store Double Compare Swap instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 84A-84C illustrate Store Immediate Inplace instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 85A-85C illustrate Store Inplace instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 86A-86C illustrate Group Add Halve instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 87A-87C illustrate Group Copy Immediate instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 88A-88C illustrate Group Immediate instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 89A-89C illustrate Group Immediate Reversed instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 90A-90C illustrate Group Inplace instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 91A-91C illustrate Group Shift Left Immediate instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 92A-92C illustrate Group Shift Left Immediate Subtract instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 93A-93C illustrate Group Subtract Halve instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 94A-94C illustrate Ensemble instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 95A-95E illustrate Ensemble Convolve Extract Immediate instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 96A-96E illustrate Ensemble Convolve Floating-Point instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 97A-97G illustrate Ensemble Extract Immediate instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 98A-98F illustrate Ensemble Extract Immediate Inplace instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 99A-99C illustrate Ensemble Inplace instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 100A-100E illustrate Wide Multiply Matrix instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 101A-101E illustrate Wide Multiply Matrix Extract instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 102A-102E illustrate Wide Multiply Matrix Extract Immediate instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 103A-103E illustrate Wide Multiply Matrix Floating-Point Immediate instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 104A-104D illustrate Wide Multiply Matrix Galois Immediate instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 105A-105C illustrate Wide Switch Immediate instructions in accordance with an exemplary embodiment of the present invention.
  • FIG. 106A-106C illustrate Wide Translate instructions in accordance with an exemplary embodiment of the present invention.
  • DETAILED DESCRIPTION OF THE INVENTION Introduction
  • In various embodiments of the invention, a computer processor architecture, referred to here as MicroUnity's Zeus Architecture is presented. MicroUnity's Zeus Architecture describes general-purpose processor, memory, and interface subsystems, organized to operate at the enormously high bandwidth rates required for broadband applications.
  • The Zeus processor performs integer, floating point, signal processing and non-linear operations such as Galois field, table lookup and bit switching on data sizes from 1 bit to 128 bits. Group or SIMD (single instruction multiple data) operations sustain external operand bandwidth rates up to 512 bits (i.e., up to four 128-bit operand groups) per instruction even on data items of small size. The processor performs ensemble operations such as convolution that maintain full intermediate precision with aggregate internal operand bandwidth rates up to 20,000 bits per instruction. The processor performs wide operations such as crossbar switch, matrix multiply and table lookup that use caches embedded in the execution units themselves to extend operands to as much as 32768 bits. All instructions produce at most a single 128-bit register result, source at most three 128-bit registers and are free of side effects such as the setting of condition codes and flags. The instruction set design carries the concept of streamlining beyond Reduced Instruction Set Computer (RISC) architectures, to simplify implementations that issue several instructions per machine cycle.
  • The Zeus memory subsystem provides 64-bit virtual and physical addressing for UNIX, Mach, and other advanced OS environments. Separate address instructions enable the division of the processor into decoupled access and execution units, to reduce the effective latency of memory to the pipeline. The Zeus cache supplies the high data and instruction issue rates of the processor, and supports coherency primitives for scaleable multiprocessors. The memory subsystem includes mechanisms for sustaining high data rates not only in block transfer modes, but also in non-unit stride and scattered access patterns.
  • The Zeus interface subsystem is designed to match industry-standard “Socket 7” protocols and pin-outs. In this way, Zeus can make use of the immense infrastructure of the PC for building low-cost systems. The interface subsystem is modular, and can be replaced with appropriate protocols and pin-outs for lower-cost and higher-performance systems.
  • The goal of the Zeus architecture is to integrate these processor, memory, and interface capabilities with optimal simplicity and generality. From the software perspective, the entire machine state consists of a program counter, a single bank of 64 general-purpose 128-bit registers, and a linear byte-addressed shared memory space with mapped interface registers. All interrupts and exceptions are precise, and occur with low overhead.
  • Examples discussed herein are for Zeus software and hardware developers alike, and defines the interface at which their designs must meet. Zeus pursues the most efficient tradeoffs between hardware and software complexity by making all processor, memory, and interface resources directly accessible to high-level language programs.
  • Conformance
  • To ensure that Zeus systems may freely interchange data, user-level programs, system-level programs and interface devices, the Zeus system architecture reaches above the processor level architecture.
  • Optional Areas
  • Optional areas include:
  • Number of processor threads
  • Size of first-level cache memories
  • Existence of a second-level cache
  • Size of second-level cache memory
  • Size of system-level memory
  • Existence of certain optional interface device interfaces
  • Upward-Compatible Modifications
  • Additional devices and interfaces, not covered by this standard may be added in specified regions of the physical memory space, provided that system reset places these devices and interfaces in an inactive state that does not interfere with the operation of software that runs in any conformant system. The software interface requirements of any such additional devices and interfaces must be made as widely available as this architecture specification.
  • Unrestricted Physical Implementation
  • Nothing in this specification should be construed to limit the implementation choices of the conforming system beyond the specific requirements stated herein. In particular, a computer system may conform to the Zeus System Architecture while employing any number of components, dissipate any amount of heat, require any special environmental facilities, or be of any physical size.
  • Common Elements
  • Notation
  • The descriptive notation used in this document is summarized in the table below:
    descriptive notation
    x + y two's complement addition of x and y. Result is the same size as
    the operands, and operands must be of equal size.
    x − y two's complement subtraction of y from x. Result is the same
    size as the operands, and operands must be of equal size.
    x * y two's complement multiplication of x and y. Result is the same
    size as the operands, and operands must be of equal size.
    x/y two's complement division of x by y. Result is the same size as
    the operands, and operands must be of equal size.
    x & y bitwise and of x and y. Result is same size as the operands, and
    operands must be of equal size.
    x|y bitwise or of x and y. Result is same size as the operands, and
    operands must be of equal size.
    x {circumflex over ( )} y bitwise exclusive-OR of x and y. Result is same size as the
    operands, and operands must be of equal size.
    ˜x bitwise inversion of x. Result is same size as the operand.
    x = y two's complement equality comparison between x and y. Result
    is a single bit, and operands must be of equal size.
    x ≠ y two's complement inequality comparison between x and y.
    Result is a single bit, and operands must be of equal size.
    x < y two's complement less than comparison between x and y. Result
    is a single bit, and operands must be of equal size.
    x ≧ y two's complement greater than or equal comparison between x
    and y. Result is a single bit, and operands must be of equal size.
    {square root over (x)} floating-point square root of x
    x||y concatenation of bit field x to left of bit field y
    xy binary digit x repeated, concatenated y times. Size of result is y.
    xy extraction of bit y (using little-endian bit numbering) from
    value x. Result is a single bit.
    xy..z extraction of bit field formed from bits y through z of value x.
    Size of result is y − z + 1; if z > y, result is an empty string,
    x?y:z value of y, if x is true, otherwise value of z. Value of x is a
    single bit.
    x
    Figure US20080059766A1-20080306-P00801
    y
    bitwise assignment of x to value of y
    Sn signed, two's complement, binary data format of n bytes
    Un unsigned binary data format of n bytes
    Fn floating-point data format of n bytes

    Bit Ordering
  • The ordering of bits in this document is always little-endian, regardless of the ordering of bytes within larger data structures. Thus, the least-significant bit of a data structure is always labeled 0 (zero), and the most-significant bit is labeled as the data structure size (in bits) minus one.
  • Memory
  • Zeus memory is an array of 264 bytes, without a specified byte ordering, which is physically distributed among various components.
    Figure US20080059766A1-20080306-C00001

    Byte
  • A byte is a single element of the memory array, consisting of 8 bits:
    Figure US20080059766A1-20080306-C00002

    Byte Ordering
  • Larger data structures are constructed from the concatenation of bytes in either little-endian or big-endian byte ordering. A memory access of a data structure of size s at address i is formed from memory bytes at addresses i through i+s−1. Unless otherwise specified, there is no specific requirement of alignment: it is not generally required that i be a multiple of s. Aligned accesses are preferred whenever possible, however, as they will often require one fewer processor or memory clock cycle than unaligned accesses.
  • With little-endian byte ordering, the bytes are arranged as:
    Figure US20080059766A1-20080306-C00003
  • With big-endian byte ordering, the bytes are arranged as:
    Figure US20080059766A1-20080306-C00004
  • Zeus memory is byte-addressed, using either little-endian or big-endian byte ordering. For consistency with the bit ordering, and for compatibility with x86 processors, Zeus uses little-endian byte ordering when an ordering must be selected. Zeus load and store instructions are available for both little-endian and big-endian byte ordering. The selection of byte ordering is dynamic, so that little-endian and big-endian processes, and even data structures within a process, can be intermixed on the processor.
  • Memory Read/Load Semantics
  • Zeus memory, including memory-mapped registers, must conform to the following requirements regarding side-effects of read or load operations:
  • A memory read must have no side-effects on the contents of the addressed memory nor on the contents of any other memory.
  • Memory Write/Store Semantics
  • Zeus memory, including memory-mapped registers, must conform to the following requirements regarding side-effects of read or load operations:
  • A memory write must affect the contents of the addressed memory so that a memory read of the addressed memory returns the value written, and so that a memory read of a portion of the addressed memory returns the appropriate portion of the value written.
  • A memory write may affect or cause side-effects on the contents of memory not addressed by the write operation, however, a second memory write of the same value to the same address must have no side-effects on any memory; memory write operations must be idempotent.
  • Zeus store instructions that are weakly ordered may have side-effects on the contents of memory not addressed by the store itself; subsequent load instructions which are also weakly ordered may or may not return values which reflect the side-effects.
  • Data
  • Zeus provides eight-byte (64-bit) virtual and physical address sizes, and eight-byte (64-bit) and sixteen-byte (128-bit) data path sizes, and uses fixed-length four-byte (32-bit) instructions. Arithmetic is performed on two's-complement or unsigned binary and ANSI/IEEE standard 754-1985 conforming binary floating-point number representations.
  • Fixed-Point Data
  • Bit
  • A bit is a primitive data element:
    Figure US20080059766A1-20080306-C00005

    Peck
  • A peck is the catenation of two bits:
    Figure US20080059766A1-20080306-C00006

    Nibble
  • A nibble is the catenation of four bits:
    Figure US20080059766A1-20080306-C00007

    Byte
  • A byte is the catenation of eight bits, and is a single element of the memory array:
    Figure US20080059766A1-20080306-C00008

    Doublet
  • A doublet is the catenation of 16 bits, and is the catenation of two bytes:
    Figure US20080059766A1-20080306-C00009

    Quadlet
  • A quadlet is the catenation of 32 bits, and is the catenation of four bytes:
    Figure US20080059766A1-20080306-C00010

    Octlet
  • A octlet is the catenation of 64 bits, and is the catenation of eight bytes:
    Figure US20080059766A1-20080306-C00011

    Hexlet
  • A hexlet is the catenation of 128 bits, and is the catenation of sixteen bytes:
    Figure US20080059766A1-20080306-C00012

    Triclet
  • A triclet is the catenation of 256 bits, and is the catenation of thirty-two bytes:
    Figure US20080059766A1-20080306-C00013

    Address
  • Zeus addresses, both virtual addresses and physical addresses, are octlet quantities.
  • Floating-Point Data
  • Zeus's floating-point formats are designed to satisfy ANSI/IEEE standard 754-1985: Binary Floating-point Arithmetic. Standard 754 leaves certain aspects to the discretion of implementers: additional precision formats, encoding of quiet and signaling NaN values, details of production and propagation of quiet NaN values. These aspects are detailed below.
  • Zeus adds additional half-precision and quad-precision formats to standard 754's single-precision and double-precision formats. Zeus's double-precision satisfies standard 754's precision requirements for a single-extended format, and Zeus's quad-precision satisfies standard 754's precision requirements for a double-extended format.
  • Each precision format employs fields labeled s (sign), e (exponent), and f (fraction) to encode values that are (1) NaN: quiet and signaling, (2) infinities: (−1)ˆs∞, (3) normalized numbers: (−1)ˆse-bias(1.f), (4) denormalized numbers: (−1)ˆs1-bias(0.f), and (5) zero: (−1)ˆs0.
  • Quiet NaN values are denoted by any sign bit value, an exponent field of all one bits, and a non-zero fraction with the most significant bit set. Quiet NaN values generated by default exception handling of standard operations have a zero sign bit, an exponent field of all one bits, a fraction field with the most significant bit set, and all other bits cleared.
  • Signaling NaN values are denoted by any sign bit value, an exponent field of all one bits, and a non-zero fraction with the most significant bit cleared.
  • Infinite values are denoted by any sign bit value, an exponent field of all one bits, and a zero fraction field.
  • Normalized number values are denoted by any sign bit value, an exponent field that is not all one bits or all zero bits, and any fraction field value. The numeric value encoded is (−1)ˆse-bias(1.f). The bias is equal the value resulting from setting all but the most significant bit of the exponent field, half: 15, single: 127, double: 1023, and quad: 16383.
  • Denormalized number values are denoted by any sign bit value, an exponent field that is all zero bits, and a non-zero fraction field value. The numeric value encoded is (−1)ˆs1-bias(0.f).
  • Zero values are denoted by any sign bit value, and exponent field that is all zero bits, and a fraction field that is all zero bits. The numeric value encoded is (−1)ˆs0. The distinction between +0 and −0 is significant in some operations.
  • Half-Precision Floating-Point
  • Zeus half precision uses a format similar to standard 754's requirements, reduced to a 16-bit overall format. The format contains sufficient precision and exponent range to hold a 12-bit signed integer.
    Figure US20080059766A1-20080306-C00014

    Single-Precision Floating-Point
  • Zeus single precision satisfies standard 754's requirements for “single.”
    Figure US20080059766A1-20080306-C00015

    Double-Precision Floating-Point
  • Zeus double precision satisfies standard 754's requirements for “double.”
    Figure US20080059766A1-20080306-C00016

    Quad-Precision Floating-Point
  • Zeus quad precision satisfies standard 754's requirements for “double extended,” but has additional fraction precision to use 128 bits.
    Figure US20080059766A1-20080306-C00017

    Zeus Processor
  • MicroUnity's Zeus processor provides the general-purpose, high-bandwidth computation capability of the Zeus system. Zeus includes high-bandwidth data paths, register files, and a memory hierarchy. Zeus's memory hierarchy includes on-chip instruction and data memories, instruction and data caches, a virtual memory facility, and interfaces to external devices. Zeus's interfaces in the initial implementation are solely the “Super Socket 7” bus, but other implementations may have different or additional interfaces.
  • Architectural Framework
  • The Zeus architecture defines a compatible framework for a family of implementations with a range of capabilities. The following implementation-defined parameters are used in the rest of the document in boldface. The value indicated is for MicroUnity's first Zeus implementation.
    Parameter Interpretation Value Range of legal values
    T number of execution threads 4 1 ≦ T ≦ 31
    CE log2 cache blocks in first-level 9 0 ≦ CE ≦ 31
    cache
    CS log2 cache blocks in first-level 2 0 ≦ CS ≦ 4
    cache set
    CT existence of dedicated tags in 1 0 ≦ CT ≦ 1
    first-level cache
    LE log2 entries in local TB 0 0 ≦ LE ≦ 3
    LB Local TB based on base 1 0 ≦ LB ≦ 1
    register
    GE log2 entries in global TB 7 0 ≦ GE ≦ 15
    GT log2 threads which share a 1 0 ≦ GT ≦ 3
    global TB

    Interfaces and Block Diagram
  • The first implementation of Zeus uses “socket 7” protocols and pinouts.
  • Instruction
  • Assembler Syntax
  • Instructions are specified to Zeus assemblers and other code tools (assemblers) in the syntax of an instruction mnemonic (operation code), then optionally white space (blanks or tabs) followed by a list of operands.
  • The instruction mnemonics listed in this specification are in upper case (capital) letters, assemblers accept either upper case or lower case letters in the instruction mnemonics. In this specification, instruction mnemonics contain periods (“.”) to separate elements to make them easier to understand; assemblers ignore periods within instruction mnemonics. The instruction mnemonics are designed to be parsed uniquely without the separating periods.
  • If the instruction produces a register result, this operand is listed first. Following this operand, if there are one or more source operands, is a separator which may be a comma(“,”), equal (“=”), or at-sign (“@”). The equal separates the result operand from the source operands, and may optionally be expressed as a comma in assembler code. The at-sign indicates that the result operand is also a source operand, and may optionally be expressed as a comma in assembler code. If the instruction specification has an equal-sign, an at-sign in assembler code indicates that the result operand should be repeated as the first source operand (for example, “A.ADD.I r4@5” is equivalent to “A.ADD.I r4=r4,5”). Commas always separate the remaining source operands.
  • The result and source operands are case-sensitive; upper case and lower case letters are distinct. Register operands are specified by the names r0 (or r00) through r63 (a lower case “r” immediately followed by a one or two digit number from 0 to 63), or by the special designations of “lp” for “r0,” “dp” for “r1,” “fp” for “r62,” and “sp” for “r63.” Integer-valued operands are specified by an optional sign (−) or (+) followed by a number, and assemblers generally accept a variety of integer-valued expressions.
  • Instruction Structure
  • A Zeus instruction is specifically defined as a four-byte structure with the little-endian ordering shown below. It is different from the quadlet defined above because the placement of instructions into memory must be independent of the byte ordering used for data structures. Instructions must be aligned on four-byte boundaries; in the diagram below, i must be a multiple of 4.
    Figure US20080059766A1-20080306-C00018

    Gateway
  • A Zeus gateway is specifically defined as an 8-byte structure with the little-endian ordering shown below. A gateway contains a code address used to securely invoke a system call or procedure at a higher privilege level. Gateways are marked by protection information specified in the TB. Gateways must be aligned on 8-byte boundaries; in the diagram below, i must be a multiple of 8.
    Figure US20080059766A1-20080306-C00019
  • The gateway contains two data items within its structure, a code address and a new privilege level:
    Figure US20080059766A1-20080306-C00020
  • The virtual memory system can be used to designate a region of memory as containing gateways. Other data may be placed within the gateway region, provided that if an attempt is made to use the additional data as a gateway, that security cannot be violated. For example, 64-bit data or stack pointers which are aligned to at least 4 bytes and are in little-endian byte order have pl=0, so that the privilege level cannot be raised by attempting to use the additional data as a gateway.
  • User State
  • The user state consists of hardware data structures that are accessible to all conventional compiled code. The Zeus user state is designed to be as regular as possible, and consists only of the general registers, the program counter, and virtual memory. There are no specialized registers for condition codes, operating modes, rounding modes, integer multiple/divide, or floating-point values.
  • General Registers
  • Zeus user state includes 64 general registers. All are identical; there is no dedicated zero-valued register, and there are no dedicated floating-point registers.
    Figure US20080059766A1-20080306-C00021
  • Some Zeus instructions have 64-bit register operands. These operands are sign-extended to 128 bits when written to the register file, and the low-order 64 bits are chosen when read from the register file.
  • Definition
    def val
    Figure US20080059766A1-20080306-P00801
    RegRead(rn, size)
      case size of
        64:
          val
    Figure US20080059766A1-20080306-P00801
    REG[rn]63..0
        128:
          val
    Figure US20080059766A1-20080306-P00801
    REG[rn]
      endcase
    enddef
    def RegWrite(rn, size, val)
      case size of
        64:
          REG[rn]
    Figure US20080059766A1-20080306-P00801
    val63 64 || val63..0
        128:
          REG[rn]
    Figure US20080059766A1-20080306-P00801
    val127..0
      endcase
    enddef

    Program Counter
  • The program counter contains the address of the currently executing instruction. This register is implicitly manipulated by branch instructions, and read by branch instructions that save a return address in a general register.
    Figure US20080059766A1-20080306-C00022

    Privilege Level
  • The privilege level register contains the privilege level of the currently executing instruction. This register is implicitly manipulated by branch gateway and branch down instructions, and read by branch gateway instructions that save a return address in a general register.
    Figure US20080059766A1-20080306-C00023

    Program Counter and Privilege Level
  • The program counter and privilege level may be packed into a single octlet. This combined data structure is saved by the Branch Gateway instruction and restored by the Branch Down instruction.
    Figure US20080059766A1-20080306-C00024

    System State
  • The system state consists of the facilities not normally used by conventional compiled code. These facilities provide mechanisms to execute such code in a fully virtual environment. All system state is memory mapped, so that it can be manipulated by compiled code.
  • Fixed-Point
  • Zeus provides load and store instructions to move data between memory and the registers, branch instructions to compare the contents of registers and to transfer control from one code address to another, and arithmetic operations to perform computation on the contents of registers, returning the result to registers.
  • Load and Store
  • The load and store instructions move data between memory and the registers. When loading data from memory into a register, values are zero-extended or sign-extended to fill the register. When storing data from a register into memory, values are truncated on the left to fit the specified memory region.
  • Load and store instructions that specify a memory region of more than one byte may use either little-endian or big-endian byte ordering: the size and ordering are explicitly specified in the instruction. Regions larger than one byte may be either aligned to addresses that are an even multiple of the size of the region or of unspecified alignment: alignment checking is also explicitly specified in the instruction.
  • Load and store instructions specify memory addresses as the sum of a base general register and the product of the size of the memory region and either an immediate value or another general register. Scaling maximizes the memory space which can be reached by immediate offsets from a single base general register, and assists in generating memory addresses within iterative loops. Alignment of the address can be reduced to checking the alignment of the first general register.
  • The load and store instructions are used for fixed-point data as well as floating-point and digital signal processing data; Zeus has a single bank of registers for all data types.
  • Swap instructions provide multithread and multiprocessor synchronization, using indivisible operations: add-swap, compare-swap, multiplex-swap, and double-compare-swap. A store-multiplex operation provides the ability to indivisibly write to a portion of an octlet. These instructions always operate on aligned octlet data, using either little-endian or big-endian byte ordering.
  • Branch
  • The fixed-point compare-and-branch instructions provide all arithmetic tests for equality and inequality of signed and unsigned fixed-point values. Tests are performed either between two operands contained in general registers, or on the bitwise and of two operands. Depending on the result of the compare, either a branch is taken, or not taken. A taken branch causes an immediate transfer of the program counter to the target of the branch, specified by a 12-bit signed offset from the location of the branch instruction. A non-taken branch causes no transfer; execution continues with the following instruction.
  • Other branch instructions provide for unconditional transfer of control to addresses too distant to be reached by a 12-bit offset, and to transfer to a target while placing the location following the branch into a register. The branch through gateway instruction provides a secure means to access code at a higher privilege level, in a form similar to a normal procedure call.
  • Addressing Operations
  • A subset of general fixed-point arithmetic operations is available as addressing operations. These include add, subtract, Boolean, and simple shift operations. These addressing operations may be performed at a point in the Zeus processor pipeline so that they may be completed prior to or in conjunction with the execution of load and store operations in a “superspring” pipeline in which other arithmetic operations are deferred until the completion of load and store operations.
  • Execution Operations
  • Many of the operations used for Digital Signal Processing (DSP), which are described in greater detail below, are also used for performing simple scalar operations. These operations perform arithmetic operations on values of 8-, 16-, 32-, 64-, or 128-bit sizes, which are right-aligned in registers. These execution operations include the add, subtract, boolean and simple shift operations which are also available as addressing operations, but further extend the available set to include three-operand add/subtract, three-operand boolean, dynamic shifts, and bit-field operations.
  • Floating-Point
  • Zeus provides all the facilities mandated and recommended by ANSI/IEEE standard 754-1985: Binary Floating-point Arithmetic, with the use of supporting software.
  • Branch Conditionally
  • The floating-point compare-and-branch instructions provide all the comparison types required and suggested by the IEEE floating-point standard. These floating-point comparisons augment the usual types of numeric value comparisons with special handling for NaN (not-a-number) values. A NaN value compares as “unordered” with respect to any other value, even that of an identical NaN value.
  • Zeus floating-point compare-branch instructions do not generate an exception on comparisons involving quiet or signaling NaN values. If such exceptions are desired, they can be obtained by combining the use of a floating-point compare-set instruction, with either a floating-point compare-branch instruction on the floating-point operands or a fixed-point compare-branch on the set result.
  • Because the less and greater relations are anti-commutative, one of each relation that differs from another only by the replacement of an L with a G in the code can be removed by reversing the order of the operands and using the other code. Thus, an L relation can be used in place of a G relation by swapping the operands to the compare-branch or compare-set instruction.
  • No instructions are provided that branch when the values are unordered. To accomplish such an operation, use the reverse condition to branch over an immediately following unconditional branch, or in the case of an if-then-else clause, reverse the clauses and use the reverse condition.
  • The E relation can be used to determine the unordered condition of a single operand by comparing the operand with itself.
  • The following floating-point compare-branch relations are provided as instructions:
    compare-branch relations
    Branch taken if
    Mnemonic values compare as: Exception if
    code C-like Unordered Greater Less Equal unordered invalid
    E == F F F T no no
    LG <> F T T F no no
    L < F F T F no no
    GE >= F T F T no no

    Compare-Set
  • The compare-set floating-point instructions provide all the comparison types supported as branch instructions. Zeus compare-set floating-point instructions may optionally generate an exception on comparisons involving quiet or signaling NaNs.
  • The following floating-point compare-set relations are provided as instructions:
    compare-set relations
    Mnemonic Result if values compare as: Exception if
    code C-like Unordered Greater Less Equal unordered invalid
    E == F F F T no no
    LG <> F T T F no no
    L < F F T F no no
    GE >= F T F T no no
    E.X == F F F T no yes
    LG.X <> F T T F no yes
    L.X < F F T F yes yes
    GE.X <= F T F T yes yes

    Arithmetic Operations
  • The basic operations supported in hardware are floating-point add, subtract, multiply, divide, square root and conversions among floating-point formats and between floating-point and binary integer formats.
  • Software libraries provide other operations required by the ANSI/IEEE floating-point standard.
  • The operations explicitly specify the precision of the operation, and round the result (or check that the result is exact) to the specified precision at the conclusion of each operation. Each of the basic operations splits operand registers into symbols of the specified precision and performs the same operation on corresponding symbols.
  • In addition to the basic operations, Zeus performs a variety of operations in which one or more products are summed to each other and/or to an additional operand. The instructions include a fused multiply-add (E.MUL.ADD.F), convolve (E.CON.F), matrix multiply (E.MUL.MAT.F), and scale-add (E.SCAL.ADD.F).
  • The results of these operations are computed as if the multiplies are performed to infinite precision, added as if in infinite precision, then rounded only once. Consequently, these operations perform these operations with no rounding of intermediate results that would have limited the accuracy of the result.
  • NaN Handling
  • ANSI/IEEE standard 754-1985 specifies that operations involving a signaling NaN or invalid operation shall, if no trap occurs and if a floating-point result is to be delivered, deliver a quiet NaN as its result. However, it fails to specify what quiet NaN value to deliver.
  • Zeus operations that produce a floating-point result and do not trap on invalid operations propagate signaling NaN values from operands to results, changing the signaling NaN values to quiet NaN values by setting the most significant fraction bit and leaving the remaining bits unchanged. Other causes of invalid operations produce the default quiet NaN value, where the sign bit is zero, the exponent field is all one bits, the most significant fraction bit is set and the remaining fraction bits are zero bits. For Zeus operations that produce multiple results catenated together, signaling NaN propagation or quiet NaN production is handled separately and independently for each result symbol.
  • ANSI/IEEE standard 754-1985 specifies that quiet NaN values should be propagated from operand to result by the basic operations. However, it fails to specify which of several quiet NaN values to propagate when more than one operand is a quiet NaN. In addition, the standard does not clearly specify how quiet NaN should be propagated for the multiple-operation instructions provided in Zeus. The standard does not specify the quiet NaN produced as a result of an operand being a signaling NaN when invalid operation exceptions are handled by default. The standard leaves unspecified how quiet and signaling NaN values are propagated though format conversions and the absolute-value, negate and copy operations. This section specifies these aspects left unspecified by the standard.
  • First of all, for Zeus operations that produce multiple results catenated together, quiet and signaling NaN propagation is handled separately and independently for each result symbol. A quiet or signaling NaN value in a single symbol of an operand causes only those result symbols that are dependent on that operand symbol's value to be propagated as that quiet NaN. Multiple quiet or signaling NaN values in symbols of an operand which influence separate symbols of the result are propagated independently of each other. Any signaling NaN that is propagated has the high-order fraction bit set to convert it to a quiet NaN.
  • For Zeus operations in which multiple symbols among operands upon which a result symbol is dependent are quiet or signaling NaNs, a priority Rule will determine which NaN is propagated. Priority shall be given to the operand that is specified by a register definition at a lower-numbered (little-endian) bit position within the instruction (rb has priority over rc, which has priority over rd). In the case of operands which are catenated from two registers, priority shall be assigned based on the register which has highest priority (lower-numbered bit position within the instruction). In the case of tie (as when the E.SCAL.ADD scaling operand has two corresponding NaN values, or when a E.MUL.CF operand has NaN values for both real and imaginary components of a value), the value which is located at a lower-numbered (little-endian) bit position within the operand is to receive priority. The identification of a NaN as quiet or signaling shall not confer any priority for selection—only the operand position, though a signaling NaN will cause an invalid operand exception.
  • The sign bit of NaN values propagated shall be complemented if the instruction subtracts or negates the corresponding operand or (but not and) multiplies it by or divides it by or divides it into an operand which has the sign bit set, even if that operand is another NaN. If a NaN is both subtracted and multiplied by a negative value, the sign bit shall be propagated unchanged.
  • For Zeus operations that convert between two floating-point formats (INFLATE and DEFLATE), NaN values are propagated by preserving the sign and the most-significant fraction bits, except that the most-significant bit of a signalling NaN is set and (for DEFLATE) the least-significant fraction bit preserved is combined, via a logical-or of all fraction bits not preserved. All additional fraction bits (for INFLATE) are set to zero.
  • For Zeus operations that convert from a floating-point format to a fixed-point format (SINK), NaN values produce zero values (maximum-likelihood estimate). Infinity values produce the largest representable positive or negative fixed-point value that fits in the destination field. When exception traps are enabled, NaN or Infinity values produce a floating-point exception. Underflows do not occur in the SINK operation, they produce −1, 0 or +1, depending on rounding controls.
  • For absolute-value, negate, or copy operations, NaN values are propagated with the sign bit cleared, complemented, or copied, respectively. Signalling NaN values cause the Invalid operation exception, propagating a quieted NaN in corresponding symbol locations (default) or an exception, as specified by the instruction.
  • Floating-Point Functions
  • Referring to FIG. 37, the following functions are defined for use within the detailed instruction definitions in the following section. In these functions an internal format represents infinite-precision floating-point values as a four-element structure consisting of (1) s (sign bit): 0 for positive, 1 for negative, (2) t (type): NORM, ZERO, SNAN, QNAN, INFINITY, (3) e (exponent), and (4) f: (fraction). The mathematical interpretation of a normal value places the binary point at the units of the fraction, adjusted by the exponent: (−1)ˆs*(2ˆe)*f. The function F converts a packed IEEE floating-point value into internal format. The function PackF converts an internal format back into IEEE floating-point format, with rounding and exception control.
  • Digital Signal Processing
  • The Zeus processor provides a set of operations that maintain the fullest possible use of 128-bit data paths when operating on lower-precision fixed-point or floating-point vector values. These operations are useful for several application areas, including digital signal processing, image processing and synthetic graphics. The basic goal of these operations is to accelerate the performance of algorithms that exhibit the following characteristics:
  • Low-Precision Arithmetic
  • The operands and intermediate results are fixed-point values represented in no greater than 64 bit precision. For floating-point arithmetic, operands and intermediate results are of 16, 32, or 64 bit precision.
  • The fixed-point arithmetic operations include add, subtract, multiply, divide, shifts, and set on compare.
  • The use of fixed-point arithmetic permits various forms of operation reordering that are not permitted in floating-point arithmetic. Specifically, commutativity and associativity, and distribution identities can be used to reorder operations. Compilers can evaluate operations to determine what intermediate precision is required to get the specified arithmetic result.
  • Zeus supports several levels of precision, as well as operations to convert between these different levels. These precision levels are always powers of two, and are explicitly specified in the operation code.
  • When specified, add, subtract, and shift operations may cause a fixed-point arithmetic exception to occur on resulting conditions such as signed or unsigned overflow. The fixed-point arithmetic exception may also be invoked upon a signed or unsigned comparison.
  • Sequential Access to Data
  • The algorithms are or can be expressed as operations on sequentially ordered items in memory. Scatter-gather memory access or sparse-matrix techniques are not required.
  • Where an index variable is used with a multiplier, such multipliers must be powers of two. When the index is of the form: nx+k, the value of n must be a power of two, and the values referenced should have k include the majority of values in the range 0 . . . n−1. A negative multiplier may also be used.
  • Vectorizable Operations
  • The operations performed on these sequentially ordered items are identical and independent. Conditional operations are either rewritten to use Boolean variables or masking, or the compiler is permitted to convert the code into such a form.
  • Data-Handling Operations
  • The characteristics of these algorithms include sequential access to data, which permit the use of the normal load and store operations to reference the data. Octlet and hexlet loads and stores reference several sequential items of data, the number depending on the operand precision.
  • The discussion of these operations is independent of byte ordering, though the ordering of bit fields within octlets and hexlets must be consistent with the ordering used for bytes. Specifically, if big-endian byte ordering is used for the loads and stores, the figures below should assume that index values increase from left to right, and for little-endian byte ordering, the index values increase from right to left. For this reason, the figures indicate different index values with different shades, rather than numbering.
  • When an index of the nx+k form is used in array operands, where n is a power of 2, data memory sequentially loaded contains elements useful for separate operands. The “shuffle” instruction divides a triclet of data up into two hexlets, with alternate bit fields of the source triclet grouped together into the two results. An immediate field, h, in the instruction specifies which of the two regrouped hexlets to select for the result. For example, two X.SHUFFLE.256 rd=rc,rb,32,128,h operations rearrange the source triclet (c,b) into two hexlets as in FIG. 54A.
  • In the shuffle operation, two hexlet registers specify the source triclet, and one of the two result hexlets are specified as hexlet register.
  • The example above directly applies to the case where n is 2. When n is larger, shuffle operations can be used to further subdivide the sequential stream. For example, when n is 4, we need to deal out 4 sets of doublet operands, as shown in FIG. 54B (An example of the use of a four-way deal is a digital signal processing application such as conversion of color to monochrome).
  • When an array result of computation is accessed with an index of the form nx+k, for n a power of 2, the reverse of the “deal” operation needs to be performed on vectors of results to interleave them for storage in sequential order. The “shuffle” operation interleaves the bit fields of two octlets of results into a single hexlet. For example a X. SHUFFLE.16 operation combines two octlets of doublet fields into a hexlet as shown in FIG. 54C.
  • For larger values of n, a series of shuffle operations can be used to combine additional sets of fields, similarly to the mechanism used for the deal operations. For example, when n is 4, we need to shuffle up 4 sets of doublet operands, as shown in FIG. 54D (An example of the use of a four-way shuffle is a digital signal processing application such as conversion of monochrome to color).
  • When the index of a source array operand or a destination array result is negated, or in other words, if of the form nx+k where n is negative, the elements of the array must be arranged in reverse order. The “swizzle” operation can reverse the order of the bit fields in a hexlet. For example, a X.SWIZZLE rd=rc,127,112 operation reverses the doublets within a hexlet as shown in FIG. 47C.
  • In some cases, it is desirable to use a group instruction in which one or more operands is a single value, not an array. The “swizzle” operation can also copy operands to multiple locations within a hexlet. For example, a X.SWIZZLE 15,0 operation copies the low-order 16 bits to each double within a hexlet.
  • Variations of the deal and shuffle operations are also useful for converting from one precision to another. This may be required if one operand is represented in a different precision than another operand or the result, or if computation must be performed with intermediate precision greater than that of the operands, such as when using an integer multiply.
  • When converting from a higher precision to a lower precision, specifically when halving the precision of a hexlet of bit fields, half of the data must be discarded, and the bit fields packed together. The “compress” operation is a variant of the “deal” operation, in which the operand is a hexlet, and the result is an octlet. An arbitrary half-sized sub-field of each bit field can be selected to appear in the result. For example, a selection of bits 19 . . . 4 of each quadlet in a hexlet is performed by the X.COMPRESS rd=rc,16,4 operation as shown in FIG. 43D.
  • When converting from lower-precision to higher-precision, specifically when doubling the precision of an octlet of bit fields, one of several techniques can be used, either multiply, expand, or shuffle. Each has certain useful properties. In the discussion below, m is the precision of the source operand.
  • The multiply operation, described in detail below, automatically doubles the precision of the result, so multiplication by a constant vector will simultaneously double the precision of the operand and multiply by a constant that can be represented in m bits.
  • An operand can be doubled in precision and shifted left with the “expand” operation, which is essentially the reverse of the “compress” operation. For example the X.EXPAND rd=rc,16,4 expands from 16 bits to 32, and shifts 4 bits left as shown in FIG. 54E.
  • The “shuffle” operation can double the precision of an operand and multiply it by 1 (unsigned only), 2m or 2m+1, by specifying the sources of the shuffle operation to be a zeroed register and the source operand, the source operand and zero, or both to be the source operand. When multiplying by 2m, a constant can be freely added to the source operand by specifying the constant as the right operand to the shuffle.
  • Arithmetic Operations
  • The characteristics of the algorithms that affect the arithmetic operations most directly are low-precision arithmetic, and vectorizable operations. The fixed-point arithmetic operations provided are most of the functions provided in the standard integer unit, except for those that check conditions. These functions include add, subtract, bitwise Boolean operations, shift, set on condition, and multiply, in forms that take packed sets of bit fields of a specified size as operands. The floating-point arithmetic operations provided are as complete as the scalar floating-point arithmetic set. The result is generally a packed set of bit fields of the same size as the operands, except that the fixed-point multiply function intrinsically doubles the precision of the bit field.
  • Conditional operations are provided only in the sense that the set on condition operations can be used to construct bit masks that can select between alternate vector expressions, using the bitwise Boolean operations. All instructions operate over the entire octlet or hexlet operands, and produce a hexlet result. The sizes of the bit fields supported are always powers of two.
  • Galois Field Operations
  • Zeus provides a general software solution to the most common operations required for Galois Field arithmetic. The instructions provided include a polynomial multiply, with the polynomial specified as one register operand. This instruction can be used to perform CRC generation and checking, Reed-Solomon code generation and checking, and spread-spectrum encoding and decoding.
  • Software Conventions
  • The following section describes software conventions that are to be employed at software module boundaries, in order to permit the combination of separately compiled code and to provide standard interfaces between application, library and system software. Register usage and procedure call conventions may be modified, simplified or optimized when a single compilation encloses procedures within a compilation unit so that the procedures have no external interfaces. For example, internal procedures may permit a greater number of register-passed parameters, or have registers allocated to avoid the need to save registers at procedure boundaries, or may use a single stack or data pointer allocation to suffice for more than one level of procedure call.
  • Register Usage
  • All Zeus registers are identical and general-purpose; there is no dedicated zero-valued register, and no dedicated floating-point registers. However, some procedure-call-oriented instructions imply usage of registers zero (0) and one (1) in a manner consistent with the conventions described below. By software convention, the non-specific general registers are used in more specific ways.
    register usage
    register assembler
    number names usage how saved
     0 lp, r0 link pointer caller
     1 dp, r1 data pointer caller
    2-9 r2-r9 parameters caller
    10-31 r10-r31 temporary caller
    32-61 r32-r61 saved callee
    62 fp, r62 frame pointer callee
    63 sp, r63 stack pointer callee
  • At a procedure call boundary, registers are saved either by the caller or callee procedure, which provides a mechanism for leaf procedures to avoid needing to save registers. Compilers may choose to allocate variables into caller or callee saved registers depending on how their lifetimes overlap with procedure calls.
  • Procedure Calling Conventions
  • Procedure parameters are normally allocated in registers, starting from register 2 up to register 9. These registers hold up to 8 parameters, which may each be of any size from one byte to sixteen bytes (hexlet), including floating-point and small structure parameters. Additional parameters are passed in memory, allocated on the stack. For C procedures which use varargs.h or stdarg.h and pass parameters to further procedures, the compilers must leave room in the stack memory allocation to save registers 2 through 9 into memory contiguously with the additional stack memory parameters, so that procedures such as_doprnt can refer to the parameters as an array.
  • Procedure return values are also allocated in registers, starting from register 2 up to register 9. Larger values are passed in memory, allocated on the stack.
  • There are several pointers maintained in registers for the procedure calling conventions: lp, sp, dp, fp.
  • The lp register contains the address to which the callee should return to at the conclusion of the procedure. If the procedure is also a caller, the lp register will need to be saved on the stack, once, before any procedure call, and restored, once, after all procedure calls. The procedure returns with a branch instruction, specifying the lp register.
  • The sp register is used to form addresses to save parameter and other registers, maintain local variables, i.e., data that is allocated as a LIFO stack. For procedures that require a stack, normally a single allocation is performed, which allocates space for input parameters, local variables, saved registers, and output parameters all at once. The sp register is always hexlet aligned.
  • The dp register is used to address pointers, literals and static variables for the procedure. The dp register points to a small (approximately 4096-entry) array of pointers, literals, and statically-allocated variables, which is used locally to the procedure. The uses of the dp register are similar to the use of the gp register on a Mips R-series processor, except that each procedure may have a different value, which expands the space addressable by small offsets from this pointer. This is an important distinction, as the offset field of Zeus load and store instructions are only 12 bits. The compiler may use additional registers and/or indirect pointers to address larger regions for a single procedure. The compiler may also share a single dp register value between procedures which are compiled as a single unit (including procedures which are externally callable), eliminating the need to save, modify and restore the dp register for calls between procedures which share the same dp register value.
  • Load- and store-immediate-aligned instructions, specifying the dp register as the base register, are generally used to obtain values from the dp region. These instructions shift the immediate value by the logarithm of the size of the operand, so loads and stores of large operands may reach farther from the dp register than of small operands. Referring to FIG. 54F, the size of the addressable region is maximized if the elements to be placed in the dp region are sorted according to size, with the smallest elements placed closest to the dp base. At points where the size changes, appropriate padding is added to keep elements aligned to memory boundaries matching the size of the elements. Using this technique, the maximum size of the dp region is always at least 4096 items, and may be larger when the dp area is composed of a mixture of data sizes.
  • The dp register mechanism also permits code to be shared, with each static instance of the dp region assigned to a different address in memory. In conjunction with position-independent or pc-relative branches, this allows library code to be dynamically relocated and shared between processes.
  • To implement an inter-module (separately compiled) procedure call, the lp register is loaded with the entry point of the procedure, and the dp register is loaded with the value of the dp register required for the procedure. These two values are located adjacent to each other as a pair of octlet quantities in the dp region for the calling procedure. For a statically-linked inter-module procedure call, the linker fills in the values at link time. However, this mechanism also provides for dynamic linking, by initially filling in the lp and dp fields in the data structure to invoke the dynamic linker. The dynamic linker can use the contents of the lp and/or dp registers to determine the identity of the caller and callee, to find the location to fill in the pointers and resume execution. Specifically, the lp value is initially set to point to an entry point in the dynamic linker, and the dp value is set to point to itself: the location of the lp and dp values in the dp region of the calling procedure. The identity of the procedure can be discovered from a string following the dp pointer, or a separate table, indexed by the dp pointer.
  • The fp register is used to address the stack frame when the stack size varies during execution of a procedure, such as when using the GNU C alloca function. When the stack size can be determined at compile time, the sp register is used to address the stack frame and the fp register may be used for any other general purpose as a callee-saved register.
  • Typical Static-Linked, Intra-Module Calling Sequence:
    caller (non-leaf):
    caller: A.ADDI sp@-size // allocate caller stack frame
    S.I.64.A lp,sp,off // save original lp register
    ... (callee using same dp as caller)
    B.LINK.I callee
    ...
    ... (callee using same dp as caller)
    B.LINK.I callee
    ...
    L.I.64.A lp=sp,off // restore original lp register
    A.ADDI sp@size // deallocate caller stack frame
    B lp // return
    callee (leaf):
    calLee: ... (code using dp)
    B lp // return
  • Procedures that are compiled together may share a common data region, in which case there is no need to save, load, and restore the dp region in the callee, assuming that the callee does not modify the dp register. The pc-relative addressing of the B.LINK.I instruction permits the code region to be position-independent.
  • Minimum Static-Linked, Intra-Module Calling Sequence:
    caller (non-leaf):
    caller: A.COPY r31=lp // save original lp register
    ... (callee using same dp as caller)
    B.LINK.I callee
    ...
    ... (callee using same dp as caller)
    B.LINK.I callee
    ...
    B r31 // return
    callee (leaf):
    callee: ... (code using dp, r31 unused)
    B lp // return
  • When all the callee procedures are intra-module, the stack frame may also be eliminated from the caller procedure by using “temporary” caller save registers not utilized by the callee leaf procedures. In addition to the lp value indicated above, this usage may include other values and variables that live in the caller procedure across callee procedure calls.
  • Typical Dynamic-Linked, Inter-Module Calling Sequence:
    caller (non-leaf):
    caller: A.ADDI sp@-size // allocate caller stack frame
    S.I.64.A lp,sp,off // save original lp register
    S.I.64.A dp,sp,off // save original dp register
    ... (code using dp)
    L.I.64.A lp=dp.off // load lp
    L.I.64.A dp=dp,off // load dp
    B.LINK lp=lp // invoke callee procedure
    L.I.64.A dp=sp,off // restore dp register from stack
    ... (code using dp)
    L.I.64.A lp=sp,off // restore original lp register
    A.ADDI sp=size // deallocate caller stack frame
    B lp // return
    callee (leaf):
    callee: ... (code using dp)
    B lp // return
  • The load instruction is required in the caller following the procedure call to restore the dp register. A second load instruction also restores the lp register, which may be located at any point between the last procedure call and the branch instruction which returns from the procedure.
  • System and Privileged Library Calls
  • It is an objective to make calls to system facilities and privileged libraries as similar as possible to normal procedure calls as described above. Rather than invoke system calls as an exception, which involves significant latency and complication, we prefer to use a modified procedure call in which the process privilege level is quietly raised to the required level. To provide this mechanism safely, interaction with the virtual memory system is required.
  • Such a procedure must not be entered from anywhere other than its legitimate entry point, to prohibit entering a procedure after the point at which security checks are performed or with invalid register contents, otherwise the access to a higher privilege level can lead to a security violation. In addition, the procedure generally must have access to memory data, for which addresses must be produced by the privileged code. To facilitate generating these addresses, the branch-gateway instruction allows the privileged code procedure to rely the fact that a single register has been verified to contain a pointer to a valid memory region.
  • The branch-gateway instruction ensures both that the procedure is invoked at a proper entry point, and that other registers such as the data pointer and stack pointer can be properly set. To ensure this, the branch-gateway instruction retrieves a “gateway” directly from the protected virtual memory space. The gateway contains the virtual address of the entry point of the procedure and the target privilege level. A gateway can only exist in regions of the virtual address space designated to contain them, and can only be used to access privilege levels at or below the privilege level at which the memory region can be written to ensure that a gateway cannot be forged.
  • The branch-gateway instruction ensures that register 1 (dp) contains a valid pointer to the gateway for this target code address by comparing the contents of register 0 (lp) against the gateway retrieved from memory and causing an exception trap if they do not match. By ensuring that register 1 points to the gateway, auxiliary information, such as the data pointer and stack pointer can be set by loading values located by the contents of register 1. For example, the eight bytes following the gateway may be used as a pointer to a data region for the procedure.
  • Referring to FIG. 54G, before executing the branch-gateway instruction, register 1 must be set to point at the gateway, and register 0 must be set to the address of the target code address plus the desired privilege level. A “L.I.64.L.A r0=r1,0” instruction is one way to set register 0, if register 1 has already been set, but any means of getting the correct value into register 0 is permissible.
  • Similarly, a return from a system or privileged routine involves a reduction of privilege. This need not be carefully controlled by architectural facilities, so a procedure may freely branch to a less-privileged code address. Normally, such a procedure restores the stack frame, then uses the branch-down instruction to return.
  • Typical Dynamic-Linked, Inter-Gateway Calling Sequence:
    caller:
    caller: A.ADDI sp@-size // allocate caller stack frame
    S.I.64.A lp,sp,off
    S.I.64.A dp,sp,off
    ...
    L.I.64.A lp=dp.off // load lp
    L.I.64.A dp=dp,off // load dp
    B.GATE
    L.I.64.A dp,sp,off
    ... (code using dp)
    L.I.64.A lp=sp,off // restore original lp register
    A.ADDI sp=size // deallocate caller stack frame
    B lp // return
    callee (non-leaf):
    calee: L.I.64.A dp=dp,off // load dp with data pointer
    S.I.64.A sp,dp,off
    L.I.64.A sp=dp,off // new stack pointer
    S.I.64.A lp,sp,off
    S.I.64.A dp,sp,off
    ... (using dp)
    L.I.64.A dp,sp,off
    ... (code using dp)
    L.I.64.A lp=sp,off // restore original lp register
    L.I.64.A sp=sp,off // restore original sp register
    B.DOWN lp
    callee (leaf, no stack):
    callee: ... (using dp)
    B.DOWN lp
  • It can be observed that the calling sequence is identical to that of the inter-module calling sequence shown above, except for the use of the B.GATE instruction instead of a B.LINK instruction. Indeed, if a B.GATE instruction is used when the privilege level in the lp register is not higher than the current privilege level, the B.GATE instruction performs an identical function to a B.LINK.
  • The callee, if it uses a stack for local variable allocation, cannot necessarily trust the value of the sp passed to it, as it can be forged. Similarly, any pointers which the callee provides should not be used directly unless it they are verified to point to regions which the callee should be permitted to address. This can be avoided by defining application programming interfaces (APIs) in which all values are passed and returned in registers, or by using a trusted, intermediate privilege wrapper routine to pass and return parameters. The method described below can also be used.
  • It can be useful to have highly privileged code call less-privileged routines. For example, a user may request that errors in a privileged routine be reported by invoking a user-supplied error-logging routine. To invoke the procedure, the privilege can be reduced via the branch-down instruction. The return from the procedure actually requires an increase in privilege, which must be carefully controlled. This is dealt with by placing the procedure call within a lower-privilege procedure wrapper, which uses the branch-gateway instruction to return to the higher privilege region after the call through a secure re-entry point. Special care must be taken to ensure that the less-privileged routine is not permitted to gain unauthorized access by corruption of the stack or saved registers, such as by saving all registers and setting up a new stack frame (or restoring the original lower-privilege stack) that may be manipulated by the less-privileged routine. Finally, such a technique is vulnerable to an unprivileged routine attempting to use the re-entry point directly, so it may be appropriate to keep a privileged state variable which controls permission to enter at the re-entry point.
  • Referring first to FIG. 1, a general purpose processor is illustrated therein in block diagram form. In FIG. 1, four copies of an access unit are shown, each with an access instruction fetch queue A-Queue 101-104. Each access instruction fetch queue A-Queue 101104 is coupled to an access register file AR 105-108, which are each coupled to two access functional units A 109-116. In a typical embodiment, each thread of the processor may have on the order of sixty-four general purpose registers (e.g., the AR's 105-108 and ER's 125-128). The access units function independently for four simultaneous threads of execution, and each compute program control flow by performing arithmetic and branch instructions and access memory by performing load and store instructions. These access units also provide wide operand specifiers for wide operand instructions. These eight access functional units A 109-116 produce results for access register files AR 105-108 and memory addresses to a shared memory system 117-120.
  • In one embodiment, the memory hierarchy includes on-chip instruction and data memories, instruction and data caches, a virtual memory facility, and interfaces to external devices. In FIG. 1, the memory system is comprised of a combined cache and niche memory 117, an external bus interface 118, and, externally to the device, a secondary cache 119 and main memory system with I/O devices 120. The memory contents fetched from memory system 117-120 are combined with execute instructions not performed by the access unit, and entered into the four execute instruction queues E-Queue 121-124. In accordance with one embodiment of the present invention, from the software perspective, the machine state includes a linear byte-addressed shared memory space. For wide instructions, memory contents fetched from memory system 117-120 are also provided to wide operand microcaches 132-136 by bus 137. Instructions and memory data from E-queue 121-124 are presented to execution register files 125-128, which fetch execution register file source operands. The instructions are coupled to the execution unit arbitration unit Arbitration 131, that selects which instructions from the four threads are to be routed to the available execution functional units E 141 and 149, X 142 and 148, G 143-144 and 146-147, and T 145. The execution functional units E 141 and 149, the execution functional units X 142 and 148, and the execution functional unit T 145 each contain a wide operand microcache 132-136, which are each coupled to the memory system 117 by bus 137.
  • The execution functional units G 143-144 and 146-147 are group arithmetic and logical units that perform simple arithmetic and logical instructions, including group operations wherein the source and result operands represent a group of values of a specified symbol size, which are partitioned and operated on separately, with results catenated together. In a presently preferred embodiment the data path is 128 bits wide, although the present invention is not intended to be limited to any specific size of data path.
  • The execution functional units X 142 and 148 are crossbar switch units that perform crossbar switch instructions. The crossbar switch units 142 and 148 perform data handling operations on the data stream provided over the data path source operand buses 151-158, including deal, shuffles, shifts, expands, compresses, swizzles, permutes and reverses, plus the wide operations discussed hereinafter. In a key element of a first aspect of the invention, at least one such operation will be expanded to a width greater than the general register and data path width. Examples of the data manipulation operations are described in another section.
  • The execution functional units E 141 and 149 are ensemble units that perform ensemble instructions using a large array multiplier, including group or vector multiply and matrix multiply of operands partitioned from data path source operand buses 151-158 and treated as integer, floating-point, polynomial or Galois field values. According to the present embodiment of the invention, a general software solution is provided to the most common operations required for Galois Field arithmetic. The instructions provided include a polynomial multiply, with the polynomial specified as one register operand. This instruction can be used to perform CRC generation and checking, Reed-Solomon code generation and checking, and spread-spectrum encoding and decoding. Also, matrix multiply instructions and other operations described in another section utilize a wide operand loaded into the wide operand microcache 132 and 136.
  • The execution functional unit T 145 is a translate unit that performs table-look-up operations on a group of operands partitioned from a register operand, and catenates the result. The Wide Translate instruction included in another section utilizes a wide operand loaded into the wide operand microcache 134.
  • The execution functional units E 141, 149, execution functional units X-142, 148, and execution functional unit T each contain dedicated storage to permit storage of source operands including wide operands as discussed hereinafter. The dedicated storage 132-136, which may be thought of as a wide microcache, typically has a width which is a multiple of the width of the data path operands related to the data path source operand buses 151-158. Thus, if the width of the data path 151-158 is 128 bits, the dedicated storage 132-136 may have a width of 256, 512, 1024 or 2048 bits. Operands which utilize the full width of the dedicated storage are referred to herein as wide operands, although it is not necessary in all instances that a wide operand use the entirety of the width of the dedicated storage; it is sufficient that the wide operand use a portion greater than the width of the memory data path of the output of the memory system 117-120 and the functional unit data path of the input of the execution functional units 141-149, though not necessarily greater than the width of the two combined. Because the width of the dedicated storage 132-136 is greater than the width of the memory operand bus 137, portions of wide operands are loaded sequentially into the dedicated storage 132-136. However, once loaded, the wide operands may then be used at substantially the same time. It can be seen that functional units 141-149 and associated execution registers 125-128 form a data functional unit, the exact elements of which may vary with implementation.
  • The execution register file ER 125-128 source operands are coupled to the execution units 141-145 using source operand buses 151-154 and to the execution units 145-149 using source operand buses 155-158. The function unit result operands from execution units 141145 are coupled to the execution register file ER 125-128 using result bus 161 and the function units result operands from execution units 145-149 are coupled to the execution register file using result bus 162.
  • The wide operands used in some embodiments of the present invention provide the ability to execute complex instructions such as the wide multiply matrix instruction shown in FIG. 2, which can be appreciated in an alternative form, as well, from FIG. 3. As can be appreciated from FIGS. 2 and 3, a wide operand permits, for example, the matrix multiplication of various sizes and shapes which exceed the data path width. The example of FIG. 2 involves a matrix specified by register rc having a 128*64/size multiplied by a vector contained in register rb having a 128 size, to yield a result, placed in register rd, of 128 bits.
  • The operands that are substantially larger than the data path width of the processor are provided by using a general-purpose register to specify a memory specifier from which more than one but in some embodiments several data path widths of data can be read into the dedicated storage. The memory specifier typically includes the memory address together with the size and shape of the matrix of data being operated on. The memory specifier or wide operand specifier can be better appreciated from FIG. 5, in which a specifier 500 is seen to be an address, plus a field representative of the size/2 and a further field representative of width/2, where size is the product of the depth and width of the data. The address is aligned to a specified size, for example sixty-four bytes, so that a plurality of low order bits (for example, six bits) are zero. The specifier 500 can thus be seen to comprise a first field 505 for the address, plus two field indicia 510 within the low order six bits to indicate size and width.
  • The decoding of the specifier 500 may be further appreciated from FIG. 6 where, for a given specifier 600 made up of an address field 605 together with a field 610 comprising plurality of low order bits. By a series of arithmetic operations shown at steps 615 and 620, the portion of the field 610 representative of width/2 is developed. In a similar series of steps shown at 625 and 630, the value of t is decoded, which can then be used to decode both size and address. The portion of the field 610 representative of size/2 is decoded as shown at steps 635 and 640, while the address is decoded in a similar way at steps 645 and 650.
  • The wide function unit may be better appreciated from FIG. 7, in which a register number 700 is provided to an operand checker 705. Wide operand, specifier 710 communicates with the operand checker 705 and also addresses memory 715 having a defined memory width. The memory address includes a plurality of register operands 720A-n, which are accumulated in a dedicated storage portion 714 of a data functional unit 725. In the exemplary embodiment shown in FIG. 7, the dedicated storage 714 can be seen to have a width equal to eight data path widths, such that eight wide operand portions 730A-H are sequentially loaded into the dedicated storage to form the wide operand. Although eight portions are shown in FIG. 7, the present invention is not limited to eight or any other specific multiple of data path widths. Once the wide operand portions 730A-H are sequentially loaded, they may be used as a single wide operand 735 by the functional element 740, which may be any element(s) from FIG. 1 connected thereto. The result of the wide operand is then provided. to a result register 745, which in a presently preferred embodiment is of the same width as the memory width.
  • Once the wide operand is successfully loaded into the dedicated storage 714, a second aspect of the present invention may be appreciated. Further execution of this instruction or other similar instructions that specify the same memory address can read the dedicated storage to obtain the operand value under specific conditions that determine whether the memory operand has been altered by intervening instructions. Assuming that these conditions are met, the memory operand fetch from the dedicated storage is combined with one or more register operands in the functional unit, producing a result. In some embodiments, the size of the result is limited to that of a general register, so that no similar dedicated storage is required for the result. However, in some different embodiments, the result may be a wide operand, to further enhance performance.
  • To permit the wide operand value to be addressed by subsequent instructions specifying the same memory address, various conditions must be checked and confirmed:
  • Those conditions include:
  • 1. Each memory store instruction checks the memory address against the memory addresses recorded for the dedicated storage. Any match causes the storage to be marked invalid, since a memory store instruction directed to any of the memory addresses stored in dedicated storage 714 means that data has been overwritten.
  • 2. The register number used to address the storage is recorded. If no intervening instructions have written to the register, and the same register is used on the subsequent instruction, the storage is valid (unless marked invalid by rule #1).
  • 3. If the register has been modified or a different register number is used, the value of the register is read and compared against the address recorded for the dedicated storage. This uses more resources than #1 because of the need to fetch the register contents and because the width of the register is greater than that of the register number itself. If the address matches, the storage is valid. The new register number is recorded for the dedicated storage.
  • If conditions #2 or #3 are not met, the register contents are used to address the general-purpose processor's memory and load the dedicated storage. If dedicated storage is already fully loaded, a portion of the dedicated storage must be discarded (victimized) to make room for the new value. The instruction is then performed using the newly updated dedicated storage. The address and register number is recorded for the dedicated storage.
  • By checking the above conditions, the need for saving and restoring the dedicated storage is eliminated. In addition, if the context of the processor is changed and the new context does not employ Wide instructions that reference the same dedicated storage, when the original context is restored, the contents of the dedicated storage are allowed to be used without refreshing the value from memory, using checking rule #3. Because the values in the dedicated storage are read from memory and not modified directly by performing wide operations, the values can be discarded at any time without saving the results into general memory. This property simplifies the implementation of rule #4 above.
  • An alternate embodiment of the present invention can replace rule #1 above with the following rule:
  • 1a. Each memory store instruction checks the memory address against the memory addresses recorded for the dedicated storage. Any match causes the dedicated storage to be updated, as well as the general memory.
  • By use of the above rule 1.a, memory store instructions can modify the dedicated storage, updating just the piece of the dedicated storage that has been changed, leaving the remainder intact. By continuing to update the general memory, it is still true that the contents of the dedicated memory can be discarded at any time without saving the results into general memory. Thus rule #4 is not made more complicated by this choice. The advantage of this alternate embodiment is that the dedicated storage need not be discarded (invalidated) by memory store operations.
  • Referring next to FIG. 9, an exemplary arrangement of the data structures of the wide microcache or dedicated storage 114 may be better appreciated. The wide microcache contents, wmc.c, can be seen to form a plurality of data path widths 900A-n, although in the example shown the number is eight. The physical address, wmc.pa, is shown as 64 bits in the example shown, although the invention is not limited to a specific width. The size of the contents, wmc.size, is also provided in a field which is shown as 10 bits in an exemplary embodiment. A “contents valid” flag, wmc.ev, of one bit is also included in the data structure, together with a two bit field for thread last used, or wmc.th. In addition, a six bit field for register last used, wmc.reg, is provided in an exemplary embodiment. Further, a one bit flag for register and thread valid, or wmc.rtv, may be provided.
  • The process by which the microcache is initially written with a wide operand, and thereafter verified as valid for fast subsequent operations, may be better appreciated from FIG. 8. The process begins at 800, and progresses to step 805 where a check of the register contents is made against the stored value wmc.rc. If true, a check is made at step 810 to verify the thread. If true, the process then advances to step 815 to verify whether the register and thread are valid. If step 815 reports as true, a check is made at step 820 to verify whether the contents are valid. If all of steps 805 through 820 return as true, the subsequent instruction is able to utilize the existing wide operand as shown at step 825, after which the process ends. However, if any of steps 805 through 820 return as false, the process branches to step 830, where content, physical address and size are set. Because steps 805 through 820 all lead to either step 825 or 830, steps 805 through 820 may be performed in any order or simultaneously without altering the process. The process then advances to step 835 where size is checked. This check basically ensures that the size of the translation unit is greater than or equal to the size of the wide operand, so that a physical address can directly replace the use of a virtual address. The concern is that, in some embodiments, the wide operands may be larger than the minimum region that the virtual memory system is capable of mapping. As a result, it would be possible for a single contiguous virtual address range to be mapped into multiple, disjoint physical address ranges, complicating the task of comparing physical addresses. By determining the size of the wide operand and comparing that size against the size of the virtual address mapping region which is referenced, the instruction is aborted with an exception trap if the wide operand is larger than the mapping region. This ensures secure operation of the processor. Software can then re-map the region using a larger size map to continue execution if desired. Thus, if size is reported as unacceptable at step 835, an exception is generated at step 840. If size is acceptable, the process advances to step 845 where physical address is checked. If the check reports as met, the process advances to step 850, where a check of the contents valid flag is made. If either check at step 845 or 850 reports as false, the process branches and new content is written into the dedicated storage 114, with the fields thereof being set accordingly. Whether the check at step 850 reported true, or whether new content was written at step 855, the process advances to step 860 where appropriate fields are set to indicate the validity of the data, after which the requested function can be performed at step 825. The process then ends.
  • Referring next to FIGS. 10 and 11, which together show the operation of the microcache controller from a hardware standpoint, the operation of the microcache controller may be better understood. In the hardware implementation, it is clear that conditions which are indicated as sequential steps in FIGS. 8 and 9 above can be performed in parallel, reducing the delay for such wide operand checking. Further, a copy of the indicated hardware may be included for each wide microcache, and thereby all such microcaches as may be alternatively referenced by an instruction can be tested in parallel. It is believed that no further discussion of FIGS. 10 and 11 is required in view of the extensive discussion of FIGS. 8 and 9, above.
  • Various alternatives to the foregoing approach do exist for the use of wide operands, including an implementation in which a single instruction can accept two wide operands, partition the operands into symbols, multiply corresponding symbols together, and add the products to produce a single scalar value or a vector of partitioned values of width of the register file, possibly after extraction of a portion of the sums. Such an instruction can be valuable for detection of motion or estimation of motion in video compression. A further enhancement of such an instruction can incrementally update the dedicated storage if the address of one wide operand is within the range of previously specified wide operands in the dedicated storage, by loading only the portion not already within the range and shifting the in-range portion as required. Such an enhancement allows the operation to be performed over a “sliding window” of possible values. In such an instruction, one wide operand is aligned and supplies the size and shape information, while the second wide operand, updated incrementally, is not aligned.
  • Another alternative embodiment of the present invention can define additional instructions where the result operand is a wide operand. Such an enhancement removes the limit that a result can be no larger than the size of a general register, further enhancing performance. These wide results can be cached locally to the functional unit that created them, but must be copied to the general memory system before the storage can be reused and before the virtual memory system alters the mapping of the address of the wide result. Data paths must be added so that load operations and other wide operations can read these wide results—forwarding of a wide result from the output of a functional unit back to its input is relatively easy, but additional data paths may have to be introduced if it is desired to forward wide results back to other functional units as wide operands.
  • As previously discussed, a specification of the size and shape of the memory operand is included in the low-order bits of the address. In a presently preferred implementation, such memory operands are typically a power of two in size and aligned to that size. Generally, one-half the total size is added (or inclusively or'ed, or exclusively or'ed) to the memory address, and one half of the data width is added (or inclusively or'ed, or exclusively or'ed) to the memory address. These bits can be decoded and stripped from the memory address, so that the controller is made to step through all the required addresses. This decreases the number of distinct operands required for these instructions, as the size, shape and address of the memory operand are combined into a single register operand value.
  • Particular examples of wide operations which are defined by the present invention include the Wide Switch instruction that performs bit-level switching; the Wide Translate instruction which performs byte (or larger) table-lookup; Wide Multiply Matrix, Wide Multiply Matrix Extract and Wide Multiply Matrix Extract Immediate (discussed below), Wide Multiply Matrix Floating-point, and Wide Multiply Matrix Galois (also discussed below). While the discussion below focuses on particular sizes for the exemplary instructions, it will be appreciated that the invention is not limited to a particular width.
  • The Wide Switch instruction rearranges the contents of up to two registers (256 bits) at the bit level, producing a full-width (128 bits) register result. To control the rearrangement, a wide operand specified by a single register, consisting of eight bits per bit position is used. For each result bit position, eight wide operand bits for each bit position select which of the 256 possible source register bits to place in the result. When a wide operand size smaller than 128 bytes, the high order bits of the memory operand are replaced with values corresponding to the result bit position, so that the memory operand specifies a bit selection within symbols of the operand size, performing the same operation on each symbol.
  • The Wide Translate instructions use a wide operand to specify a table of depth up to 256 entries and width of up to 128 bits. The contents of a register is partitioned into operands of one, two, four, or eight bytes, and the partitions are used to select values from the table in parallel. The depth and width of the table can be selected by specifying the size and shape of the wide operand as described above.
  • The Wide Multiply Matrix instructions use a wide operand to specify a matrix of values of width up to 64 bits (one half of register file and data path width) and depth of up to 128 bits/symbol size. The contents of a general register (128 bits) is used as a source operand, partitioned into a vector of symbols, and multiplied with the matrix, producing a vector of width up to 128 bits of symbols of twice the size of the source operand symbols. The width and depth of the matrix can be selected by specifying the size and shape of the wide operand as described above. Controls within the instruction allow specification of signed, mixed-signed, unsigned, complex, or polynomial operands.
  • The Wide Multiply Matrix Extract instructions use a wide operand to specify a matrix of value of width up to 128 bits (full width of register file and data path) and depth of up to 128 bits/symbol size. The contents of a general register (128 bits) is used as a source operand, partitioned into a vector of symbols, and multiplied with the matrix, producing a vector of width up to 256 bits of symbols of twice the size of the source operand symbols plus additional bits to represent the sums of products without overflow. The results are then extracted in a manner described below (Enhanced Multiply Bandwidth by Result Extraction), as controlled by the contents of a general register specified by the instruction. The general register also specifies the format of the operands: signed, mixed-signed, unsigned, and complex as well as the size of the operands, byte (8 bit), doublet (16 bit), quadlet (32 bit), or hexlet (64 bit).
  • The Wide Multiply Matrix Extract Immediate instructions perform the same function as above, except that the extraction, operand format and size is controlled by fields in the instruction. This form encodes common forms of the above instruction without the need to initialize a register with the required control information. Controls within the instruction allow specification of signed, mixed-signed, unsigned, and complex operands.
  • The Wide Multiply Matrix Floating-point instructions perform a matrix multiply in the same form as above, except that the multiplies and additions are performed in floating-point arithmetic. Sizes of half (16-bit), single (32-bit), double (64-bit), and complex sizes of half, single and double can be specified within the instruction.
  • Wide Multiply Matrix Galois instructions perform a matrix multiply in the same form as above, except that the multiples and additions are performed in Galois field arithmetic. A size of 8 bits can be specified within the instruction. The contents of a general register specify the polynomial with which to perform the Galois field remainder operation. The nature of the matrix multiplication is novel and described in detail below.
  • In another aspect of the invention, memory operands of either little-endian or big-endian conventional byte ordering are facilitated. Consequently, all Wide operand instructions are specified in two forms, one for little-endian byte ordering and one for big-endian byte ordering, as specified by a portion of the instruction. The byte order specifies to the memory system the order in which to deliver the bytes within units of the data path width (128 bits), as well as the order to place multiple memory words (128 bits) within a larger Wide operand. Each of these instructions is described in greater detail.
  • Some embodiments of the present invention address extraction of a high order portion of a multiplier product or sum of products, as a way of efficiently utilizing a large multiplier array. Parent U.S. Pat. No. 5,742,840 and U.S. Pat. No. 5,953,241 describe a system and method for enhancing the utilization of a multiplier array by adding specific classes of instructions to a general-purpose processor. This addresses the problem of making the most use of a large multiplier array that is fully used for high-precision arithmetic—for example a 64.times.64 bit multiplier is fully used by a 64-bit by 64-bit multiply, but only one quarter used for a 32-bit by 32-bit multiply) for (relative to the multiplier data width and registers) low-precision arithmetic operations. In particular, operations that perform a great many low-precision multiplies which are combined (added) together in various ways are specified. One of the overriding considerations in selecting the set of operations is a limitation on the size of the result operand. In an exemplary embodiment, for example, this size might be limited to on the order of 128 bits, or a single register, although no specific size limitation need exist.
  • The size of a multiply result, a product, is generally the sum of the sizes of the operands, multiplicands and multiplier. Consequently, multiply instructions specify operations in which the size of the result is twice the size of identically-sized input operands. For our prior art design, for example, a multiply instruction accepted two 64-bit register sources and produces a single 128-bit register-pair result, using an entire 64.times.64 multiplier array for 64-bit symbols, or half the multiplier array for pairs of 32-bit symbols, or one-quarter the multiplier array for quads of 16-bit symbols. For all of these cases, note that two register sources of 64 bits are combined, yielding a 128-bit result.
  • In several of the operations, including complex multiplies, convolve, and matrix multiplication, low-precision multiplier products are added together. The additions further increase the required precision. The sum of two products requires one additional bit of precision; adding four products requires two, adding eight products requires three, adding sixteen products requires four. In some prior designs, some of this precision is lost, requiring scaling of the multiplier operands to avoid overflow, further reducing accuracy of the result.
  • The use of register pairs creates an undesirable complexity, in that both the register pair and individual register values must be bypassed to subsequent instructions. As a result, with prior art techniques only half of the source operand 128-bit register values could be employed toward producing a single-register 128-bit result.
  • In some embodiments of the present invention, a high-order portion of the multiplier product or sum of products is extracted, adjusted by a dynamic shift amount from a general register or an adjustment specified as part of the instruction, and, rounded by a control value from a register or instruction portion as round-to-nearest/even, toward zero, floor, or ceiling. Overflows are handled by limiting the result to the largest and smallest values that can be accurately represented in the output result.
  • In the present invention, when the extract is controlled by a register, the size of the result can be specified, allowing rounding and limiting to a smaller number of bits than can fit in the result. This permits the result to be scaled to be used in subsequent operations without concern of overflow or rounding, enhancing performance.
  • Also in the present invention, when the extract is controlled by a register, a single register value defines the size of the operands, the shift amount and size of the result, and the rounding control. By placing all this control information in a single register, the size of the instruction is reduced over the number of bits that such a instruction would otherwise require, improving performance and enhancing flexibility of the processor.
  • The particular instructions included in this aspect of the present invention are Ensemble Convolve Extract, Ensemble Multiply Extract, Ensemble Multiply Add Extract and Ensemble Scale Add Extract, each of which is more thoroughly treated in another section.
  • An aspect of the present invention defines the Ensemble Scale Add Extract instruction, that combines the extract control information in a register along with two values that are used as scalar multipliers to the contents of two vector multiplicands. This combination reduces the number of registers that would otherwise be required, or the number of bits that the instruction would otherwise require, improving performance.
  • Several of these instructions (Ensemble Convolve Extract, Ensemble Multiply Add Extract) are typically available only in forms where the extract is specified as part of the instruction. An alternative embodiment can incorporate forms of the operations in which the size of the operand, the shift amount and the rounding can be controlled by the contents of a general register (as they are in the Ensemble Multiply Extract instruction). The definition of this kind of instruction for Ensemble Convolve Extract, and Ensemble Multiply Add Extract would require four source registers, which increases complexity by requiring additional general-register read ports.
  • Another alternative embodiment can reduce the number of register read ports required for implementation of instructions in which the size, shift and rounding of operands is controlled by a register. The value of the extract control register can be fetched using an additional cycle on an initial execution and retained within or near the functional unit for subsequent executions, thus reducing the amount of hardware required for implementation with a small additional performance penalty. The value retained would be marked invalid, causing a re-fetch of the extract control register, by instructions that modify the register, or alternatively, the retained value can be updated by such an operation. A re-fetch of the extract control register would also be required if a different register number were specified on a subsequent execution. It should be clear that the properties of the above two alternative embodiments can be combined.
  • Another embodiment of the invention includes Galois field arithmetic, where multiplies are performed by an initial binary polynomial multiplication (unsigned binary multiplication with carries suppressed), followed by a polynomial modulo/remainder operation (unsigned binary division with carries suppressed). The remainder operation is relatively expensive in area and delay. In Galois field arithmetic, additions are performed by binary addition with carries suppressed, or equivalently, a bitwise exclusive-or operation. In this aspect of the present invention, a matrix multiplication is performed using Galois field arithmetic, where the multiplies and additions are Galois field multiples and additions.
  • Using prior art methods, a 16 byte vector multiplied by a 16.times. 16 byte matrix can be performed as 256 8-bit Galois field multiplies and 16*15=240 8-bit Galois field additions. Included in the 256 Galois field multiplies are 256 polynomial multiplies and 256 polynomial remainder operations. But by use of the present invention, the total computation can be reduced significantly by performing 256 polynomial multiplies, 240 16-bit polynomial additions, and 16 polynomial remainder operations. Note that the cost of the polynomial additions has been doubled, as these are now 16-bit operations, but the cost of the polynomial remainder functions has been reduced by a factor of 16. Overall, this is a favorable tradeoff, as the cost of addition is much lower than the cost of remainder.
  • In a still further aspect of the present invention, a technique is provided for incorporating floating point information into processor instructions. In U.S. Pat. No. 5,812,439, a system and method are described for incorporating control of rounding and exceptions for floating-point instructions into the instruction itself. The present invention extends this invention to include separate instructions in which rounding is specified, but default handling of exceptions is also specified, for a particular class of floating-point instructions. Specifically, the SINK instruction (which converts floating-point values to integral values) is available with control in the instruction that include all previously specified combinations (default-near rounding and default exceptions, Z—round-toward-zero and trap on exceptions, N—round to nearest and trap on exceptions, F—floor rounding (toward minus infinity) and trap on exceptions, C—ceiling rounding (toward plus infinity) and trap on exceptions, and X—trap on inexact and other exceptions), as well as three new combinations (Z.D—round toward zero and default exception handling, F.D—floor rounding and default exception handling, and C.D—ceiling rounding and default exception handling). (The other combinations: N.D is equivalent to the default, and X.D—trap on inexact but default handling for other exceptions is possible but not particularly valuable).
  • Instruction Scheduling
  • The next section describes detailed pipeline organization for Zeus, which has a significant influence on instruction scheduling. Here we will elaborate some general rules for effective scheduling by a compiler. Specific information on numbers of functional units, functional unit parallelism and latency is quite implementation-dependent, values indicated here are valid for Zeus's first implementation.
  • Separate Addressing from Execution
  • Zeus has separate function units to perform addressing operations (A, L, S, B instructions) from execution operations (G, X, E, W instructions). When possible, Zeus will execute all the addressing operations of an instruction stream, deferring execution of the execution operations until dependent load instructions are completed. Thus, the latency of the memory system is hidden, so long as addressing operations themselves do not need to wait for memory.
  • Software Pipeline
  • Instructions should generally be scheduled so that previous operations can be completed at the time of issue. When this is not possible, the processor inserts sufficient empty cycles to perform the instructions precisely—explicit no-operation instructions are not required.
  • Multiple Issue
  • Zeus can issue up to two addressing operations and up to two execution operations per cycle per thread. Considering functional unit parallelism, described below, as many of four instruction issues per cycle are possible per thread.
  • Functional Unit parallelism
  • Zeus has separate function units for several classes of execution operations. An A unit performs scalar add, subtract, boolean, and shift-add operations for addressing and branch calculations. The remaining functional units are execution resources, which perform operations subsequent to memory loads and which operate on values in a parallel, partitioned form. A G unit performs add, subtract, boolean, and shift-add operations. An X unit performs general shift operations. An E unit performs multiply and floating-point operations. A T unit performs table-look-up operations.
  • Each instruction uses one or more of these units, according to the table below.
    Instruction A G X E T
    A. x
    B x
    L x
    S x
    G x
    X x
    E x x
    W.TRANSLATE x x
    W.MULMAT x x x
    W.SWITCH x x

    Latency
  • The latency of each functional unit depends on what operation is performed in the unit, and where the result is used. The aggressive nature of the pipeline makes it difficult to characterize the latency of each operation with a single number. Because the addressing unit is decoupled from the execution unit, the latency of load operations is generally hidden, unless the result of a load instruction must be returned to the addressing unit. Store instructions must be able to compute the address to which the data is to be stored in the addressing unit, but the data will not be irrevocably stored until the data is available and it is valid to retire the store instruction. However, under certain conditions, data may be forwarded from a store instruction to subsequent load instructions, once the data is available.
  • The latency of each of these units, for the initial Zeus implementation is indicated below:
    Unit instruction Latency rules
    A. A 1 cycle
    L Address operands must be ready to issue,
    4 cycles to A unit, 0 to G, X, E, T units
    S Address operands must be ready to issue,
    Store occurs when data is ready and instruction
    may be retired.
    B Conditional branch operands may be provided
    from the A unit (64-bit values), or the G unit
    (128-bit values). 4 cycles for mispredicted
    branch
    W Address operand must be ready to issue,
    G G 1 cycle
    X X, W.SWITCH 1 cycle for data operands, 2 cycles for shift
    amount or control operand
    E E, W.MULMAT 4 cycles
    T W.TRANSLATE 1 cycles

    Pipelining and Multithreading
  • As shown in FIG. 4, some embodiments of the present invention employ both decoupled access from execution pipelines and simultaneous multithreading in a unique way. Simultaneous Multithreaded pipelines have been employed in prior art to enhance the utilization of data path units by allowing instructions to be issued from one of several execution threads to each functional unit (e.g., Susan Eggers, University of Wash, papers on Simultaneous Multithreading).
  • Decoupled access from execution pipelines have been employed in prior art to enhance the utilization of execution data path units by buffering results from an access unit, which computes addresses to a memory unit that in turn fetches the requested items from memory, and then presenting them to an execution unit (e.g., James E. Smith, paper on Decoupled Access from Execution).
  • Compared to conventional pipelines, Eggers prior art used an additional pipeline cycle before instructions could be issued to functional units, the additional cycle needed to determine which threads should be permitted to issue instructions. Consequently, relative to conventional pipelines, the prior art design had additional delay, including dependent branch delay.
  • The embodiment shown in FIG. 4 contains individual access data path units, with associated register files, for each execution thread. These access units produce addresses, which are aggregated together to a common memory unit, which fetches all the addresses and places the memory contents in one or more buffers. Instructions for execution units, which are shared to varying degrees among the threads are also buffered for later execution. The execution units then perform operations from all active threads using functional data path units that are shared.
  • For instructions performed by the execution units, the extra cycle required for prior art simultaneous multithreading designs is overlapped with the memory data access time from prior art decoupled access from execution cycles, so that no additional delay is incurred by the execution functional units for scheduling resources. For instructions performed by the access units, by employing individual access units for each thread the additional cycle for scheduling shared resources is also eliminated.
  • This is a favorable tradeoff because, while threads do not share the access functional units, these units are relatively small compared to the execution functional units, which are shared by threads.
  • FIG. 12 is a timing diagram of a decoupled pipeline structure in accordance with one embodiment of the present invention. As illustrated in FIG. 12, the time permitted by a pipeline to service load operations may be flexibly extended. Here, various types of instructions are abbreviated as A, L, B, E, and S, representing a register-to-register address calculation, a memory load, a branch, a register-to-register data calculation, and a memory store, respectively. According to the present embodiment, the front of the pipeline, in which A, L and B type instructions are handled, is decoupled from the back of the pipeline, in which E, and S type instructions are handled. This decoupling occurs at the point at which the data cache and its backing memory is referenced; similarly, a FIFO that is filled by the instruction fetch unit decouples instruction cache references from the front of the pipeline shown above. The depth of the FIFO structures is implementation-dependent, i.e. not fixed by the architecture. FIG. 13 further illustrates this pipeline organization. Accordingly, the latency of load instructions can be hidden, as execute instructions are deferred until the results of the load are available. Nevertheless, the execution unit still processes instructions in normal order, and provides precise exceptions. More details relating to this pipeline structure is explained in the “Superspring Pipeline” section.
  • A difficulty in particular pipeline structures is that dependent operations must be separated by the latency of the pipeline, and for highly pipelined machines, the latency of simple operations can be quite significant. According to one embodiment of the present invention, very highly pipelined implementations are provided by alternating execution of two or more independent threads. In an embodiment, a thread is the state required to maintain an independent execution; the architectural state required is that of the register file contents, program counter, privilege level, local TB, and when required, exception status. In an embodiment, ensuring that only one thread may handle an exception at one time may minimize the latter state, exception status. In order to ensure that all threads make reasonable forward progress, several of the machine resources must be scheduled fairly.
  • An example of a resource that is critical that it be fairly shared is the data memory/cache subsystem. In one embodiment, the processor may be able to perform a load operation only on every second cycle, and a store operation only on every fourth cycle. The processor schedules these fixed timing resources fairly by using a round-robin schedule for a number of threads that is relatively prime to the resource reuse rates. In one embodiment, five simultaneous threads of execution ensure that resources which may be used every two or four cycles are fairly shared by allowing the instructions which use those resources to be issued only on every second or fourth issue slot for that thread. More details relating to this pipeline structure are explained in the “Superthread Pipeline” section.
  • Referring back to FIG. 4, with regard to the sharing of execution units, one embodiment of the present invention employs several different classics of functional units for the execution unit, with varying cost, utilization, and performance. In particular, the G units, which perform simple addition and bitwise operations is relatively inexpensive (in area and power) compared to the other units, and its utilization is relatively high. Consequently, the design employs four such units, where each unit can be shared between two threads. The X unit, which performs a broad class of data switching functions is more expensive and less used, so two units are provided that are each shared among two threads. The T unit, which performs the Wide Translate instruction, is expensive and utilization is low, so the single unit is shared among all four threads. The E unit, which performs the class of Ensemble instructions, is very expensive in area and power compared to the other functional units, but utilization is relatively high, so we provide two such units, each unit shared by two threads.
  • In FIG. 4, four copies of an access unit are shown, each with an access instruction fetch queue A-Queue 401-404, coupled to an access register file AR 405-408, each of which is, in turn, coupled to two access functional units A 409-416. The access units function independently for four simultaneous threads of execution. These eight access functional units A 409-416 produce results for access register files AR 405-408 and addresses to a shared memory system 417. The memory contents fetched from memory system 417 are combined with execute instructions not performed by the access unit and entered into the four execute instruction queues E-Queue 421-424. Instructions and memory data from E-queue 421-424 are presented to execution register files 425-428, which fetches execution register file source operands. The instructions are coupled to the execution unit arbitration unit Arbitration 431, that selects which instructions from the four threads are to be routed to the available execution units E 441 and 449, X 442 and 448, G 443-444 and 446-447, and T 445. The execution register file source operands ER 425-428 are coupled to the execution units 441-445 using source operand buses 451-454 and to the execution units 445-449 using source operand buses 455-458. The function unit result operands from execution units 441-445 are coupled to the execution register file using result bus 461 and the function units result operands from execution units 445-449 are coupled to the execution register file using result bus 462.
  • In a still further aspect of the present invention, an improved interprivilege gateway is described which involves increased parallelism and leads to enhanced performance. In U.S. application Ser. No. 08/541,416, now U.S. Pat. No. 6,101,590, a system and method is described for implementing an instruction that, in a controlled fashion, allows the transfer of control (branch) from a lower-privilege level to a higher-privilege level. Embodiment of the present invention provides an improved system and method for a modified instruction that accomplishes the same purpose but with specific advantages.
  • Many processor resources, such as control of the virtual memory system itself, input and output operations, and system control functions are protected from accidental or malicious misuse by enclosing them in a protective, privileged region. Entry to this region must be established only though particular entry points, called gateways, to maintain the integrity of these protected regions.
  • Prior art versions of this operation generally load an address from a region of memory using a protected virtual memory attribute that is only set for data regions that contain valid gateway entry points, then perform a branch to an address contained in the contents of memory. Basically, three steps were involved: load, branch, then check. Compared to other instructions, such as register-to-register computation instructions and memory loads and stores, and register-based branches, this is a substantially longer operation, which introduces delays and complexity to a pipelined implementation.
  • In the present invention, the branch-gateway instruction performs two operations in parallel: 1) a branch is performed to the contents of register 0 and 2) a load is performed using the contents of register 1, using a specified byte order (little-endian) and a specified size (64 bits). If the value loaded from memory does not equal the contents of register 0, the instruction is aborted due to an exception. In addition, 3) a return address (the next sequential instruction address following the branch-gateway instruction) is written into register 0, provided the instruction is not aborted. This approach essentially uses a first instruction to establish the requisite permission to allow user code to access privileged code, and then a second instruction is permitted to branch directly to the privileged code because of the permissions issued for the first instruction.
  • In the present invention, the new privilege level is also contained in register 0, and the second parallel operation does not need to be performed if the new privilege level is not greater than the old privilege level. When this second operation is suppressed, the remainder of the instruction performs an identical function to a branch-link instruction, which is used for invoking procedures that do not require an increase in privilege. The advantage that this feature brings is that the branch-gateway instruction can be used to call a procedure that may or may not require an increase in privilege.
  • The memory load operation verifies with the virtual memory system that the region that is loaded has been tagged as containing valid gateway data. A further advantage of the present invention is that the called procedure may rely on the fact that register 1 contains the address that the gateway data was loaded from, and can use the contents of register 1 to locate additional data or addresses that the procedure may require. Prior art versions of this instruction required that an additional address be loaded from the gateway region of memory in order to initialize that address in a protected manner—the present invention allows the address itself to be loaded with a “normal” load operation that does not require special protection.
  • The present invention allows a “normal” load operation to also load the contents of register 0 prior to issuing the branch-gateway instruction. The value may be loaded from the same memory address that is loaded by the branch-gateway instruction, because the present invention contains a virtual memory system in which the region may be enabled for normal load operations as well as the special “gateway” load operation performed by the branch-gateway instruction.
  • In a further aspect of the present invention, a system and method is provided for performing a three-input bitwise Boolean operation in a single instruction. A novel method described in detail in another section is used to encode the eight possible output states of such an operation into only seven bits, and decoding these seven bits back into the eight states.
  • In yet a further aspect to the present invention, a system and method is described for improving the branch prediction of simple repetitive loops of code. The method includes providing a count field for indicating how many times a branch is likely to be taken before it is not taken, which enhances the ability to properly predict both the initial and final branches of simple loops when a compiler can determine the number of iterations that the loop will be performed. This improves performance by avoiding misprediction of the branch at the end of a loop.
  • Pipeline Organization
  • Zeus performs all instructions as if executed one-by-one, in-order, with precise exceptions always available. Consequently, code that ignores the subsequent discussion of Zeus pipeline implementations will still perform correctly. However, the highest performance of the Zeus processor is achieved only by matching the ordering of instructions to the characteristics of the pipeline. In the following discussion, the general characteristics of all Zeus implementations precede discussion of specific choices for specific implementations.
  • Classical Pipeline Structures
  • Pipelining in general refers to hardware structures that overlap various stages of execution of a series of instructions so that the time required to perform the series of instructions is less than the sum of the times required to perform each of the instructions separately. Additionally, pipelines carry to connotation of a collection of hardware structures which have a simple ordering and where each structure performs a specialized function.
  • The diagram below shows the timing of what has become a canonical pipeline structure for a simple RISC processor, with time on the horizontal axis increasing to the right, and successive instructions on the vertical axis going downward. The stages I, R, E, M, and W refer to units which perform instruction fetch, register file fetch, execution, data memory fetch, and register file write. The stages are aligned so that the result of the execution of an instruction may be used as the source of the execution of an immediately following instruction, as seen by the fact that the end of an E stage (bold in line 1) lines up with the beginning of the E stage (bold in line 2) immediately below. Also, it can be seen that the result of a load operation executing in stages E and M (bold in line 3) is not available in the immediately following instruction (line 4), but may be used two cycles later (line 5); this is the cause of the load delay slot seen on some RISC processors.
    Figure US20080059766A1-20080306-C00025
  • In the diagrams below, we simplify the diagrams somewhat by eliminating the pipe stages for instruction fetch, register file fetch, and register file write, which can be understood to precede and follow the portions of the pipelines diagrammed. The diagram above is shown again in this new format, showing that the canonical pipeline has very little overlap of the actual execution of instruction.
    Figure US20080059766A1-20080306-C00026
  • Superscalar pipeline is one capable of simultaneously issuing two or more instructions which are independent, in that they can be executed in either order and separately, producing the same result as if they were executed serially. The diagram below shows a two-way superscalar processor, where one instruction may be a register-to-register operation (using stage E) and the other may be a register-to-register operation (using stage A) or a memory load or store (using stages A and M).
    Figure US20080059766A1-20080306-C00027
  • A superpipelined pipeline is one capable is issuing simple instructions frequently enough that the result of a simple instruction must be independent of the immediately following one or more instruction. The diagram below shows a two-cycle superpipelined implementation:
    Figure US20080059766A1-20080306-C00028
  • In the diagrams below, pipeline stages are labelled with the type of instruction that may be performed by that stage. The position of the stage further identifies the function of that stage, as for example a load operation may require several L stages to complete the instruction.
  • Superstring Pipeline
  • Zeus architecture provides for implementations designed to fetch and execute several instructions in each clock cycle. For a particular ordering of instruction types, one instruction of each type may be issued in a single clock cycle. The ordering required is A, L, E, S, B; in other words, a register-to-register address calculation, a memory load, a register-to-register data calculation, a memory store, and a branch. Because of the organization of the pipeline, each of these instructions may be serially dependent. Instructions of type E include the fixed-point execute-phase instructions as well as floating-point and digital signal processing instructions. We call this form of pipeline organization “superstring,” (readers with a background in theoretical physics may have seen this term in an other, unrelated, context) because of the ability to issue a string of dependent instructions in a single clock cycle, as distinguished from superscalar or superpipelined organizations, which can only issue sets of independent instructions.
  • These instructions take from one to four cycles of latency to execute, and a branch prediction mechanism is used to keep the pipeline filled. The diagram below shows a box for the interval between issue of each instruction and the completion. Bold letters mark the critical latency paths of the instructions, that is, the periods between the required availability of the source registers and the earliest availability of the result registers. The A-L critical latency path is a special case, in which the result of the A instruction may be used as the base register of the L instruction without penalty. E instructions may require additional cycles of latency for certain operations, such as fixed-point multiply and divide, floating-point and digital signal processing operations.
    Figure US20080059766A1-20080306-C00029

    Superspring Pipeline
  • Zeus architecture provides an additional refinement to the organization defined above, in which the time permitted by the pipeline to service load operations may be flexibly extended. Thus, the front of the pipeline, in which A, L and B type instructions are handled, is decoupled from the back of the pipeline, in which E, and S type instructions are handled. This decoupling occurs at the point at which the data cache and its backing memory is referenced; similarly, a FIFO that is filled by the instruction fetch unit decouples instruction cache references from the front of the pipeline shown above. The depth of the FIFO structures is implementation-dependent, i.e. not fixed by the architecture.
  • FIG. 13 indicates why we call this pipeline organization feature “superspring,” an extension of our superstring organization.
  • With the super-spring organization, the latency of load instructions can be hidden, as execute instructions are deferred until the results of the load are available. Nevertheless, the execution unit still processes instructions in normal order, and provides precise exceptions.
    Figure US20080059766A1-20080306-C00030

    Superthread Pipeline
  • This technique is not employed in the initial Zeus implementation, though it was present in an earlier prototype implementation.
  • A difficulty of superpipelining is that dependent operations must be separated by the latency of the pipeline, and for highly pipelined machines, the latency of simple operations can be quite significant. Zeus “superthread” pipeline provides for very highly pipelined implementations by alternating execution of two or more independent threads. In this context, a thread is the state required to maintain an independent execution; the architectural state required is that of the register file contents, program counter, privilege level, local TB, and when required, exception status. Ensuring that only one thread may handle an exception at one time may minimize the latter state, exception status. In order to ensure that all threads make reasonable forward progress, several of the machine resources must be scheduled fairly.
  • An example of a resource that is critical that it be fairly shared is the data memory/cache subsystem. In a prototype implementation, Zeus is able to perform a load operation only on every second cycle, and a store operation only on every fourth cycle. Zeus schedules these fixed timing resources fairly by using a round-robin schedule for a number of threads that is relatively prime to the resource reuse rates. For this implementation, five simultaneous threads of execution ensure that resources which may be used every two or four cycles are fairly shared by allowing the instructions which use those resources to be issued only on every second or fourth issue slot for that thread.
  • In the diagram below, the thread number which issues an instruction is indicated on each clock cycle, and below it, a list of which functional units may be used by that instruction. The diagram repeats every 20 cycles, so cycle 20 is similar to cycle 0, cycle 21 is similar to cycle 1, etc. This schedule ensures that no resource conflict occur between threads for these resources. Thread 0 may issue an E, L, S or B on cycle 0, but on its next opportunity, cycle 5, may only issue E or B, and on cycle 10 may issue E, L or B, and on cycle 15, may issue E or B.
    Superthread pipeline
    cycle
    0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19
    thread 0 1 2 3 4 0 1 2 3 4 0 1 2 3 4 0 1 2 3 4
    E E E E E E E E E E E E E E E E E E E E
    L L L L L L L L L L
    S S S S S
    B B B B B B B B B B B B B B B B B B B B
  • When seen from the perspective of an individual thread, the resource use diagram looks similar to that of the collection. Thus an individual thread may use the load unit every two instructions, and the store unit every four instructions.
    Superthread pipeline
    cycle
    0 5 10 15 20 25 30 35 40 45 50 55 60 65 70 75 80 85 90 95
    thread 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
    E E E E E E E E E E E E E E E E E E E E
    L L L L L L L L L L
    S S S S S
    B B B B B B B B B B B B B B B B B B B B
  • A Zeus Superthread pipeline, with 5 simultaneous threads of execution, permits simple operations, such as register-to-register add (G.ADD), to take 5 cycles to complete, allowing for an extremely deeply pipelined implementation.
  • Simultaneous Multithreading
  • The intial Zeus implementation performs simultaneous multithreading among 4 threads. Each of the 4 threads share a common memory system, a common T unit. Pairs of threads share two G units, one X unit, and one E unit. Each thread individually has two A units. A fair allocation scheme balances access to the shared resources by the four threads.
  • Branch/Fetch Prediction
  • Zeus does not have delayed branch instructions, and so relies upon branch or fetch prediction to keep the pipeline full around unconditional and conditional branch instructions. In the simplest form of branch prediction, as in Zeus's first implementation, a taken conditional backward (toward a lower address) branch predicts that a future execution of the same branch will be taken. More elaborate prediction may cache the source and target addresses of multiple branches, both conditional and unconditional, and both forward and reverse.
  • The hardware prediction mechanism is tuned for optimizing conditional branches that close loops or express frequent alternatives, and will generally require substantially more cycles when executing conditional branches whose outcome is not predominately taken or not-taken. For such cases of unpredictable conditional results, the use of code that avoids conditional branches in favor of the use of compare-set and multiplex instructions may result in greater performance.
  • Under some conditions, the above technique may not be applicable, for example if the conditional branch “guards” code which cannot be performed when the branch is taken. This may occur, for example, when a conditional branch tests for a valid (non-zero) pointer and the conditional code performs a load or store using the pointer. In these cases, the conditional branch has a small positive offset, but is unpredictable. A Zeus pipeline may handle this case as if the branch is always predicted to be not taken, with the recovery of a misprediction causing cancellation of the instructions which have already been issued but not completed which would be skipped over by the taken conditional branch. This “conditional-skip” optimization is performed by the initial Zeus implementation and requires no specific architectural feature to access or implement.
  • A Zeus pipeline may also perform “branch-return” optimization, in which a branch-link instruction saves a branch target address that is used to predict the target of the next returning branch instruction. This optimization may be implemented with a depth of one (only one return address kept), or as a stack of finite depth, where a branch and link pushes onto the stack, and a branch-register pops from the stack. This optimization can eliminate the misprediction cost of simple procedure calls, as the calling branch is susceptible to hardware prediction, and the returning branch is predictable by the branch-return optimization. Like the conditional-skip optimization described above, this feature is performed by the initial Zeus implementation and requires no specific architectural feature to access or implement.
  • Zeus implements two related instructions that can eliminate or reduce branch delays for conditional loops, conditional branches, and computed branches. The “branch-hint” instruction has no effect on architectural state, but informs the instruction fetch unit of a potential future branch instruction, giving the addresses of both the branch instruction and of the branch target. The two forms of the instruction specify the branch instruction address relative to the current address as an immediate field, and one form (branch-hint-immediate) specifies the branch target address relative to the current address as an immediate field, and the other (branch-hint) specifies the branch target address from a general register. The branch-hint-immediate instruction is generally used to give advance notice to the instruction fetch unit of a branch-conditional instruction, so that instructions at the target of the branch can be fetched in advance of the branch-conditional instruction reaching the execution pipeline. Placing the branch hint as early as possible, and at a point where the extra instruction will not reduce the execution rate optimizes performance. In other words, an optimizing compiler should insert the branch-hint instruction as early as possible in the basic block where the parcel will contain at most one other “front-end” instruction.
  • Result Forwarding
  • When temporally adjacent instructions are executed by separate resources, the results of the first instruction must generally be forwarded directly to the resource used to execute the second instruction, where the result replaces a value which may have been fetched from a register file. Such forwarding paths use significant resources. A Zeus implementation must generally provide forwarding resources so that dependencies from earlier instructions within a string are immediately forwarded to later instructions, except between a first and second execution instruction as described above. In addition, when forwarding results from the execution units back to the data fetch unit, additional delay may be incurred.
  • Memory Management
  • This section discusses the caches, the translation mechanisms, the memory interfaces, and how the multiprocessor interface is used to maintain cache coherence.
  • Overview
  • FIG. 14 is a diagram illustrating the basic organization of the memory management system according to one embodiment of the invention. In accordance with this embodiment, the Zeus processor provides for both local and global virtual addressing, arbitrary page sizes, and coherent-cache multiprocessing. The memory management system is designed to provide the requirements for implementation of virtual machines as well as virtual memory. All facilities of the memory management system are themselves memory mapped, in order to provide for the manipulation of these facilities by high-level language, compiled code. The translation mechanism is designed to allow full byte-at-a-time control of access to the virtual address space, with the assistance of fast exception handlers. Privilege levels provide for the secure transition between insecure user code and secure system facilities. Instructions execute at a privilege, specified by a two-bit field in the access information. Zero is the least-privileged level, and three is the most-privileged level.
  • In general terms, the memory management starts from a local virtual address. The local virtual address is translated to a global virtual address by an LTB (Local Translation Buffer). In turn, the global virtual address is translated to a physical address by a GTB (Global Translation Buffer). One of the addresses, a local virtual address, a global virtual address, or a physical address, is used to index the cache data and cache tag arrays, and one of the addresses is used to check the cache tag array for cache presence. Protection information is assembled from the LTB, GTB, and optionally the cache tag, to determine if the access is legal.
  • This form varies somewhat, depending on implementation choices made. Because the LTB leaves the lower 48 bits of the address alone, indexing of the cache arrays with the local virtual address is usually identical to cache arrays indexed by the global virtual address. However, indexing cache arrays by the global virtual address rather than the physical address produces a coherence issue if the mapping from global virtual address to physical is many-to-one.
  • Starting from a local virtual address, the memory management system performs three actions in parallel: the low-order bits of the virtual address are used to directly access the data in the cache, a low-order bit field is used to access the cache tag, and the high-order bits of the virtual address are translated from a local address space to a global virtual address space.
  • Following these three actions, operations vary depending upon the cache implementation. The cache tag may contain either a physical address and access control information (a physically-tagged cache), or may contain a global virtual address and global protection information (a virtually-tagged cache).
  • For a physically-tagged cache, the global virtual address is translated to a physical address by the GTB, which generates global protection information. The cache tag is checked against the physical address, to determine a cache hit. In parallel, the local and global protection information is checked.
  • For a virtually-tagged cache, the cache tag is checked against the global virtual address, to determine a cache hit, and the local and global protection information is checked. If the cache misses, the global virtual address is translated to a physical address by the GTB, which also generates the global protection information.
  • Local Translation Buffer
  • The 64-bit global virtual address space is global among all tasks. In a multitask environment, requirements for a task-local address space arise from operations such as the UNIX “fork” function, in which a task is duplicated into parent and child tasks, each now having a unique virtual address space. In addition, when switching tasks, access to one task's address space must be disabled and another task's access enabled.
  • Zeus provides for portions of the address space to be made local to individual tasks, with a translation to the global virtual space specified by four 16-bit registers for each local virtual space. The registers specify a mask selecting which of the high-order 16 address bits are checked to match a particular value, and if they match, a value with which to modify the virtual address. Zeus avoids setting a fixed page size or local address size; these can be set by software conventions.
  • A local virtual address space is specified by the following:
    Local virtual address space specifiers
    field name size description
    lm
    16 mask to select fields of local virtual address to perform
    match over
    la 16 value to perform match with masked local virtual
    address
    lx
    16 value to xor with local virtual address if matched
    lp 16 local protection field (detailed later)

    Physical Address
  • There are as many LTB as threads, and up to 23 (8) entries per LTB. Each entry is 128 bits, with the high order 64 bits reserved. FIG. 15 illustrates the physical address of a LTB entry for thread th, entry en, byte b.
  • Definition
  • FIG. 16 illustrates a definition for AccessPhysicalLTB.
  • Entry Format
  • FIG. 17 illustrates how various 16-bit values are packed together into a 64-bit LTB entry. The LTB contains a separate context of register sets for each thread, indicated by the th index above. A context consists of one or more sets of lm/la/lx/lp registers, one set for each simultaneously accessible local virtual address range, indicated by the en index above. This set of registers is called the “Local TB context,” or LTB (Local Translation Buffer) context. The effect of this mechanism is to provide the facilities normally attributed to segmentation. However, in this system there is no extension of the address range, instead, segments are local nicknames for portions of the global virtual address space.
  • A failure to match a LTB entry results either in an exception or an access to the global virtual address space, depending on privilege level. A single bit, selected by the privilege level active for the access from a four bit control register field, global access, ga determines the result. If gaPL is zero (0), the failure causes an exception, if it is one (1), the failure causes the address to be directly used as a global virtual address without modification.
  • FIG. 18 illustrates global access as fields of a control register. Usually, global access is a right conferred to highly privilege levels, so a typical system may be configured with ga0 and ga1 clear (0), but ga2 and ga3 set (1). A single low-privilege (0) task can be safely permitted to have global access, as accesses are further limited by the rwxg privilege fields. A concrete example of this is an emulation task, which may use global addresses to simulate segmentation, such as an x86 emulation. The emulation task then runs as privilege 0, with ga0 set, while most user tasks run as privilege 1, with ga1 clear. Operating system tasks then use privilege 2 and 3 to communicate with and control the user tasks, with ga2 and ga3 set.
  • For tasks that have global access disabled at their current privilege level, failure to match a LTB entry causes an exception. The exception handler may load a LTB entry and continue execution, thus providing access to an arbitrary number of local virtual address ranges.
  • When failure to match a LTB entry does not cause an exception, instructions may access any region in the local virtual address space, when a LTB entry matches, and may access regions in the global virtual address space when no LTB entry matches. This mechanism permits privileged code to make judicious use of local virtual address ranges, which simplifies the manner in which privileged code may manipulate the contents of a local virtual address range on behalf of a less-privileged client. Note, however, that under this model, an LTB miss does not cause an exception directly, so the use of more local virtual address ranges than LTB entries requires more care: the local virtual address ranges should be selected so as not to overlap with the global virtual address ranges, and GTB misses to LVA regions must be detected and cause the handler to load an LTB entry.
  • Each thread has an independent LTB, so that threads may independently define local translation. The size of the LTB for each thread is implementation dependent and defined as the LE parameter in the architecture description register. LE is the log of the number of entries in the local TB per thread; an implementation may define LE to be a minimum of 0, meaning one LTB entry per thread, or a maximum of 3, meaning eight LTB entries per thread. For the initial Zeus implementation, each thread has two entries and LE=1.
  • A minimum implementation of a LTB context is a single set of lm/la/lx/lp registers per thread. However, the need for the LTB to translate both code addresses and data addresses imposes some limits on the use of the LTB in such systems. We need to be able to guarantee forward progress. With a single LTB set per thread, either the code or the data must use global addresses, or both must use the same local address range, as must the LTB and GTB exception handler. To avoid this restriction, the implementation must be raised to two sets per thread, at least one for code and one for data, to guarantee forward progress for arbitrary use of local addresses in the user code (but still be limited to using global addresses for exception handlers).
  • As shown in FIG. 19, a single-set LTB context may be further simplified by reserving the implementation of the lm and la registers, setting them to a read-only zero value: Note that in such a configuration, only a single LA region can be implemented.
  • If the largest possible space is reserved for an address space identifier, the virtual address is partitioned as shown in FIG. 20. Any of the bits marked as “local” below may be used as “offset” as desired.
  • To improve performance, an implementation may perform the LTB translation on the value of the base register (rc) or unincremented program counter, provided that a check is performed which prohibits changing the unmasked upper 16 bits by the add or increment. If this optimization is provided and the check fails, an AccessDisallowedByVirtualAddress should be signaled. If this optimization is provided, the architecture description parameter LB=1. Otherwise LTB translation is performed on the local address, la, no checking is required, and LB=0.
  • As shown in FIG. 21, the LTB protect field controls the minimum privilege level required for each memory action of read (r), write (w), execute (x), and gateway (g), as well as memory and cache attributes of write allocate (wa), detail access (da), strong ordering (so), cache disable (cd), and write through (wt). These fields are combined with corresponding bits in the GTB protect field to control these attributes for the mapped memory region.
  • Field Description
  • The meaning of the fields are given by the following table:
    name size meaning
    g
    2 minimum privilege required for gateway access
    x 2 minimum privilege required for execute access
    w
    2 minimum privilege required for write access
    r
    2 minimum privilege required for read access
    0 1 reserved
    da 1 detail access
    so 1 strong ordering
    cc
    3 cache control

    Definition
  • FIG. 22 illustrates a definition for LocalTranslation.
  • Global Translation Buffer
  • Global virtual addresses which fail to be accessed in either the LZC, the MTB, the BTB, or PTB are translated to physical references in a table, here named the “Global Translation Buffer,” (GTB).
  • Each processor may have one or more GTB's, with each GTB shared by one or more threads. The parameter GT, the base-two log of the number of threads which share a GTB, and the parameter T, the number of threads, allow computation of the number of GTBs (T/2GT), and the number of threads which share each GTB (2GT).
  • If there are two GTBs and four threads (GT=1, T=4), GTB 0 services references from threads 0 and 1, and GTB 1 services references from threads 2 and 3. In the first implementation, there is one GTB, shared by all four threads. (GT=2, T=4). The GTB has 128 entries (G=7).
  • Per clock cycle, each GTB can translate one global virtual address to a physical address, yielding protection information as a side effect.
  • A GTB miss causes a software trap. This trap is designed to permit a fast handler for GlobalTBMiss to be written in software, by permitting a second GTB miss to occur as an exception, rather than a machine check.
  • Physical Address
  • There may be as many GTB as threads, and up to 215 entries per GTB. FIG. 23 illustrates the physical address of a GTB entry for thread th, entry en, byte b. Note that in FIG. 23, the low-order GT bits of the th value are ignored, reflecting that 2GT threads share a single GTB. A single GTB shared between threads appears multiple times in the address space. Referring to FIG. 24, GTB entries are packed together so that entries in a GTB are consecutive.
  • Definition
  • FIG. 24 illustrates a definition for AccessPhysicalGTB. FIG. 25 illustrates the format of a GTB entry.
  • Entry Format
  • As shown, each GTB entry is 128 bits.
  • Field Description
  • gs=ga+size/2: 256≦size≦264, ga, global address, is aligned (a multiple of) size.
  • px=paˆga. pa, ga, and px are all aligned (a multiple of) size.
  • The meaning of the fields are given by the following table:
    name size meaning
    gs
    57 global address with size
    px 56 physical xor
    g
    2 minimum privilege required for gateway access
    x 2 minimum privilege required for execute access
    w
    2 minimum privilege required for write access
    r
    2 minimum privilege required for read access
    0 1 reserved
    da 1 detail access
    so 1 strong ordering
    cc
    3 cache control
  • If the entire contents of the GTB entry is zero (0), the entry will not match any global address at all. If a zero value is written, a zero value is read for the GTB entry. Software must not write a zero value for the gs field unless the entire entry is a zero value.
  • It is an error to write GTB entries that multiply match any global address; all GTB entries must have unique, non-overlapping coverage of the global address space. Hardware may produce a machine check if such overlapping coverage is detected, or may produce any physical address and protection information and continue execution.
  • Limiting the GTB entry size to 128 bits allows up to replace entries atomically (with a single store operation), which is less complex than the previous design, in which the mask portion was first reduced, then other entries changed, then the mask is expanded. However, it is limiting the amount of attribute information or physical address range we can specify. Consequently, we are encoding the size as a single additional bit to the global address in order to allow for attribute information.
  • Definition
  • FIG. 26 illustrates a definition for GlobatAddressTranslation.
  • GTB Registers
  • Because the processor contains multiple threads of execution, even when taking virtual memory exceptions, it is possible for two threads to nearly simultaneously invoke software GTB miss exception handlers for the same memory region. In order to avoid producing improper GTB state in such cases, the GTB includes access facilities for indivisibly checking and then updating the contents of the GTB as a result of a memory write to specific addresses.
  • A 128-bit write to the address GTBUpdateFill (fill=1), as a side effect, causes first a check of the global address specified in the data against the GTB. If the global address check results in a match, the data is directed to write on the matching entry. If there is no match, the address specified by GTBLast is used, and GTBLast is incremented. If incrementing GTBLast results in a zero value, GTBLast is reset to GTBFirst, and GTBBump is set. Note that if the size of the updated value is not equal to the size of the matching entry, the global address check may not adequately ensure that no other entries also cover the address range of the updated value. The operation is unpredictable if multiple entries match the global address.
  • The GTBUpdateFill register is a 128-bit memory-mapped location, to which a write operation performs the operation defined above. A read operation returns a zero value. The format of the GTBUpdateFill register is identical to that of a GTB entry.
  • An alternative write address, GTBUpdate, (fill=0) updates a matching entry, but makes no change to the GTB if no entry matches. This operation can be used to indivisibly update a GTB entry as to protection or physical address information.
  • Definition
  • FIG. 27 illustrates a definition for GTBUpdateWrite.
  • Physical Address
  • There may be as many GTB as threads, and up to 211 registers per GTB (5 registers are implemented). FIG. 28 illustrates the physical address of a GTB control register for thread th, register rn, byte b. Note that in FIG. 28, the low-order GT bits of the th value are ignored, reflecting that 2GT threads share single GTB registers. A single set of GTB registers shared between threads appears multiple times in the address space, and manipulates the GTB of the threads with which the registers are associated.
  • The GTBUpdate register is a 128-bit memory-mapped location, to which a write operation performs the operation defined above. A read operation returns a zero value. The format of the GTBUpdateFill register is identical to that of a GTB entry. FIG. 29 illustrates the registers GTBLast, GTBFirst, and GTBBump. The registers GTBLast, GTBFirst, and GTBBump are memory mapped. As shown in FIG. 29, the GTBLast and GTBFirst registers are G bits wide, and the GTBBump register is one bit.
  • Definition
  • FIG. 30 illustrates a definition for AccessPhysicalGTBRegisters.
  • Address Generation
  • The address units of each of the four threads provide up to two global virtual addresses of load, store, or memory instructions, for a total of eight addresses. LTB units associated with each thread translate the local addresses into global addresses. The LZC operates on global addresses. MTB, BTB, and PTB units associated with each thread translate the global addresses into physical addresses and cache addresses. (A PTB unit associated with each thread produces physical addresses and cache addresses for program counter references.—this is optional, as by limiting address generation to two per thread, the MTB can be used for program references.) Cache addresses are presented to the LOC as required, and physical addresses are checked against cache tags as required.
  • Memory Banks
  • The LZC has two banks, each servicing up to four requests. The LOC has eight banks, each servicing at most one request.
  • Assuming random request addresses, FIG. 55 shows the expected rate at which requests are serviced by multi-bank/multi-port memories that have 8 total ports and divided into 1, 2, 4, or 8 interleaved banks. The LZC is 2 banks, each with 4 ports, and the LOC is 8 banks, each 1 port.
  • Note a small difference between applying 12 references versus 8 references for the LOC (6.5 vs 5.2), and for the LZC (7.8 vs. 6.9). This suggests that simplifying the system to produce two address per thread (program+load/store or two load/store) will not overly hurt performance. A closer simulation, taking into account the sequential nature of the program and load/store traffic may well yield better numbers, as threads will tend to line up in non-interfering patterns, and program microcaching reduces program fetching.
  • FIG. 56 shows the rates for both 8 total ports and 16 total ports.
  • Note significant differences between 8-port systems and 16-port systems, even when used with a maximum of 8 applied references. In particular, a 16-bank 1-port system is better than a 4-bank 2-port system with more than 6 applied references. Current layout estimates would require about a 14% area increase (assuming no savings from smaller/simpler sense amps) to switch to a 16-port LOC, with a 22% increase in 8-reference throughput.
  • Program Microcache
  • A program microcache (PMC) which holds only program code for each thread may optionally exist, and does exist for the initial implementation. The program microcache is flushed by reset, or by executing a B.BARRIER instruction. The program microcache is always clean, and is not snooped by writes or otherwise kept coherent, except by flushing as indicated above. The microcache is not altered by writing to the LTB or GTB, and software must execute a B.BARRIER instruction before expecting the nEw contents of the LTB or GTB to affect determination of PMC hit or miss status on program fetches.
  • In the initial implementation, the program microcache holds simple loop code. The microcache holds two separately addressed cache lines. Branches or execution beyond this region cause the microcache to be flushed and refilled at the new address, provided that the addresses are executable by the current thread. The program microcache uses the B.HINT and B.HINT.I to accelerate fetching of program code when possible. The program microcache generally functions as a prefetch buffer, except that short forward or backward branches within the region covered maintain the contents of the microcache.
  • Program fetches into the microcache are requested on any cycle in which less than two load/store addresses are generated by the address unit, unless the microcache is already full. System arbitration logic should give program fetches lower priority than load/store references when first presented, then equal priority if the fetch fails arbitration a certain number of times. The delay until program fetches have equal priority should be based on the expected time the program fetch data will be executed; it may be as small as a single cycle, or greater for fetches which are far ahead of the execution point.
  • Wide Microcache
  • A wide microcache (WMC) which holds only data fetched for wide (W) instructions may optionally exist, and does exist for the initial implementation, for each unit which implements one or more wide (W) instructions.
  • The wide (W) instructions each operate on a block of data fetched from memory and the contents of one or more registers, producing a result in a register. Generally, the amount of data in the block exceeds the maximum amount of data that the memory system can supply in a single cycle, so caching the memory data is of particular importance. All the wide (W) instructions require that the memory data be located at an aligned address, an address that is a multiple of the size of the memory data, which is always a power of two.
  • The wide (W) instructions are performed by functional units which normally perform execute or “back-end” instructions, though the loading of the memory data requires use of the access or “front-end” functional units. To minimize the use of the “front-end” functional units, special rules are used to maintain the coherence of a wide microcache (WMC).
  • Execution of a wide (W) instruction has a residual effect of loading the specified memory data into a wide microcache (WMC). Under certain conditions, a future wide (W) instruction may be able to reuse the WMC contents.
  • First of all, any store or cache coherency action on the physical addresses referenced by the WMC will invalidate the contents. The minimum translation unit of the virtual memory system, 256 bytes, defines the number of physical address blocks which must be checked by any store. A WMC for the W.TABLE instruction may be as large as 4096 bytes, and so requires as many as 16 such physical address blocks to be checked for each WMC entry. A WMC for the W.SWITCH or W.MUL.* instructions need check only one address block for each WMC entry, as the maximum size is 128 bytes.
  • By making these checks on the physical addresses, we do not need to be concerned about changes to the virtual memory mapping from virtual to physical addresses, and the virtual memory state can be freely changed without invalidating any WMC.
  • Absent any of the above changes, the WMC is only valid if it contains the contents relevant to the current wide (W) instruction. To check this with minimal use of the front-end units, each WMC entry contains a first tag with the thread and address register for which it was last used. If the current wide (W) instruction uses the same thread and address register, it may proceed safely. Any intervening writes to that address register by that thread invalidates the WMC thread and address register tag.
  • If the above test fails, the front-end is used to fetch the address register and check its contents against a second WMC tag, with the physical addresses for which it was last used. If the tag matches, it may proceed safely. As detailed above, any intervening stores or cache coherency action by any thread to the physical addresses invalidates the WMC entry.
  • If both the above tests fail for all relevant WMC entries, there is no alternative but to load the data from the virtual memory system into the WMC. The front-end units are responsible for generating the necessary addresses to the virtual memory system to fetch the entire data block into a WMC.
  • For the first implementation, it is anticipated that there be eight WMC entries for each of the two X units (for W.SWITCH instructions), eight WMC entries for each of the two E units (for W.MUL instructions), and four WMC entries for the single T unit. The total number of WMC address tags requires is 8*2*1+8*2*1+4*1*16=96 entries.
  • The number of WMC address tags can be substantially reduced to 32+4=36 entries by making an implementation restriction requiring that a single translation block be used to translate the data address of W.TABLE instructions. With this restriction, each W.TABLE WMC entry uses a contiguous and aligned physical data memory block, for which a single address tag can contain the relevant information. The size of such a block is a maximum of 4096 bytes. The restriction can be checked by examining the size field of the referenced GTB entry.
  • Level Zero Cache
  • The innermost cache level, here named the “Level Zero Cache,” (LZC) is fully associative and indexed by global address. Entries in the LZC contain global addresses and previously fetched data from the memory system. The LZC is an implementation feature, not visible to the Zeus architecture.
  • Entries in the LZC are also used to hold the global addresses of store instructions that have been issued, but not yet completed in the memory system. The LZC entry may also contain the data associated with the global address, as maintained either before or after updating with the store data. When it contains the post-store data, results of stores may be forwarded directly to the requested reference.
  • With an LZC hit, data is returned from the LZC data, and protection from the LZC tag. No LOC access is required to complete the reference.
  • All loads and program fetches are checked against the LZC for conflicts with entries being used as store buffer. On a LZC hit on such entries, if the post-store data is present, data may be returned by the LZC to satisfy the load or program fetch. If the post-store data is not present, the load or program fetch must stall until the data is available.
  • With an LZC miss, a victim entry is selected, and if dirty, the victim entry is written to the LOC. The LOC cache is accessed, and a valid LZC entry is constructed from data from the LOC and tags from the LOC protection information.
  • All stores are checked against the LZC for conflicts, and further cause a new entry in the LZC, or “take over” a previously clean LZC entry for this purpose. Unaligned stores may require two entries in the LZC. At time of allocation, the address is filled in.
  • Two operations then occur in parallel—1) for write-back cached references, the remaining bytes of the hexlet are loaded from the LOC (or LZC), and 2) the addressed bytes are filled in with data from data path. If an exception causes the store to be purged before retirement, the LZC entry is marked invalid, and not written back. When the store is retired, the LZC entry can be written back to LOC or external interface.
  • Structure
  • The eight memory addresses are partitioned into up to four odd addresses, and four even addresses.
  • The LZC contains 16 fully associative entries that may each contain a single hexlet of data at even hexlet addresses (LZCE), and another 16 entries for odd hexlet addresses (LZCO). The maximum capacity of the LZC is 16*32=512 bytes.
  • The tags for these entries are indexed by global virtual address (63 . . . 5), and contain access control information, detailed below.
  • The address of entries accessed associatively is also encoded into binary and provided as output from the tags for use in updating the LZC, through its write ports.
    8 bit rwxg
    16 bit valid
    16 bit dirty
    4 bit L0$ address
    16 bit protection
    def data,protect,valid,dirty,match
    Figure US20080059766A1-20080306-P00801
    LevelZeroCacheRead(ga) as
      eo
    Figure US20080059766A1-20080306-P00801
    ga4
      match
    Figure US20080059766A1-20080306-P00801
    NONE
      for i
    Figure US20080059766A1-20080306-P00801
    0 to LevelZeroCacheEntries/2−1
        if (ga63..5 = LevelZeroTag[eo][i] then
          match
    Figure US20080059766A1-20080306-P00801
    i
        endif
      endfor
      if match = NONE then
        raise LevelZeroCacheMiss
      else
        data
    Figure US20080059766A1-20080306-P00801
    LevelZeroData[eo][match]127..0
        valid
    Figure US20080059766A1-20080306-P00801
    LevelZeroData[eo][match]143..128
        dirty
    Figure US20080059766A1-20080306-P00801
    LevelZeroData[eo][match]159..144
        protect
    Figure US20080059766A1-20080306-P00801
    LevelZeroData[eo][match]167..160
      endif
    enddef

    Level One Cache
  • The next cache level, here named the “Level One Cache,” (LOC) is four-set-associative and indexed by the physical address. The eight memory addresses are partitioned into up to eight addresses for each of eight independent memory banks. The LOC has a cache block size of 256 bytes, with triclet (32-byte) sub-blocks.
  • The LOC may be partitioned into two sections, one part used as a cache, and the remainder used as “niche memory.” Niche memory is at least as fast as cache memory, but unlike cache, never misses to main memory. Niche memory may be placed at any virtual address, and has physical addresses fixed in the memory map. The nl field in the control register configures the partitioning of LOC into cache memory and niche memory.
  • The LOC data memory is (256+8)×4×(128+2) bits, depth to hold 256 entries in each of four sets, each entry consisting of one hexlet of data (128 bits), one bit of parity, and one spare bit. The additional 8 entries in each of four sets hold the LOC tags, with 128 bits per entry for ⅛ of the total cache, using 512 bytes per data memory and 4K bytes total.
  • There are 128 cache blocks per set, or 512 cache blocks total. The maximum capacity of the LOC is 128 k bytes. Used as a cache, the LOC is partitioned into 4 sets, each 32 k bytes. Physically, the LOC is partitioned into 8 interleaved physical blocks, each holding 16 k bytes.
  • The physical address pa63 . . . 0 is partitioned as below into a 52 to 54 bit tag (three to five bits are duplicated from the following field to accommodate use of portion of the cache as niche), 8-bit address to the memory bank (7 bits are physical address (pa), 1 bit is virtual address (v)), 3 bit memory bank select (bn), and 4-bit byte address (bt). All access to the LOC are in units of 128 bits (hexlets), so the 4-bit byte address (bt) does not apply here. The shaded field (pa,v) is translated via nl to a cache identifier (ci) and set identifier (si) and presented to the LOC as the LOC address to LOC bank bn.
    Figure US20080059766A1-20080306-C00031
  • The LOC tag consists of 64 bits of information, including a 52 to 54-bit tag and other cache state information. Only one MTB entry at a time may contain a LOC tag.
  • With 256 byte cache lines, there are 512 cache blocks. At 64 bits per tag, the cache tags require 4 k bytes of storage. This storage is adjacent to the LOC data memory itself, using physical addresses=1024 . . . 1055. Alternatively (see detailed description below), physical addresses=0 . . . 31 may be used.
  • The format of a LOC tag entry is shown below.
    Figure US20080059766A1-20080306-C00032
  • The meaning of the fields are given by the following table:
    name size meaning
    tag 52 physical address tag
    da 1 detail access (or physical address bit 11)
    vs 1 victim select (or physical address bit 10)
    mesi 2 coherency: modified (3), exclusive (2),
    shared (1), invalid (0)
    tv 8 triclet valid (1) or invalid (0)
  • To access the LOC, a global address is supplied to the Micro-Tag Buffer (MTB), which associatively looks up the global address into a table holding a subset of the LOC tags. In particular, each MTB table entry contains the cache index derived from physical address bits 14 . . . 8, ci, (7 bits) and set identifier, si, (2 bits) required to access the LOC data. Each MTB table entry also contains the protection information of the LOC tag.
  • With an MTB hit, protection information is supplied from the MTB. The MTB supplies the resulting cache index (ci, from the MTB), set identifier, si, (2 bits) and virtual address (bit 7, v, from the LA), which are applied to the LOC data bank selected from bits 6 . . . 4 of the LA. The diagram below shows the address presented to LOC data bank bn.
    Figure US20080059766A1-20080306-C00033
  • With an MTB miss, the GTB (described below) is referenced to obtain a physical address and protection information.
  • To select the cache line, a 7-bit niche limit register nl is compared against the value of pa14 . . . 8 from the GTB. If pa14 . . . 8<nl, a 7-bit address modifier register am is inclusive-or'ed against pa14 . . . 8, producing a cache index, ci. Otherwise, pa14 . . . 8 is used as ci. Cache lines 0 . . . nl-1, and cache tags 0 . . . nl-1, are available for use as niche memory. Cache lines nl . . . 127 and cache tags nl . . . 127 are used as LOC.
    ci←(pa 14 . . . 8 <nl)?(pa 14 . . . 8 ∥am):pa 14 . . . 8
  • The address modifier am is (17-log(128-nl)∥0log(128-nl)). The bt field specifies the least-significant bit used for tag, and is (nl<112) ?12:8+log(128-nl):
    nl am bt
    0 0 12
     1 . . . 64 64 12
    65 . . . 96 96 12
     97 . . . 112 112 12
    113 . . . 120 120 11
    121 . . . 124 124 10
    125 . . . 126 126 9
    127 127 8
  • Values for nl in the range 113 . . . 127 require more than 52 physical address tag bits in the LOC tag and a requisite reduction in LOC features. Note that the presence of bits 14 . . . 10 of the physical address in the LOC tag is a result of the possibility that, with am=64 . . . 127, the cache index value ci cannot be relied upon to supply bit 14 . . . 8. Bits 9 . . . 8 can be safely inferred from the cache index value ci, so long as nl is in the range 0 . . . 124. When nl is in the range 113 . . . 127, the da bit is used for bit 11 of the physical address, so the Tag detail access bit is suppressed. When nl is in the range 121 . . . 127, the vs bit is used for bit 10 of the physical address, so victim selection is performed without state bits in the LOC tag. When nl is in the range 125 . . . 127, the set associativity is decreased, so that si1 is used for bit 9 of the physical address and when nl is 127, si0 is used for bit 8 of the physical address.
  • Four tags are fetched from the LOC tags and compared against the PA to determine which of the four sets contain the data. The four tags are contained in two consecutive banks; they may be simultaneously or independently fetched. The diagram below shows the address presented to LOC data bank (ci1 . . . 0∥si1).
    Figure US20080059766A1-20080306-C00034
  • Note that the CT architecture description variable is present in the above address. CT describes whether dedicated locations exist in the LOC for tags at the next power-of-two boundary above the LOC data. The niche-mapping mechanism can provide the storage for the LOC tags, so the existence of these dedicated tags is optional: If CT=0, addresses at the beginning of the LOC (0 . . . 31 for this implementation) are used for LOC tags, and the nl value should be adjusted accordingly by software.
  • The LOC address (ci∥si) uniquely identifies the cache location, and this LOC address is associatively checked against all MTB entries on changes to the LOC tags, such as by cache block replacement, bus snooping, or software modification. Any matching MTB entries are flushed, even if the MTB entry specifies a different global address—this permits address aliasing (the use of a physical address with more than one global address.
  • With an LOC miss, a victim set is selected (LOC victim selection is described below), whose contents, if any sub-block is modified, is written to the external memory. A new LOC entry is constructed with address and protection information from the GTB, and data fetched from external memory.
  • The table below shows the contents of LOC data memory banks 0 . . . 7 for addresses 0 . . . 2047:
    address bank 7 . . . bank 1 bank 0
      0 line 0, hexlet 7, set 0 line 0, hexlet 1, set 0 line 0, hexlet 0, set 0
      1 line 0, hexlet 15, set 0 line 0, hexlet 9, set 0 line 0, hexlet 8, set 0
      2 line 0, hexlet 7, set 1 line 0, hexlet 1, set 1 line 0, hexlet 0, set 1
      3 line 0, hexlet 15, set 1 line 0, hexlet 9, set 1 line 0, hexlet 8, set 1
      4 line 0, hexlet 7, set 2 line 0, hexlet 1, set 2 line 0, hexlet 0, set 2
      5 line 0, hexlet 15, set 2 line 0, hexlet 9, set 2 line 0, hexlet 8, set 2
      6 line 0, hexlet 7, set 3 line 0, hexlet 1, set 3 line 0, hexlet 0, set 3
      7 line 0, hexlet 15, set 3 line 0, hexlet 9, set 3 line 0, hexlet 8, set 3
      8 line 1, hexlet 7, set 0 line 1, hexlet 1, set 0 line 1, hexlet 0, set 0
      9 line 1, hexlet 15, set 0 line 1, hexlet 9, set 0 line 1, hexlet 8, set 0
     10 line 1, hexlet 7, set 1 line 1, hexlet 1, set 1 line 1, hexlet 0, set 1
     11 line 1, hexlet 15, set 1 line 1, hexlet 9, set 1 line 1, hexlet 8, set 1
     12 line 1, hexlet 7, set 2 line 1, hexlet 1, set 2 line 1, hexlet 0, set 2
     13 line 1, hexlet 15, set 2 line 1, hexlet 9, set 2 line 1, hexlet 8, set 2
     14 line 1, hexlet 7, set 3 line 1, hexlet 1, set 3 line 1, hexlet 0, set 3
     15 line 1, hexlet 15, set 3 line 1, hexlet 9, set 3 line 1, hexlet 8, set 3
    . . . . . . . . . . . .
    1016 line 127, hexlet 7, set 0 line 127, hexlet 1, set 0 line 127, hexlet 0, set 0
    1017 line 127, hexlet 15, set 0 line 127, hexlet 9, set 0 line 127, hexlet 8, set 0
    1018 line 127, hexlet 7, set 1 line 127, hexlet 1, set 1 line 127, hexlet 0, set 1
    1019 line 127, hexlet 15, set 1 line 127, hexlet 9, set 1 line 127, hexlet 8, set 1
    1020 line 127, hexlet 7, set 2 line 127, hexlet 1, set 2 line 127, hexlet 0, set 2
    1021 line 127, hexlet 15, set 2 line 127, hexlet 9, set 2 line 127, hexlet 8, set 2
    1022 line 127, hexlet 7, set 3 line 127, hexlet 1, set 3 line 127, hexlet 0, set 3
    1023 line 127, hexlet 15, set 3 line 127, hexlet 9, set 3 line 127, hexlet 8, set 3
    1024 tag line 3, sets 3 and 2 tag line 0, sets 3 and 2 tag line 0, sets 1 and 0
    1025 tag line 7, sets 3 and 2 tag line 4, sets 3 and 2 tag line 4, sets 1 and 0
    . . . . . . . . . . . .
    1055 tag line 127, sets 3 and 2 tag line 124, sets 3 and 2 tag line 124, sets 1 and 0
    1056 reserved reserved reserved
    . . . . . . . . . . . .
    2047 reserved reserved reserved
  • The following table summarizes the state transitions required by the LOC cache:
    cc op mesi v bus op c x mesi v w m notes
    NC R x x uncached read
    NC W x x uncached write
    CD R I x uncached read
    CD R x 0 uncached read
    CD R MES 1 (hit)
    CD W I x uncached write
    CD W x 0 uncached write
    CD W MES 1 uncached write 1
    WT/WA R I x triclet read 0 x
    WT/WA R I x triclet read 1 0 S 1
    WT/WA R I x triclet read 1 1 E 1
    WT/WA R MES 0 triclet read 0 x inconsistent KEN#
    WT/WA R S 0 triclet read 1 0 1
    WT/WA R S 0 triclet read 1 1 1 E->S: extra sharing
    WT/WA R E 0 triclet read 1 0 1
    WT/WA R E 0 triclet read 1 1 S 1 shared block
    WT/WA R M 0 triclet read 1 0 S 1 other subblocks M->I
    WT/WA R M 0 triclet read 1 1 1 E->M: extra dirty
    WT/WA R MES 1 (hit)
    WT W I x uncached write
    WT W x 0 uncached write
    WT W MES 1 uncached write 1
    WA W I x triclet read 0 x 1 throwaway read
    WA W I x triclet read 1 0 S 1 1 1
    WA W I x triclet read 1 1 M 1 1
    WA W MES 0 triclet read 0 x 1 1 inconsistent KEN#
    WA W S 0 triclet read 1 0 S 1 1 1
    WA W S 0 triclet read 1 1 M 1 1
    WA W S 1 write 0 S 1 1
    WA W S 1 write 1 S 1 1 E->S: extra sharing
    WA W E 0 triclet read 1 0 S 1 1 1
    WA W E 0 triclet read 1 1 E 1 1 1
    WA W E 1 (hit) x M 1 E->M: extra dirty
    WA W M 0 triclet read 1 0 M 1 1 1
    WA W M 0 triclet read 1 1 M 1 1
    WA W M 1 (hit) x M 1
    cc cache control
    op operation: R = read, W = write
    mesi current mesi state
    v current tv state
    bus op bus operation
    c cachable (triclet) result
    x exclusive result
    mesi new mesi state
    v new tv state
    w cacheable write after read
    m merge store data with cache line data
    notes other notes on transition
  • Definition
    def data,tda
    Figure US20080059766A1-20080306-P00801
    LevelOneCacheAccess(pa,size,lda,gda,cc,op,wd) as
      // cache index
      am
    Figure US20080059766A1-20080306-P00801
    (17−log(128−nl) || 0log(128−nl))
      ci
    Figure US20080059766A1-20080306-P00801
    (pa14..8<nl) ? (pa14..8||am) : pa14..8
      bt
    Figure US20080059766A1-20080306-P00801
    (nl≦112) ? 12 : 8+log(128-nl)
      // fetch tags for all four sets
      tag10
    Figure US20080059766A1-20080306-P00801
    ReadPhysical(0xFFFFFFFF0000000063..19||CT||05||ci||01||04, 128)
      Tag[0]
    Figure US20080059766A1-20080306-P00801
    tag1063..0
      Tag[1]
    Figure US20080059766A1-20080306-P00801
    tag10127..64
      tag32
    Figure US20080059766A1-20080306-P00801
    □ReadPhysical(0xFFFFFFFF0000000063..19||CT||05||ci||11||04, 128)
      Tag[2]
    Figure US20080059766A1-20080306-P00801
    tag3263..0
      Tag[3]
    Figure US20080059766A1-20080306-P00801
    tag32127..64
      vsc
    Figure US20080059766A1-20080306-P00801
    (Tag[3]10 || Tag[2]10) {circumflex over ( )} (Tag[1]10 || Tag[0]10)
      // look for matching tag
      si
    Figure US20080059766A1-20080306-P00801
    MISS
      for i
    Figure US20080059766A1-20080306-P00801
    0 to 3
        if (Tag[i]63..10 || i1..0 || 07)63..bt = pa63..bt then
          si
    Figure US20080059766A1-20080306-P00801
    i
        endif
      endfor
      // detail access checking on MISS
      if (si = MISS) and (lda ≠ gda) then
        if gda then
          PerformAccessDetail(AccessDetailRequiredByGlobalTB)
        else
          PerformAccessDetail(AccessDetailRequiredByLocalTB)
        endif
      endif
      // if no matching tag or invalid MESI or no sub-block, perform cacheable read/write
      bd
    Figure US20080059766A1-20080306-P00801
    (si = MISS) or (Tag[si]9..8 = I) or ((op=W) and (Tag[si]9..8 = S)) or ˜Tag[si]pa 7..5
      if bd then
        if (op=W) and (cc ≧ WA) and ((si = MISS) or ˜Tag[si]pa 7..5 or (Tag(si)9..8 ≠ S)) then
          data,cen,xen
    Figure US20080059766A1-20080306-P00801
    AccessPhysical(pa,size,cc,R,0)
          //if cache disabled or shared, do a write through
          if ˜cen or ˜xen then
            data,cen,xen
    Figure US20080059766A1-20080306-P00801
    AccessPhysical(pa,size,cc,W,wd)
          endif
        else
          data,cen,xen
    Figure US20080059766A1-20080306-P00801
    AccessPhysical(pa,size,cc,op,wd)
        endif
        al
    Figure US20080059766A1-20080306-P00801
    cen
      else
        al
    Figure US20080059766A1-20080306-P00801
    0
      endif
      // find victim set and eject from cache
      if al and (si = MISS or Tag[si]9..8 = I) then
        case bt of
          12..11:
            si
    Figure US20080059766A1-20080306-P00801
    vsc
          10..8:
            gvsc
    Figure US20080059766A1-20080306-P00801
    gvsc + 1
            si
    Figure US20080059766A1-20080306-P00801
    (bt≦9) : pa9 : gvsc1{circumflex over ( )}pa11 || (bt≦8) : pa8 : gvsc0{circumflex over ( )}pa10
        endcase
        if Tag[si]9..8 = M then
          for i
    Figure US20080059766A1-20080306-P00801
    0 to 7
            if Tag[si]i then
              vca
    Figure US20080059766A1-20080306-P00801
    0xFFFFFFFF0000000063..19||0||ci||si||i2..0||04
              vdata
    Figure US20080059766A1-20080306-P00801
    ReadPhysical(vca, 256)
              vpa
    Figure US20080059766A1-20080306-P00801
    (Tag[si]63..10 || si1..0 || 07)63..bt||pabt−1..8||i2..0||0||04
              WritePhysical(vpa, 256, vdata)
            endif
          endfor
        endif
        if Tag[vsc+1]9..8 = I then
          nvsc
    Figure US20080059766A1-20080306-P00801
    vsc + 1
        elseif Tag[vsc+2]9..8 = I then
          nvsc
    Figure US20080059766A1-20080306-P00801
    vsc + 2
        elseif Tag[vsc+3]9..8 = I then
          nvsc
    Figure US20080059766A1-20080306-P00801
    vsc + 3
        else
          case cc of
            NC, CD, WT, WA, PF:
              nvsc
    Figure US20080059766A1-20080306-P00801
    vsc + 1
            LS, SS:
              nvsc
    Figure US20080059766A1-20080306-P00801
    vsc //no change
            endif
          endcase
        endif
        tda
    Figure US20080059766A1-20080306-P00801
    0
        sm
    Figure US20080059766A1-20080306-P00801
    07−pa 7..5 || 11 || 0pa 7..5
        else
          nvsc
    Figure US20080059766A1-20080306-P00801
    vsc
          tda
    Figure US20080059766A1-20080306-P00801
    (bt>11) ? Tag[si]11 : 0
          if al then
            sm
    Figure US20080059766A1-20080306-P00801
    Tag[si]7..1+pa 7..5 || 11 || Tag[si]pa 7..5−1..0
          endif
        endif
        // write new data into cache and update victim selection and other tag fields
        if al then
          if op=R then
            mesi
    Figure US20080059766A1-20080306-P00801
    xen ? E : S
          else
            mesi
    Figure US20080059766A1-20080306-P00801
    xen ? M : I TODO
          endif
          case bt of
            12:
              Tag[si]
    Figure US20080059766A1-20080306-P00801
    pa63..bt || tda || Tag[si{circumflex over ( )}2]10 {circumflex over ( )} nvscsi 0 || mesi || sm
              Tag[si{circumflex over ( )}1]10
    Figure US20080059766A1-20080306-P00801
    Tag[si{circumflex over ( )}3]10 {circumflex over ( )} nvsc1{circumflex over ( )}si 0
            11:
              Tag[si]
    Figure US20080059766A1-20080306-P00801
    pa63..bt || Tag[si{circumflex over ( )}2]10 {circumflex over ( )} nvscsi 0 || mesi || sm
              Tag[si{circumflex over ( )}1]10
    Figure US20080059766A1-20080306-P00801
    Tag[si{circumflex over ( )}3]10 {circumflex over ( )} nvsc1{circumflex over ( )}si 0
            10:
              Tag[si]
    Figure US20080059766A1-20080306-P00801
    pa63..bt || mesi || sm
          endcase
          dt
    Figure US20080059766A1-20080306-P00801
    1
          nca
    Figure US20080059766A1-20080306-P00801
    0xFFFFFFFF0000000063..19||0||ci||si||pa7..5||04
          WritePhysical(nca, 256, data)
        endif
        // retrieve data from cache
        if ˜bd then
          nca
    Figure US20080059766A1-20080306-P00801
    0xFFFFFFFF0000000063..19||0||ci||si||pa7..5||04
          data
    Figure US20080059766A1-20080306-P00801
    ReadPhysical(nca, 128)
        endif
        // write data into cache
        if (op=W) and bd and al then
          nca
    Figure US20080059766A1-20080306-P00801
    0xFFFFFFFF0000000063..19||0||ci||si||pa7..5||04
          data
    Figure US20080059766A1-20080306-P00801
    ReadPhysical(nca, 128)
          mdata
    Figure US20080059766A1-20080306-P00801
    data127..8*(size+pa3..0) || wd8*(size+pa3..0)−1..8*pa3..0 || data8*pa3..0..0
          WritePhysical(nca, 128, mdata)
        endif
        // prefetch into cache
        if al=bd and (cc=PF or cc=LS) then
          af
    Figure US20080059766A1-20080306-P00801
    0 // abort fetch if af becomes 1
          for i
    Figure US20080059766A1-20080306-P00801
    0 to 7
            if ˜Tag[si]i and ˜af then
              data,cen,xen
    Figure US20080059766A1-20080306-P00801
    AccessPhysical(pa63..8||i2..0||0||04,256,cc,R,0)
              if cen then
              nca
    Figure US20080059766A1-20080306-P00801
    0xFFFFFFFF0000000063..19||0||ci||si||i2..0||04
              WritePhysical(nca, 256, data)
              Tag[si]i
    Figure US20080059766A1-20080306-P00801
    1
              dt
    Figure US20080059766A1-20080306-P00801
    1
            else
              af
    Figure US20080059766A1-20080306-P00801
    1
            endif
          endif
        endfor
      endif
      // cache tag writeback if dirty
      if dt then
        nt
    Figure US20080059766A1-20080306-P00801
    Tag[si1||11) || Tag[si1||01)
        WritePhysical(0xFFFFFFFF0000000063..19||CT||05||ci||si1||04, 128, nt)
      endif
    enddef

    Physical Address
  • The LOC data memory banks are accessed implicitly by cached memory accesses to any physical memory location as shown above. The LOC data memory banks are also accessed explicitly by uncached memory accesses to particular physical address ranges. The address mapping of these ranges is designed to facilitate use of a contiguous portion of the LOC cache as niche memory.
  • The physical address of a LOC hexlet for LOC address ba, bank bn, byte b is:
    Figure US20080059766A1-20080306-C00035
  • Within the explicit LOC data range, starting from a physical address pa17 . . . 0, the diagram below shows the LOC address (pa17 . . . 7) presented to LOC data bank (pa6 . . . 4).
    Figure US20080059766A1-20080306-C00036
  • The table below shows the LOC data memory bank and address referenced by byte address offsets in the explicit LOC data range. Note that this mapping includes the addresses use for LOC tags.
    Byte offset
       0 bank 0, address 0
      16 bank 1, address 0
      32 bank 2, address 0
      48 bank 3, address 0
      64 bank 4, address 0
      80 bank 5, address 0
      96 bank 6, address 0
      112 bank 7, address 0
      128 bank 0, address 1
      144 bank 1, address 1
      160 bank 2, address 1
      176 bank 3, address 1
      192 bank 4, address 1
      208 bank 5, address 1
      224 bank 6, address 1
      240 bank 7, address 1
    . . . . . .
    262016 bank 0, address 2047
    262032 bank 1, address 2047
    262048 bank 2, address 2047
    262064 bank 3, address 2047
    262080 bank 4, address 2047
    262096 bank 5, address 2047
    262112 bank 6, address 2047
    262128 bank 7, address 2047
  • Definition
    def data
    Figure US20080059766A1-20080306-P00801
    AccessPhysicalLOC(pa,op,wd) as
      bank
    Figure US20080059766A1-20080306-P00801
    pa6..4
      addr
    Figure US20080059766A1-20080306-P00801
    pa17..7
      case op of
        R:
          rd
    Figure US20080059766A1-20080306-P00801
    LOCArray[bank][addr]
          crc
    Figure US20080059766A1-20080306-P00801
    LOCRedundancy[bank]
          data
    Figure US20080059766A1-20080306-P00801
    (crc and rd130..2) or (˜crc and rd128..0)
          p[0]
    Figure US20080059766A1-20080306-P00801
    0
          for i
    Figure US20080059766A1-20080306-P00801
    0 to 128 by 1
            p[l+1]
    Figure US20080059766A1-20080306-P00801
    p[i] {circumflex over ( )} datai
          endfor
          if ControlRegister61 and (p[129] ≠ 1) then
            raise CacheError
          endif
        W:
          p[0]
    Figure US20080059766A1-20080306-P00801
    0
          for l
    Figure US20080059766A1-20080306-P00801
    0 to 127 by 1
            p[l+1]
    Figure US20080059766A1-20080306-P00801
    p[i] {circumflex over ( )} wdi
          endfor
          wd128
    Figure US20080059766A1-20080306-P00801
    ˜p[128]
          crc
    Figure US20080059766A1-20080306-P00801
    LOCRedundancy[bank]
          rdata
    Figure US20080059766A1-20080306-P00801
    (crc126..0 and wd126..0) or (˜crc126..0 and wd128..2)
          LOCArray[bank][addr]
    Figure US20080059766A1-20080306-P00801
    wd128..127 || rdata || wd1..0
      endcase
    enddef

    Level One Cache Stress Control
  • LOC cells may be fabricated with marginal parameters, for which changes in clock timing or power supply voltage may cause these LOC cells to fail or pass. When testing the LOC while the part is in a normal circuit environment, rather than a special test environment with changeable power supply levels, cells with marginal parameters may not reliably fail testing.
  • To combat this problem, two bits of the control register, LOC stress, may be set to stress the circuit environment while testing. Under normal operation, these bits are cleared (00), while during stress testing, one or more of these bits are set (01, 10, 11). Self-testing should be performed in each of the environment settings, and the detected failures combined together to produce a reliable test for cells with marginal parameters.
  • Level One Cache Redundancy
  • The LOC contains facilities that can be used to avoid minor defects in the LOC data array.
  • Each LOC bank has three additional bits of data storage for each 128 bits of memory data (for a total of 131 bits). One of these bits is used to retain odd parity over the 128 bits of memory data, and the other two bits are spare, which can be pressed into service by setting a non-zero value in the LOC redundancy control register for that bank.
  • Each row of a LOC bank contains 131 bits: 128 bits of memory data, one bit for parity, and two spare bits:
    Figure US20080059766A1-20080306-C00037
  • LOC redundancy control has 129 bits:
    Figure US20080059766A1-20080306-C00038
  • Each bit set in the control word causes the corresponding data bit to be selected from a bit address increased by two:
    output←(data and ˜control) or ((spare0∥p∥data127 . . . 2) and control) parity←(p and ˜pc) or (spare1 and pc)
  • The LOC redundancy control register has 129 bits, but is written with a 128-bit value. To set the pc bit in the LOC redundancy control, a value is written to the control with either bit 124 set (1) or bit 126 set (1). To set bit 124 of the LOC redundancy control, a value is written to the control with both bit 124 set (1) and 126 set (1). When the LOC redundancy control register is read, the process is reversed by selecting the pc bit instead of control bit 124 for the value of bit 124 if control bit 126 is zero (0).
  • This system can remove one defective column at an even bit position and one defective column at an odd bit position within each LOC block. For each defective column location, x, LOC control bit must be set at bits x, x+2, x+4, x+6, . . . If the defective column is in the parity location (bit 128), then set bit 124 only. The following table defines the control bits for parity, bit 126 and bit 124: (other control bits are same as values written)
    value126 value124 pc control126 control124
    0 0 0 0 0
    0 1 1 0 0
    1 0 1 1 0
    1 1 1 1 1

    Physical Address
  • The LOC redundancy controls are accessed explicitly by uncached memory accesses to particular physical address ranges.
  • The physical address of a LOC redundancy control for LOC bank bn, byte b is:
    Figure US20080059766A1-20080306-C00039
  • Definition
    def data
    Figure US20080059766A1-20080306-P00801
    AccessPhysicalLOCRed undancy(pa,op,wd) as
      bank
    Figure US20080059766A1-20080306-P00801
    pa6..4
      case op of
        R:
          rd
    Figure US20080059766A1-20080306-P00801
    LOCRedundancy[bank]
          data
    Figure US20080059766A1-20080306-P00801
    rd127..125||(rd126 ? rd124 : rd128)||rd123..0
        W:
          rd
    Figure US20080059766A1-20080306-P00801
    (wd126 or
          wd124)||wd127..125||(wd126 and wd124)||wd123..0
          LOCRedundancy[bank]
    Figure US20080059766A1-20080306-P00801
    rd
      endcase
    enddef

    Memory Attributes
  • Fields in the LTB, GTB and cache tag control various attributes of the memory access in the specified region of memory. These include the control of cache consultation, updating, allocation, prefetching, coherence, ordering, victim selection, detail access, and cache prefetching.
  • Cache Control
  • The cache may be used in one of five ways, depending on a three-bit cache control field (cc) in the LTB and GTB. The cache control field may be set to one of seven states: NC, CD, WT, WA, PF, SS, and LS:
    read
    allo- write read/write
    State consult cate update allocate victim prefetch
    No Cache 0 No No No No No No
    Cache 1 Yes No Yes No No No
    Disable
    Write 2 Yes Yes Yes No No No
    Through
    reserved 3
    Write 4 Yes Yes Yes Yes No No
    Allocate
    PreFetch 5 Yes Yes Yes Yes No Yes
    SubStream 6 Yes Yes Yes Yes Yes No
    LineStream 7 Yes Yes Yes Yes Yes Yes
  • The Zeus processor controls cc as an attribute in the LTB and GTB, thus software may set this attribute for certain address ranges and clear it for others. A three-bit field indicates the choice of caching, according to the table above. The maximum of the three-bit cache control field (cc) values of the LTB and GTB indicates the choice of caching, according to the table above.
  • No Cache
  • No Cache (NC) is an attribute that can be set on a LTB or GTB translation region to indicate that the cache is to be not to be consulted. No changes to the cache state result from reads or writes with this attribute set, (except for accesses that directly address the cache via memory-mapped region).
  • Cache Disable
  • Cache Disable (CD) is an attribute that can be set on a LTB or GTB translation region to indicate that the cache is to be consulted and updated for cache lines which are already present, but no new cache lines or sub-blocks are to be allocated when the cache does not already contain the addressed memory contents.
  • The “Socket 7” bus also provides a mechanism for supporting chip sets to decide on each access whether data is to be cached, using the CACHE# and KEN# signals. Using these signals, external hardware may cause a region selected as WT, WA or PF to be treated as CD. This mechanism is only active on the first such access to a memory region if caching is enabled, as the cache may satisfy subsequent references without a bus transaction.
  • Write Through
  • Write Through (WT) is an attribute that can be set on a LTB or GTB translation region to indicate that the writes to the cache must also immediately update backing memory. Reads to addressed memory that is not present in the cache cause cache lines or sub-blocks to be allocated. Writes to addressed memory that is not present in the cache does not modify cache state.
  • The “Socket 7” bus also provides a mechanism for supporting chip sets to decide on each access whether data is to be written through, using the PWT and WB/WT# signals. Using these signals, external hardware may cause a region selected as WA or PF to be treated as WT. This mechanism is only active on the first write to each region of memory; as on subsequent references, if the cache line is in the Exclusive or Modified state and writeback caching is enabled on the first reference, no subsequent bus operation occurs, at least until the cache line is flushed.
  • Write Allocate
  • Write allocate (WA) is an attribute that can be set of a LTB or GTB translation region to indicate that the processor is to allocate a memory block to the cache when the data is not previously present in the cache and the operation to be performed is a store. Reads to addressed memory that is not present in the cache cause cache lines or sub-blocks to be allocated. For cacheable data, write allocate is generally the preferred policy, as allocating the data to the cache reduces further bus traffic for subsequent references (loads or stores) or the data. Write allocate never occurs for data which is not cached. A write allocate brings in the data immediately into the Modified state.
  • Other “socket 7” processors have the ability to inhibit write allocate to cached locations under certain conditions, related by the address range. K6, for example, can inhibit write allocate in the range of 15-16 Mbyte, or for all addresses above a configurable limit with 4 Mbyte granularity. Pentium has the ability to label address ranges over which write allocate can be inhibited.
  • PreFetch
  • Prefetch (PF) is an attribute that can be set on a LTB or GTB translation region to indicate that increased prefetching is appropriate for references in this region. Each program fetch, load or store to a cache line that or does not already contain all the sub-blocks causes a prefetch allocation of the remaining sub-blocks. Cache misses cause allocation of the requested sub-block and prefetch allocation of the remaining sub-blocks. Prefetching does not necessarily fill in the entire cache line, as prefetch memory references are performed at a lower priority to other cache and memory reference traffic. A limited number of prefetches (as low as one in the initial implementation) can be queued; the older prefetch requests are terminated as new ones are created.
  • In other respects, the PF attribute is handled in the manner of the WA attribute. Prefetching is considered an implementation-dependent feature, and an implementation may choose to implement region with the PF attribute exactly as with the WA attribute.
  • Implementations may perform even more aggressive prefetching in future versions. Data may be prefetched into the cache in regions that are cacheable, as a result of program fetches, loads or stores to nearby addresses. Prefetches may extend beyond the cache line associated with the nearby address. Prefetches shall not occur beyond the reach of the GTB entry associated with the nearby address. Prefetching is terminated if an attempted cache fill results in a bus response that is not cacheable. Prefetches are implementation-dependent behavior, and such behavior may vary as a result of other memory references or other bus activity.
  • SubStream
  • SubStream (SS) is an attribute that can be set on a LTB or GTB translation region to indicate that references in this region are to be selected as the next victim on a cache miss. In particular, cache misses, which normally place the cache line in the last-to-be-victim state, instead place the cache line in the first-to-be-victim state, except relative to cache lines in the I state.
  • In other respects, the SS attribute is handled in the manner of the WA attribute. SubStream is considered an implementation-dependent feature, and an implementation may choose to implement region with the SS attribute exactly as with the WA attribute.
  • The SubStream attribute is appropriate for regions which are large data structures in which the processor is likely to reference the memory data just once or a small number of times, but for which the cache permits the data to be fetched using burst transfers. By making it a priority for victimization, these references are less likely to interfere with caching of data for which the cache performs a longer-term storage function.
  • LineStream
  • LineStream (LS) is an attribute that can be set on a LTB or GTB translation region to indicate that references in this region are to be selected as the next victim on a cache miss, and to enable prefetching. In particular, cache misses, which normally place the cache line in the last-to-be-victim state, instead place the cache line in the first-to-be-victim state, except relative to cache lines in the I state.
  • In other respects, the LS attribute is handled in the manner of the PF attribute. LineStream is considered an implementation-dependent feature, and an implementation may choose to implement region with the SS attribute exactly as with the PF or WA attributes.
  • Like the SubStream attribute, the LineStream attribute is particularly appropriate for regions for which large data structures are used in sequential fashion. By prefetching the entire cache line, memory traffic is performed as large sequential bursts of at least 256 bytes, maximizing the available bus utilization.
  • Cache Coherence
  • Cache coherency is maintained by using MESI protocols, for which each cache line (256 bytes) the cache data is kept in one of four states: M, E, S, I:
    State this Cache data other Cache data Memory data
    Modified 3 Data is held No data is present The contents of
    exclusively in this in other caches. main memory are now
    cache. invalid.
    Exclusive 2 Data is held No data is present in Data is the same
    exclusively in this other caches. as the contents of main
    cache. memory
    Shared 1 Data is held in this Data is possibly in Data is the same
    cache, and possibly other caches. as the contents of
    others. main memory.
    Invalid 0 No data for this Data is possibly in Data is possibly
    location is present in the other caches. present in main
    cache. memory.
  • The state is contained in the mesi field of the cache tag.
  • In addition, because the “Socket 7” bus performs block transfers and cache coherency actions on triclet (32 byte) blocks, each cache line also maintains 8 bits of triclet valid (tv) state. Each bit of tv corresponds to a triclet sub-block of the cache line; bit 0 for bytes 0 . . . 31, bit 1 for bytes 32 . . . 63, bit 2 for bytes 64 . . . 95, etc. If the tv bit is zero (0), the coherence state for that triclet is I, no matter what the value of the mesi field. If the tv bit is one (1), the coherence state is defined by the mesi field. If all the tv bits are cleared (0), the mesi field must also be cleared, indicating an invalid cache line.
  • Cache coherency activity generally follows the protocols defined by the “Socket 7” bus, as defined by Pentium and K6-2 documentation. However, because the coherence state of a cache line is represented in only 10 bits per 256 bytes (1.25 bits per triclet), a few state transistions are defined differently. The differences are a direct result of attempts to set triclets within a cache line to different MES states that cannot be represented. The data structure allows any triclet to be changed to the I state, so state transitions in this direction match the Pentium processor exactly.
  • On the Pentium processor, for a cache line in the M state, an external bus Inquiry cycle that does not require invalidation (INV=0) places the cache line in the S state. On the Zeus processor, if no other triclet in the cache line is valid, the mesi field is changed to S. If other triclets in the cache line are valid, the mesi field is left unchanged, and the tv bit for this triclet is turned off, effectively changing it to the I state.
  • On the Pentium processor, for a cache line in the E state, an external bus Inquiry cycle that does not require invalidation (INV=0) places the cache line in the S state. On the Zeus processor, the mesi field is changed to S. If other triclets in the cache line are valid, the MESI state is effectively changed to the S state for these other triclets.
  • On the Pentium processor, for a cache line in the S state, an internal store operation causes a write-through cycle and a transition to the E state. On the Zeus processor, the mesi field is changed to E. Other triclets in the cache line are invalidated by clearing the tv bits; the MESI state is effectively changed to the I state for these other triclets.
  • When allocating data into the cache due to a store operation, data is brought immediately into the Modified state, setting the mesi field to M. If the previous mesi field is S, other triclets which are valid are invalidated by clearing the tv bits. If the previous mesi field is E, other triclets are kept valid and therefore changed to the M state.
  • When allocating data into the cache due to a load operation, data is brought into the Shared state, if another processor reports that the data is present in its cache or the mesi field is already set to S, the Exclusive state, if no processor reports that the data is present in its cache and the mesi field is currently E or I, or the Modified state if the mesi field is already set to M. The determination is performed by driving PWT low and checking whether WB/WT# is sampled high; if so the line is brought into the Exclusive state. (See page 202 (184) of the K6-2 documentation).
  • Strong Ordering
  • Strong ordering (so) is an attribute which permits certain memory regions to be operated with strong ordering, in which all memory operations are performed exactly in the order specified by the program and others to be operated with weak ordering, in which some memory operations may be performed out of program order.
  • The Zeus processor controls strong ordering as an attribute in the LTB and GTB, thus software may set this attribute for certain address ranges and clear it for others. A one bit field indicates the choice of access ordering. A one (1) bit indicates strong ordering, while a zero (0) bit indicates weak ordering.
  • With weak ordering, the memory system may retain store operations in a store buffer indefinitely for later storage into the memory system, or until a synchronization operation to any address performed by the thread that issued the store operation forces the store to occur. Load operations may be performed in any order, subject to requirements that they be performed logically subsequent to prior store operations to the same address, and subsequent to prior synchronization operations to any address. Under weak ordering it is permitted to forward results from a retained store operation to a future load operation to the same address. Operations are considered to be to the same address when any bytes of the operation are in common. Weak ordering is usually appropriate for conventional memory regions, which are side-effect free.
  • With strong ordering, the memory system must perform load and store operations in the order specified. In particular, strong-ordered load operations are performed in the order specified, and all load operations (whether weak or strong) must be delayed until all previous strong-ordered store operations have been performed, which can have a significant performance impact. Strong ordering is often required for memory-mapped I/O regions, where store operations may have a side-effect on the value returned by loads to other addresses. Note that Zeus has memory-mapped I/O, such as the TB, for which the use of strong ordering is essential to proper operation of the virtual memory system.
  • The EWBE# signal in “Socket 7” is of importance in maintaining strong ordering. When a write is performed with the signal inactive, no further writes to E or M state lines may occur until the signal becomes active. Further details are given in Pentium documentation (K6-2 documentation may not apply to this signal.)
  • Victim Selection
  • One bit of the cache tag, the vs bit, controls the selection of which set of the four sets at a cache address should next be chosen as a victim for cache line replacement. Victim selection (vs) is an attribute associated with LOC cache blocks. No vs bits are present in the LTB or GTB.
  • There are two hexlets of tag information for a cache line, and replacement of a set requires writing only one hexlet. To update priority information for victim selection by writing only one hexlet, information in each hexlet is combined by an exclusive-or. It is the nature of the exclusive-or function that altering either of the two hexlets can change the priority information.
  • Full Victim Selection Ordering for Four Sets
  • There are 4*3*2*1=24 possible orderings of the four sets, which can be completely encoded in as few as 5 bits: 2 bits to indicate highest priority, 2 bits for second-highest priority, 1 bit for third-highest priority, and 0 bits for lowest priority. Dividing this up per set and duplicating per hexlet with the exclusive-or scheme above requires three bits per set, which suggests simply keeping track of the three-highest priority sets with 2 bits each, using 6 bits total and three bits per set.
  • Specifically, vs bits from the four sets are combined to produce a 6-bit value:
    vsc→(vs[3]∥vs[2]ˆ(vs[1]∥vs[0])
  • The highest priority for replacement is set vsc1 . . . 0, second highest priority is set vsC3 . . . 2, third highest priority is set vsc5 . . . 4, and lowest priority is vsc5 . . . 4 ˆvsc3 . . . 2ˆvsc1 . . . 0. When the highest priority set is replaced, it becomes the new lowest priority and the others are moved up, computing a new vsc by:
    vsc←vsc5 . . . 4ˆvsc3 . . . 2ˆvsc1 . . . 0∥vsc5 . . . 2
  • When replacing set vsc for a LineStream or SubStream replacement, the priority for replacement is unchanged, unless another set contains the invalid MESI state, computing a new vsc by:
    vsc←mesi[vsc 5..4 ˆvsc 3..2 ˆvsc 1..0 ]=I)? vsc 5..4 ˆvsc 3..2 ˆvsc 1..0 ∥vsc 5..2:
    (mesi[vsc 5..4 =I)?vsc 1..0 ∥vsc 5..2:
    (mesi[vsc 3..2 =I)?vsc 5..4 ∥vsc 1..0 ∥vsc 3..2:
    vsc
  • Cache flushing and invalidations can cause cache lines to be cleared out of sequential order. Flushing or invalidating a cache line moves that set to highest priority. If that set is already highest priority, the vsc is unchanged. If the set was second or third highest or lowest priority, the vsc is changed to move that set to highest priority, moving the others down.
    vsc←((fs=vsc 1 . . . 0 or fs=vsc 3 . . . 2)?vsc 5 . . . 4 : vsc 3 . . . 2)∥(fs=vsc 1 . . . 0 ?vsc 3 . . . 2 :vsc 1 . . . 0)∥fs
  • When updating the hexlet containing vs[1] and vs[0], the new values of vs[1] and vs[0] are:
    vs[1]←vs[3]ˆvsc5 . . . 3
    vs[0]←vs[2]ˆvsc2 . . . 0
  • When updating the hexlet containing vs[3] and vs[2], the new values of vs[3] and vs[2] are:
    vs[3]←vs[1]ˆvsc5 . . . 3
    vs[2]←vs[0]ˆvsc2 . . . 0
  • Software must initialize the vs bits to a legal, consistent state. For example, to set the priority (highest to lowest) to (0, 1, 2, 3), vsc must be set to 0b100100. There are many legal solutions that yield this vsc value, such as vs[3]←0, vs[2]←0, vs[1]←4,vs[0]←4.
  • Simplified Victim Selection Ordering for Four Sets
  • However, the orderings are simplified in the first Zeus implementation, to reduce the number of vs bits to one per set, keeping a two bit vsc state value:
    vsc←(vs[3]∥vs[2])ˆ(vs[1]∥vs[0])
  • The highest priority for replacement is set vsc, second highest priority is set vsc+1, third highest priority is set vsc+2, and lowest priority is vsc+3. When the highest priority set is replaced, it becomes the new lowest priority and the others are moved up. Priority is given to sets with invalid MESI state, computing a new vsc by:
    vsc←mesi[vsc+1]=I)?vsc+1:
    (mesi[vsc+2]=I)?vsc+2:
    (mesi[vsc+3]=I)?vsc+3:
    vsc+1
  • When replacing set vsc for a LineStream or SubStream replacement, the priority for replacement is unchanged, unless another set contains the invalid MESI state, computing a new vsc by:
    vsc←mesi[vsc+1]=I)?vsc+1:
    (mesi[vsc+2]=I)?vsc+2:
    (mesi[vsc+3]=I)?vsc+3:
    vsc
  • Cache flushing and invalidations can cause cache sets to be cleared out of sequential order. If the current highest priority for replacement is a valid set, the flushed or invalidated set is made highest priority for replacement.
    vsc←(mesi[vsc]=I)?vsc:fs
  • When updating the hexlet containing vs[1] and vs[0], the new values of vs[1] and vs[0] are:
    vs[1]←vs [3]ˆvsc1
    vs[O]←vs[2]ˆvsc0
  • When updating the hexlet containing vs[3] and vs[2], the new values of vs[3] and vs[2] are:
    vs[3]←vs[1]ˆvsc1
    vs[2]←vs[0]ˆvsc0
  • Software must initialize the vs bits, but any state is legal. For example, to set the priority (highest to lowest) to (0, 1, 2, 3), vsc must be set to 0b00. There are many legal solutions that yield this vsc value, such as vs[3]←0, vs[2]←0, vs[1]←0, vs[0]←0.
  • Full Victim Selection Ordering for Additional Sets
  • To extend the full-victim-ordering scheme to eight sets, 3*7=21 bits are needed, which divided among two tags is 11 bits per tag. This is somewhat generous, as the minimum required is 8*7*6*5*4*3*2*1=40320 orderings, which can be represented in as few as 16 bits. Extending the full-victim-ordering four-set scheme above to represent the first 4 priorities in binary, but to use 2 bits for each of the next 3 priorities requires 3+3+3+3+2+2+2=18 bits. Representing fewer distinct orderings can further reduce the number of bits used. As an extreme example, using the simplified scheme above with eight sets requires only 3 bits, which divided among two tags is 2 bits per tag.
  • Victim Selection without LOC Tag Bits
  • At extreme values of the niche limit register (nl in the range 121 . . . 124), the bit normally used to hold the vs bit is usurped for use as a physical address bit. Under these conditions, no vsc value is maintained per cache line, instead a single, global vsc value is used to select victims for cache replacement. In this case, the cache consists of four lines, each with four sets. On each replacement a new si values is computed from:
    gvsc←gvsc+1
    si←gvscˆpa11 . . . 10
  • The algorithm above is designed to utilize all four sets on sequential access to memory.
  • Victim Selection Encoding LOC Tag Bits
  • At even more extreme values of the niche limit register (nl in the range 125 . . . 127), not only is the bit normally used to hold the vs bit is usurped for use as a physical address bit, but there is a deficit of one or two physical address bits. In this case, the number of sets can be reduced to encode physical address bits into the victim selection, allowing the choice of set to indicate physical address bits 9 or bits 9 . . . 8. On each replacement a new vsc values is computed from:
    gvsc←gvsc+1
    si←pa 9∥(nl=127)?pa 8 :gvscˆpa 10
  • The algorithm above is designed to utilize all four sets on sequential access to memory.
  • Detail Access
  • Detail access is an attribute which can be set on a cache block or translation region to indicate that software needs to be consulted on each potential access, to determine whether the access should proceed or not. Setting this attribute causes an exception trap to occur, by which software can examine the virtual address, by for example, locating data in a table, and if indicated, causes the processor to continue execution. In continuing, ephemeral state is set upon returning to the re-execution of the instruction that prevents the exception trap from recurring on this particular re-execution only. The ephemeral state is cleared as soon as the instruction is either completed or subject to another exception, so DetailAccess exceptions can recur on a subsequent execution of the same instruction. Alternatively, if the access is not to proceed, execution has been trapped to software at this point, which can abort the thread or take other correction action.
  • The detail access attribute permits specification of access parameters over memory region on arbitrary byte boundaries. This is important for emulators, which must prevent store access to code which has been translated, and for simulating machines which have byte granularity on segment boundaries. The detail access attribute can also be applied to debuggers, which have the need to set breakpoints on byte-level data, or which may use the feature to set code breakpoints on instruction boundaries without altering the program code, enabling breakpoints on code contained in ROM.
  • A one bit field indicates the choice of detail access. A one (1) bit indicates detail access, while a zero (0) bit indicates no detail access. Detail access is an attribute that can be set by the LTB, the GTB, or a cache tag.
  • The table below indicates the proper status for all potential values of the detail access bits in the LTB, GTB, and Tag:
    LTB GTB Tag status
    0 0 0 OK - normal
    0 0 1 AccessDetailRequiredByTag
    0 1 0 AccessDetailRequiredByGTB
    0 1 1 OK - GTB inhibited by Tag
    1 0 0 AccessDetailRequiredByLTB
    1 0 1 OK - LTB inhibited by Tag
    1 1 0 OK - LTB inhibited by GTB
    1 1 1 AccessDetailRequiredByTag
    0 Miss GTBMiss
    1 Miss AccessDetailRequiredByLTB
    0 0 Miss Cache Miss
    0 1 Miss AccessDetailRequiredByGTB
    1 0 Miss AccessDetailRequiredByLTB
    1 1 Miss Cache Miss
  • The first eight rows show appropriate activities when all three bits are available. The detail access attributes for the LTB, GTB, and cache tag work together to define whether and which kind of detail access exception trap occurs. Generally, setting a single attribute bit causes an exception, while setting two bits inhibits such exceptions. In this way, a detail access exception can be narrowed down to cause an exception over a specified region of memory: Software generally will set the cache tag detail access bit only for regions in which the LTB or GTB also has a detail access bit set. Because cache activity may flush and refill cache lines implicity, it is not generally useful to set the cache tag detail access bit alone, but if this occurs, the AccessDetailRequiredByTag exception catches such an attempt.
  • The next two rows show appropriate activities on a GTB miss. On a GTB miss, the detail access bit in the GTB is not present. If the LTB indicates detail access and the GTB misses, the AccessDetailRequiredByLTB exception should be indicated. If software continues from the AccessDetailRequiredByLTB exception and has not filled in the GTB, the GTBMiss exception happens next. Since the GTBMiss execution is not a continuation exception, a re-execution after the GTBMiss exception can cause a reoccurence of the AccessDetailRequiredByLTB exception. Alternatively, if software continues from the AccessDetailRequiredByLTB exception and has filled in the GTB, the AccessDetailRequiredByLTB exception is inhibited for that reference, no matter what the status of the GTB and Tag detail bits, but the re-executed instruction is still subject to the AccessDetailRequiredByGTB and AccessDetailRequiredByTag exceptions.
  • The last four rows show appropriate activities for a cache miss. On a cache miss, the detail access bit in the tag is not present. If the LTB or GTB indicates detail access and the cache misses, the AccessDetailRequiredByLTB or AccessDetailRequiredByGTB exception should be indicated. If software continues from these exceptions and has not filled in the cache, a cache miss happens next. If software continues from the AccessDetailRequiredByLTB or AccessDetailRequiredByGTB exception and has filled in the cache, the previous exception is inhibited for that reference, no matter what the status of the Tag detail bit, but is still subject to the AccessDetailRequiredByTag exception. When the detail bit must be created from a cache miss, the intial value filled in is zero. Software may set the bit, thus turning off AccessDetailRequired exceptions per cache line. If the cache line is flushed and refilled, the detail access bit in the cache tag is again reset to zero, and another AccessDetailRequired exception occurs.
  • Settings of the niche limit parameter to values that require use of the da bit in the LOC tag for retaining the physical address usurp the capability to set the Tag detail access bit. Under such conditions, the Tag detail access bit is effectively always zero (0), so it cannot inhibit AccessDetailRequiredByLTB, inhibit AccessDetailRequiredByGTB, or cause AccessDetailRequiredByTag.
  • The execution of a Zeus instruction has a reference to one quadlet of instruction, which may be subject to the DetailAccess exceptions, and a reference to data, which may be unaligned or wide. These unaligned or wide references may cross GTB or cache boundaries, and thus involve multiple separate reference that are combined together, each of which may be subject to the DetailAccess exception. There is sufficient information in the DetailAccess exception handler to process unaligned or wide references.
  • The implementation is free to indicate DetailAccess exceptions for unaligned and wide data references either in combined form, or with each sub-reference separated. For example, in an unaligned reference that crosses a GTB or cache boundary, a DetailAccess exception may be indicated for a portion of the reference. The exception may report the virtual address and size of the complete reference, and upon continuing, may inhibit reoccurrence of the DetailAccess exception for any portion of the reference. Alternatively, it may report the virtual address and size of only a reference portion and inhibit reoccurrence of the DetailAccess exception for only that portion of the reference, subject to another DetailAccess exception occurring for the remaining portion of the reference.
  • Micro Translation Buffer
  • The Micro Translation Buffer (MTB) is an implementation-dependent structure which reduces the access traffic to the GTB and the LOC tags. The MTB contains and caches information read from the GTB and LOC tags, and is consulted on each access to the LOC.
  • To access the LOC, a global address is supplied to the Micro-Translation Buffer (MTB), which associatively looks up the global address into a table holding a subset of the LOC tags. In addition, each table entry contains the physical address bits 14 . . . 8 (7 bits) and set identifier (2 bits) required to access the LOC data.
  • In the first Zeus implementation, there are two MTB blocks—MTB 0 is used for threads 0 and 1, and MTB 1 is used for threads 2 and 3. Per clock cycle, each MTB block can check for 4 simultaneous references to the LOC. Each MTB block has 16 entries.
  • Each MTB entry consists of a bit less than 128 bits of information, including a 56-bit global address tag, 8 bits of privilege level required for read, write, execute, and gateway access, a detail bit, and 10 bits of cache state indicating for each triclet (32 bytes) sub-block, the MESI state.
    Match
    Figure US20080059766A1-20080306-C00040

    Output
  • The output of the MTB combines physical address and protection information from the GTB and the referenced cache line.
    Figure US20080059766A1-20080306-C00041
  • The meaning of the fields are given by the following table:
    name size meaning
    ga 56 global address
    gi
    9 GTB index
    ci
    7 cache index
    si
    2 set index
    vs 12 victim select
    da
    1 detail access (from cache line)
    mesi 2 coherency: modified (3), exclusive (2),
    shared (1), invalid (0)
    tv 8 triclet valid (1) or invalid (0)
    g 2 minimum privilege required for gateway access
    x 2 minimum privilege required for execute access
    w
    2 minimum privilege required for write access
    r
    2 minimum privilege required for read access
    0 1 reserved
    da 1 detail access (from GTB)
    so 1 strong ordering
    cc
    3 cache control
  • With an MTB hit, the resulting cache index (14 . . . 8 from the MTB, bit 7 from the LA) and set identifier (2 bits from the MTB) are applied to the LOC data bank selected from bits 6 . . . 4 of the GVA. The access protection information (pr and rwxg) is supplied from the MTB.
  • With an MTB (and BTB) miss, a victim entry is selected for replacement. The MTB and BTB are always clean, so the victim entry is discarded without a writeback. The GTB (described below) is referenced to obtain a physical address and protection information. Depending on the access information in the GTB, either the MTB or BTB is filled.
  • Note that the processing of the physical address pa14 . . . 8 against the niche limit nl can be performed on the physical address from the GTB, producing the LOC address, ci. The LOC address, after processing against the nl is placed into the MTB directly, reducing the latency of an MTB hit.
  • Four tags are fetched from the LOC tags and compared against the PA to determine which of the four sets contain the data. If one of the four sets contains the correct physical address, a victim MTB entry is selected for replacement, the MTB is filled and the LOC access proceeds. If none of the four sets is a hit, an LOC miss occurs.
    MTB miss GTB cam LOC tag MTB fill
    MTB victim
    LOC miss
  • The operation of the MTB is largely not visible to software—hardware mechanisms are responsible for automatically initializing, filling and flushing the MTB. Activity that modifies the GTB or LOC tag state may require that one or more MTB entries are flushed.
  • A write to the GTBUpdate register that updates a matching entry, a write to the GTBUpdateFill register, or a direct write to the GTB all flush relevant entries from the MTB. MTB flushing is accomplished by searching MTB entries for values that match on the gi field with the GTB entry that has been modified. Each such matching MTB entry is flushed.
  • The MTB is kept synchronous with the LOC tags, particularly with respect to MESI state. On an LOC miss or LOC snoop, any changes in MESI state update (or flush) MTB entries which physically match the address. If the MTB may contain less than the full physical address: it is sufficient to retain the LOC physical address (ci∥v∥si).
  • Block Translation Buffer
  • Zeus has a per thread “Block Translation Buffer” (BTB). The BTB retains GTB information for uncached address blocks. The BTB is used in parallel with the MTB—exactly one of the BTB or MTB may translate a particular reference. When both the BTB and MTB miss, the GTB is consulted, and depending on the result, the block is filled into either the MTB or BTB as appropriate. In the first Zeus implementation, the BTB has 2 entries for each thread.
  • BTB entries cover any power-of-two granularity, as they retain the size information from the GTB. BTB entries contain no MESI state, as they only contain uncached blocks.
  • Each BTB entry consists of 128 bits of information, containing the same information in the same format as a GTB entry.
  • Niche blocks are indicated by GTB information, and correspond to blocks of data that are retained in the LOC and never miss. A special physical address range indicates niche blocks. For this address range, the BTB enables use of the LOC as a niche memory, generating the “set select” address bits from low-order address bits. There is no checking of the LOC tags for consistent use of the LOC as a niche—the nl field must be preset by software so that LOC cache replacement never claims the LOC niche space, and only BTB miss and protection bits prevent software from using the cache portion of the LOC as niche.
  • Other address ranges include other on-chip resources, such as bus interface registers, the control register and status register, as well as off-chip memory, accessed through the bus interface. Each of these regions are accessible as uncached memory.
  • Program Translation Buffer
  • Later implementations of Zeus may optionally have a per thread “Program Translation Buffer” (PTB). The PTB retains GTB and LOC cache tag information. The PTB enables generation of LOC instruction fetching in parallel with load/store fetching. The PTB is updated when instruction fetching crosses a cache line boundary (each 64 instructions in straight-line code). The PTB functions similarly to a one-entry MTB, but can use the sequential nature of program code fetching to avoid checking the 56-bit match. The PTB is flushed at the same time as the MTB.
  • The initial implementation of Zeus has no PTB—the MTB suffices for this function.
  • Global Virtual Cache
  • The initial implementation of Zeus contains cache which is both indexed and tagged by a physical address. Other prototype implementations have used a global vitual address to index and/or tag an internal cache. This section will define the required characteristics of a global vitually-indexed cache. TODO
  • Memory Interface
  • Dedicated hardware mechanisms are provided to fetch data blocks in the levels zero and one caches, provided that a matching entry can be found in the MTB or GTB (or if the MMU is disabled). Dedicated hardware mechanisms are provided to store back data blocks in the level zero and one caches, regardless of the state of the MTB and GTB. When no entry is to be found in the GTB, an exception handler is invoked either to generate the required information from the virtual address, or to place an entry in the GTB to provide for automatic handling of this and other similarly addressed data blocks.
  • The initial implementation of Zeus accesses the remainder of the memory system through the “Socket 7” interface. Via this interface, Zeus accesses a secondary cache, DRAM memory, external ROM memory, and an I/O system The size and presence of the secondary cache and the DRAM memory array, and the contents of the external ROM memory and the I/O system are variables in the processor environment.
  • Micro Architecture
  • Each thread has two address generation units, capable of producing two aligned, or one unaligned load or store operation per cycle. Alternatively, these units may produce a single load or store address and a branch target address.
  • Each thread has a LTB, which translates the two addresses into global virtual addresses.
  • Each pair of threads has a MTB, which looks up the four references into the LOC. The PTB provides for additional references that are program code fetches.
  • In parallel with the MTB, these four references are combined with the four references from the other thread pair and partitioned into even and odd hexlet references. Up to four references are selected for each of the even and odd portions of the LZC. One reference for each of the eight banks of the LOC (four are even hexlets; four are odd hexlets) are selected from the eight load/store/branch references and the PTB references.
  • Some references may be directed to both the LZC and LOC, in which case the LZC hit causes the LOC data to be ignored. An LZC miss which hits in the MTB is filled from the LOC to the LZC. An LZC miss which misses in the MTB causes a GTB access and LOC tag access, then an MTB fill and LOC access, then an LZC fill.
  • Priority of access: (highest/lowest) cache dump, cache fill, load, program, store.
  • Snoop
  • The “Socket 7” bus requires certain bus accesses to be checked against on-chip caches. On a bus read, the address is checked against the on-chip caches, with accesses aborted when requested data is in an internal cache in the M state, and the E state, the internal cache is changed to the S state. On a bus write, data written must update data in on-chip caches. To meet these requirements, physical bus addresses must be checked against the LOC tags.
  • The S7 bus requires that responses to inquire cycles occur with fixed timing. At least with certain combinations of bus and processor clock rate, inquire cycles will require top priority to meet the inquire response timing requirement.
  • Synchronization operations must take into account bus activity—generally a synchronization operation can only proceed on cached data which is in Exclusive or Modified—if cached data in Shared state, ownership must be obtained. Data that is not cached must be accessed using locked bus cycles.
  • Load
  • Load operations require partitioning into reads that do not cross a hexlet (128 bit) boundary, checking for store conflicts, checking the LZC, checking the LOC, and reading from memory. Execute and Gateway accesses are always aligned and since they are smaller than a hexlet, do not cross a hexlet boundary.
  • Note: S7 processors perform unaligned operations LSB first, MSB last, up to 64 bits at a time. Unaligned 128 bit loads need 3 64-bit operations, LSB, octlet, MSB. Transfers which are smaller than a hexlet but larger than an octlet are further divided in the S7 bus unit.
  • Definition
    def data
    Figure US20080059766A1-20080306-P00801
    LoadMemoryX(ba,la,size,order)
      assert (order = L) and ((la and (size/8−1)) = 0) and (size = 32)
      hdata
    Figure US20080059766A1-20080306-P00801
    TranslateAndCacheAccess(ba,la,size,X,0)
      data
    Figure US20080059766A1-20080306-P00801
    hdata31+8*(la and 15)..8*(la and 15)
    enddef
    def data
    Figure US20080059766A1-20080306-P00801
    LoadMemoryG(ba,la,size,order)
      assert (order = L) and ((la and (size/8−1)) = 0) and (size = 64)
      hdata
    Figure US20080059766A1-20080306-P00801
    TranslateAndCacheAccess(ba,la,size,G,0)
      data
    Figure US20080059766A1-20080306-P00801
    hdata63+8*(la and 15)..8*(la and 15)
    enddef
    def data
    Figure US20080059766A1-20080306-P00801
    LoadMemory(ba,la,size,order)
      if (size > 128) then
        data0
    Figure US20080059766A1-20080306-P00801
    LoadMemory(ba, la,size/2, order)
        data1
    Figure US20080059766A1-20080306-P00801
    LoadMemory(ba, la+(size/2), size/2,
        order)
        case order of
          L:
            data
    Figure US20080059766A1-20080306-P00801
    data1 || data0
          B:
            data
    Figure US20080059766A1-20080306-P00801
    data0 || data1
        endcase
      else
        bs
    Figure US20080059766A1-20080306-P00801
    8*la4..0
        be
    Figure US20080059766A1-20080306-P00801
    bs + size
        if be > 128 then
          data0
    Figure US20080059766A1-20080306-P00801
    LoadMemory(ba, la, 128 − bs, order)
          data1
    Figure US20080059766A1-20080306-P00801
    LoadMemory(ba, (la63..5 + 1) || 04,
          be − 128, order)
          case order of
            L:
              data
    Figure US20080059766A1-20080306-P00801
    (data1 || data0)
            B:
              data
    Figure US20080059766A1-20080306-P00801
    (data0 || data1)
          endcase
        else
          hdata
    Figure US20080059766A1-20080306-P00801
    TranslateAndCacheAccess(ba,la,size,R,0)
          for i
    Figure US20080059766A1-20080306-P00801
    0 to size−8 by 8
            j
    Figure US20080059766A1-20080306-P00801
    bs + ((order=L) ? i : size−8−i)
            datai+7..i
    Figure US20080059766A1-20080306-P00801
    hdataj+7..j
          endfor
        endif
      endif
    enddef

    Store
  • Store operations requires partitioning into stores less than 128 bits that do not cross hexlet boundaries, checking for store conflicts, checking the LZC, checking the LOC, and storing into memory.
  • Definition
    def StoreMemory(ba,la,size,order,data)
      bs
    Figure US20080059766A1-20080306-P00801
    8*la4..0
      be
    Figure US20080059766A1-20080306-P00801
    bs + size
      if be > 128 then
        case order of
          L:
            data0
    Figure US20080059766A1-20080306-P00801
    data127−bs..0
            data1
    Figure US20080059766A1-20080306-P00801
    datasize−1..128−bs
          B:
            data0
    Figure US20080059766A1-20080306-P00801
    datasize−1..be−128
            data1
    Figure US20080059766A1-20080306-P00801
    databe−129..0
        endcase
        StoreMemory(ba, la, 128 − bs, order, data0)
        StoreMemory(ba, (la63..5 + 1) || 04, be − 128, order, data1)
      else
        for i
    Figure US20080059766A1-20080306-P00801
    0 to size−8 by 8
          j
    Figure US20080059766A1-20080306-P00801
    bs + ((order=L) ? i : size−8−i)
          hdataj+7..j
    Figure US20080059766A1-20080306-P00801
    datai+7..i
        endfor
        xdata
    Figure US20080059766A1-20080306-P00801
    TranslateAndCacheAccess(ba, la, size, W, hdata)
      endif
    enddef

    Memory
  • Memory operations require first translating via the LTB and GTB, checking for access exceptions, then accessing the cache.
  • Definition
    def hdata
    Figure US20080059766A1-20080306-P00801
    TranslateAndCacheAccess(ba,la,size,rwxg,hwdata)
      if ControlRegister62 then
        case rwxg of
          R:
           at
    Figure US20080059766A1-20080306-P00801
    0
          W:
           at
    Figure US20080059766A1-20080306-P00801
    1
          X:
           at
    Figure US20080059766A1-20080306-P00801
    2
          G:
           at
    Figure US20080059766A1-20080306-P00801
    3
        endcase
        rw
    Figure US20080059766A1-20080306-P00801
    (rwxg=W) ? W : R
        ga,LocalProtect
    Figure US20080059766A1-20080306-P00801
    LocalTranslation(th,ba,la,pl)
        if LocalProtect9+2*at..8+2*at < pl then
          raise AccessDisallowedByLTB
        endif
        lda
    Figure US20080059766A1-20080306-P00801
    LocalProtect4
        pa,GlobalProtect
    Figure US20080059766A1-20080306-P00801
    GlobalTranslation(th,ga,pl,lda)
        if GlobalProtect9+2*at..8+2*at < pl then
          raise AccessDisallowedByGTB
        endif
        cc
    Figure US20080059766A1-20080306-P00801
    (LocalProtect2..0 > GlobalProtect2..0) ?
        LocalProtect2..0 : GlobalProtect2..0
        so
    Figure US20080059766A1-20080306-P00801
    LocalProtect3 or GlobalProtect3
        gda
    Figure US20080059766A1-20080306-P00801
    GlobalProtect4
        hdata,TagProtect
    Figure US20080059766A1-20080306-P00801
    LevelOneCacheAccess(pa,
        size,lda,gda,cc,rw,hwdata)
        if (lda {circumflex over ( )} gda {circumflex over ( )} TagProtect) = 1 then
          if TagProtect then
           PerformAccessDetail(AccessDetailRequiredByTag)
          elseif gda then
           PerformAccessDetail(AccessDetailRequiredByGlobalTB)
          else
           PerformAccessDetail(AccessDetailRequiredByLocalTB)
          endif
        endif
      else
        case rwxg of
          R, X, G:
           hdata
    Figure US20080059766A1-20080306-P00801
    ReadPhysical(la,size)
          W:
           WritePhysical(la,size,hwdata)
        endcase
      endif
    enddef

    Rounding and Exceptions
  • In accordance with one embodiment of the invention, rounding is specified within the instructions explicitly, to avoid explicit state registers for a rounding mode. Similarly, the instructions explicitly specify how standard exceptions (invalid operation, division by zero, overflow, underflow and inexact) are to be handled (U.S. Pat. No. 5,812,439 describes this “Technique of incorporating floating point information into processor instructions.”).
  • In this embodiment, when no rounding is explicitly named by the instruction (default), round to nearest rounding is performed, and all floating-point exception signals cause the standard-specified default result, rather than a trap. When rounding is explicitly named by the instruction (N: nearest, Z: zero, F: floor, C: ceiling), the specified rounding is performed, and floating-point exception signals other than inexact cause a floating-point exception trap. When X (exact, or exception) is specified, all floating-point exception signals cause a floating-point exception trap, including inexact. More details regarding rounding and exceptions are described in the “Rounding and Exceptions” section.
  • This technique assists the Zeus processor in executing floating-point operations with greater parallelism. When default rounding and exception handling control is specified in floating-point instructions, Zeus may safely retire instructions following them, as they are guaranteed not to cause data-dependent exceptions. Similarly, floating-point instructions with N, Z, F, or C control can be guaranteed not to cause data-dependent exceptions once the operands have been examined to rule out invalid operations, division by zero, overflow or underflow exceptions. Only floating-point instructions with X control, or when exceptions cannot be ruled out with N, Z, F, or C control need to avoid retiring following instructions until the final result is generated.
  • ANSI/IEEE standard 754-1985 specifies information to be given to trap handlers for the five floating-point exceptions. The Zeus architecture produces a precise exception, (The program counter points to the instruction that caused the exception and all register state is present) from which all the required information can be produced in software, as all source operand values and the specified operation are available.
  • ANSI/IEEE standard 754-1985 specifies a set of five “sticky-exception” bits, for recording the occurrence of exceptions that are handled by default. The Zeus architecture produces a precise exception for instructions with N, Z, F, or C control for invalid operation, division by zero, overflow or underflow exceptions and with X control for all floating-point exceptions, from which corresponding sticky-exception bits can be set. Execution of the same instruction with default control will compute the default result with round-to-nearest rounding. Most compound operations not specified by the standard are not available with rounding and exception controls.
  • Instruction Set
  • This section describes the instruction set in complete architectural detail. Operation codes are numerically defined by their position in the following operation code tables, and are referred to symbolically in the detailed instruction definitions. Entries that span more than one location in the table define the operation code identifier as the smallest value of all the locations spanned. The value of the symbol can be calculated from the sum of the legend values to the left and above the identifier.
  • Instructions that have great similarity and identical formats are grouped together. Starting on a new page, each category of instructions is named and introduced.
  • The Operation codes section lists each instruction by mnemonic that is defined on that page. A textual interpretation of each instruction is shown beside each mnemonic.
  • The Equivalences section lists additional instructions known to assemblers that are equivalent or special cases of base instructions, again with a textual interpretation of each instruction beside each mnemonic. Below the list, each equivalent instruction is defined, either in terms of a base instruction or another equivalent instruction. The symbol between the instruction and the definition has a particular meaning. If it is an arrow (←or →), it connects two mathematically equivalent operations, and the arrow direction indicates which form is preferred and produced in a reverse assembly. If the symbol is a (
    Figure US20080059766A1-20080306-P00900
    ), the form on the left is assembled into the form on the right solely for encoding purposes, and the form on the right is otherwise illegal in the assembler. The parameters in these definitions are formal; the names are solely for pattern-matching purposes, even though they may be suggestive of a particular meaning.
  • The Redundancies section lists instructions and operand values that may also be performed by other instructions in the instruction set. The symbol connecting the two forms is a (
    Figure US20080059766A1-20080306-P00901
    ), which indicates that the two forms are mathematically equivalent, both are legal, but the assembler does not transform one into the other.
  • The Selection section lists instructions and equivalences together in a tabular form that highlights the structure of the instruction mnemonics.
  • The Format section lists (1) the assembler format, (2) the C intrinsics format, (3) the bit-level instruction format, and (4) a definition of bit-level instruction format fields that are not a one-for-one match with named fields in the assembler format.
  • The Definition section gives a precise definition of each basic instruction.
  • The Exceptions section lists exceptions that may be caused by the execution of the instructions in this category.
  • Major Operation Codes
  • All instructions are 32 bits in size, and use the high order 8 bits to specify a major operation code.
    Figure US20080059766A1-20080306-C00042
  • The major field is filled with a value specified by the following table (Blank table entries cause the Reserved Instruction exception to occur.):
    major operation code field values
    MAJOR 0 32 64 96 128 160 192 224
    0 ARES BEF16 LI16L SI16L XDEPOSIT EMULXI WMULMATXIL
    1 AADDI BEF32 LI16B SI16B GADDI EMULXIU WMULMATXIB
    2 AADDI.O BEF64 LI16AL SI16AL GADDI.O EMULXIM WMULMATXIUL
    3 AADDIU.O BEF128 LI16AB SI16AB GADDIU.O EMULXIC WMULMATXIUB
    4 BLGF16 LI32L SI32L XDEPOSITU EMULADDXI WMULMATXIML
    5 ASUBI BLGF32 LI32B SI32B GSUBI EMULADDXIU WMULMATXIMB
    6 ASUBI.O BLGF64 LI32AL SI32AL GSUBI.O EMULADDXIM WMULMATXICL
    7 ASUBIU.O BLGF128 LI32AB SI32AB GSUBIU.O EMULADDXIC WMULMATXICB
    8 ASETEI BLF16 LI64L SI64L GSETEI XWITHDRAW ECONXIL
    9 ASETNEI BLF32 LI64B SI64B GSETNEI ECONXIB
    10 ASETANDEI BLF64 LI64AL SI64AL GSETANDEI ECONXIUL
    11 ASETANDNEI BLF128 LI64AB SI64AB GSETANDNEI ECONXIUB
    12 ASETLI BGEF16 LI128L SI128L GSETLI XWITHDRAWU ECONXIML
    13 ASETGEI BGEF32 LI128B SI128B GSETGEI ECONXIMB
    14 ASETLIU BGEF64 LI128AL SI128AL GSETLIU ECONXICL
    15 ASETGEIU BGEF128 LI128AB SI128AB GSETGEIU ECONXICB
    16 AANDI BE LIU16L SASI64AL GANDI XDEPOSITM ESCALADDF16 WMULMATXL
    17 ANANDI BNE LIU16B SASI64AB GNANDI ESCALADDF32 WMULMATXB
    18 AORI BANDE LIU16AL SCSI64AL GORI ESCALADDF64 WMULMATGL
    19 ANORI BANDNE LIU16AB SCSI64AB GNORI ESCALADDX WMULMATGB
    20 AXORI BL LIU32L SMSI64AL GXORI XSWIZZLE EMULG8
    21 AMUX BGE LIU32B SMSI64AB GMUX EMULG64
    22 BLU LIU32AL SMUXI64AL GBOOLEAN EMULX
    23 BGEU LIU32AB SMUXI64AB EEXTRACT
    24 ACOPYI BVF32 LIU64L GCOPYI XEXTRACT EEXTRACTI
    25 BNVF32 LIU64B XSELECT8 EEXTRACTIU
    26 BIF32 LIU64AL WTABLEL
    27 BNIF32 LIU64AB G8 E.8 WTABLEB
    28 BI LI8 SI8 G16 XSHUFFLE E.16 WSWITCHL
    29 BLINKI LIU8 G32 XSHIFTI E.32 WSWITCHB
    30 BHINTI G64 XSHIFT E.64 WMINORL
    31 AMINOR BMINOR LMINOR SMINOR G128 E.128 WMINORB

    Minor Operation Codes
  • For the major operation field values A.MINOR, B.MINOR, L.MINOR, S.MINOR, G.8, G.16, G.32, G.64, G.128, XSHIFTI, XSHIFT, E.8, E.16, E.32, E.64, E.128, W.MINOR.L and W.MINOR.B, the lowest-order six bits in the instruction specify a minor operation code:
    Figure US20080059766A1-20080306-C00043
  • The minor field is filled with a value from one of the following tables:
    minor operation code field values for A.MINOR
    A.MINOR
    0 8 16 24 32 40 48 56
    0 AAND ASETE ASETEF ASHLI ASHLIADD
    1 AADD AXOR ASETNE ASETLGF
    2 AADDO AOR ASETANDE ASETLF ASHLIO
    3 AADDUO AANDN ASETANDNE ASETGEF ASHLIUO
    4 AORN ASETL/LZ ASETEF.X ASHLISUB
    5 ASUB AXNOR ASETGE/GEZ ASETLGF.X
    6 ASUBO ANOR ASETLU/GZ ASETLF.X ASHRI
    7 ASUBUO ANAND ASETGEU/LEZ ASETGEF.X ASHRIU ACOM
  • minor operation code field values for B.MINOR
    B.MINOR
    0 8 16 24 32 40 48 56
    0 B
    1 BLINK
    2 BHINT
    3 BDOWN
    4 BGATE
    5 BBACK
    6 BHALT
    7 BBARRIER
  • minor operation code field values for L.MINOR
    L.MINOR
    0 8 16 24 32 40 48 56
    0 L16L L64L LU16L LU64L
    1 L16B L64B LU16B LU64B
    2 L16AL L64AL LU16AL LU64AL
    3 L16AB L64AB LU16AB LU64AB
    4 L32L L128L LU32L L8
    5 L32B L128B LU32B LU8
    6 L32AL L128AL LU32AL
    7 L32AB L128AB LU32AB
  • minor operation code field values for S.MINOR
    S.MINOR
    0 8 16 24 32 40 48 56
    0 S16L S64L SAS64AL
    1 S16B S64B SAS64AB
    2 S16AL S64AL SCS64AL SDCS64AL
    3 S16AB S64AB SCS64AB SDCS64AB
    4 S32L S128L SMS64AL S8
    5 S32B S128B SMS64AB
    6 S32AL S128AL SMUX64AL
    7 S32AB S128AB SMUX64AB
  • minor operation code field values for G.size
    G.size
    0 8 16 24 32 40 48 56
    0 GSETE GSETEF GADDHN GSUBHN GSHLIADD GADDL
    1 GADD GSETNE GSETLGF GADDHZ GSUBHZ GADDLU
    2 GADDO GSETANDE GSETLF GADDHF GSUBHF GAAA
    3 GADDUO GSETANDNE GSETGEF GADDHC GSUBHC
    4 GSETL/LZ GSETEF.X GADDHUN GSUBHUN GSHLISUB GSUBL
    5 GSUB GSETGE/GEZ GSETLGF.X GADDHUZ GSUBHUZ GSUBLU
    6 GSUBO GSETLU/GZ GSETLF.X GADDHUF GSUBHUF GASA
    7 GSUBUO GSETGEU/LEZ GSETGEF.X GADDHUC GSUBHUC GCOM
  • minor operation code field values for XSHIFTI
    XSHIFTI
    0 8 16 24 32 40 48 56
    0 XSHLI XSHLIO XSHRI XEXPANDI XCOMPRESSI
    1
    2
    3
    4 XSHLMI XSHLIOU XSHRMI XSHRIU XROTLI XEXPANDIU XROTRI XCOMPRESSIU
    5
    6
    7
  • minor operation code field values for XSHIFT
    XSHIFT
    0 8 16 24 32 40 48 56
    0 XSHL XSHLO XSHR XEXPAND XCOMPRESS
    1
    2
    3
    4 XSHLM XSHLOU XSHRM XSHRU XROTL XEXPANDU XROTR XCOMPRESSU
    5
    6
    7
  • minor operation code field values for E.size
    E.size
    0 8 16 24 32 40 48 56
    0 EMULFN EMULADDFN EADDFN ESUBFN EMUL EMULADD EDIVFN ECON
    1 EMULFZ EMULADDFZ EADDFZ ESUBFZ EMULU EMULADDU EDIVFZ ECONU
    2 EMULFF EMULADDFF EADDFF ESUBFF EMULM EMULADDM EDIVFF ECONM
    3 EMULFC EMULADDFC EADDFC ESUBFC EMULC EMULADDC EDIVFC ECONC
    4 EMULFX EMULADDFX EADDFX ESUBFX EMULSUM EMULSUB EDIVFX EDIV
    5 EMULF EMULADDF EADDF ESUBF EMULSUMU EMULSUBU EDIVF EDIVU
    6 EMULCF EMULADDCF ECONFL ECONCFL EMULSUMM EMULSUBM EMULSUMF EMULP
    7 EMULSUMCF EMULSUBCF ECONFB ECONCFB EMULSUMC EMULSUBC EMULSUBF EUNARY
  • minor operation code field values for W.MINOR.L or W.MINOR.B
    W.MINOR.order 0 8 16 24 32 40 48 56
    0 WMULMAT8 WMULMATM8
    1 WMULMAT16 WMULMATM16 WMULMATF16
    2 WMULMAT32 WMULMATM32 WMULMATF32
    3 WMULMAT64 WMULMATM64 WMULMATF64
    4 WMULMATU8 WMULMATC8 WMULMATP8
    5 WMULMATU16 WMULMATC16 WMULMATCF16 WMULMATP16
    6 WMULMATU32 WMULMATC32 WMULMATCF32 WMULMATP32
    7 WMULMATU64 WMULMATC64 WMULMATCF64 WMULMATP64
  • For the major operation field values E.MUL.X.I, E.MUL.X.I.U, E.MUL.X.I.M, E.MUL.X.I.C, E.MUL.ADD.X.I, E.MUL.ADD.X.I.U, E.MUL.ADD.X.I.M, E.MUL.ADD.X.I.C, E.CON.X.I.L, E.CON.X.I.B, E.CON.X.I.U.L, E.CON.X.I.U.B, E.CON.X.I.M.L, E.CON.X.I.M.B, E.CON.X.I.C.L, E.CON.X.I.C.B, E.EXTRACT.I, E.EXTRACT.I.U, W.MUL.MAT.X.I.U.L, W.MUL.MAT.X.I.U.B, W.MUL.MAT.X.I.M.L, W.MUL.MAT.X.I.M.B, W.MUL.MAT.X.I.C.L, and W.MUL.MAT.X.I.C.B, another six bits in the instruction specify a minor operation code, which indicates operand size, rounding, and shift amount:
    Figure US20080059766A1-20080306-C00044
  • The minor field is filled with a value from the following table: Note that the shift amount field value shown below is the “sh” value, which is encoded in an instruction-dependent manner from the immediate field in the assembler format.
    XI 0 8 16 24 32 40 48 56
    0 8.F,0 8.N,0 16.F,0 16.N,0 32.F,0 32.N,0 64.F,0 64.N,0
    1 8.F,1 8.N,1 16.F,1 16.N,1 32.F,1 32.N,1 64.F,1 64.N,1
    2 8.F,2 8.N,2 16.F,2 16.N,2 32.F,2 32.N,2 64.F,2 64.N,2
    3 8.F,3 8.N,3 16.F,3 16.N,3 32.F,3 32.N,3 64.F,3 64.N,3
    4 8.Z,0 8.C,0 16.Z,0 16.C,0 32.Z,0 32.C,0 64.Z,0 64.C,0
    5 8.Z,1 8.C,1 16.Z,1 16.C,1 32.Z,1 32.C,1 64.Z,1 64.C,1
    6 8.Z,2 8.C,2 16.Z,2 16.C,2 32.Z,2 32.C,2 64.Z,2 64.C,2
    7 8.Z,3 8.C,3 16.Z,3 16.C,3 32.Z,3 32.C,3 64.Z,3 64.C,3
  • For the major operation field values GCOPYI, two bits in the instruction specify an operand size:
    Figure US20080059766A1-20080306-C00045
  • For the major operation field values G.AND.I, G.NAND.I, G.NOR.I, G.OR.I, G.XOR.I, G.ADD.I, G.ADD.I.O, G.ADD.I.UO, G.SET.AND.E.I, G.SET..AND.NE.I, G.SET.E.I, G.SET.GE.I, G.SET.L.I, G.SET.NE.I, G.SET.GE.I.U, G.SET.L.I.U, G.SUB.I, G.SUB.I.O, G.SUB.I.UO, two bits in the instruction specify an operand size:
    Figure US20080059766A1-20080306-C00046
  • The sz field is filled with a value from the following table:
    sz size
    0 16
    1 32
    2 64
    3 128
  • For the major operation field values E.8, E.16, E.32, E.64, E.128, with minor operation field value E.UNARY, another six bits in the instruction specify a unary operation code:
    Figure US20080059766A1-20080306-C00047
  • The unary field is filled with a value from the following table:
    unary operation code field values for E.UNARY.size
    E.UNARY
    0 8 16 24 32 40 48 56
    0 ESQRFN ESUMFN ESINKFN EFLOATFN EDEFLATEFN ESUM
    1 ESQRFZ ESUMFZ ESINKFZ EFLOATFZ EDEFLATEFZ ESUMU ESINKFZD
    2 ESQRFF ESUMFF ESINKFF EFLOATFF EDEFLATEFF ELOGMOST ESINKFFD
    3 ESQRFC ESUMFC ESINKFC EFLOATFC EDEFLATEFC ELOGMOSTU ESINKFCD
    4 ESQRFX ESUMFX ESINKFX EFLOATFX EDEFLATEFX
    5 ESQRF ESUMF ESINKF EFLOATF EDEFLATEF
    6 ERSQRESTFX ERECESTFX EABSFX ENEGFX EINFLATEFX ECOPYFX
    7 ERSQRESTF ERECESTF EABSF ENEGF EINFLATEF ECOPYF
  • For the major operation field values A.MINOR and G.MINOR, with minor operation field values A.COM and G.COM, another six bits in the instruction specify a comparison operation code:
    Figure US20080059766A1-20080306-C00048
  • The compare field is filled with a value from the following table:
    compare operation code field values for A.COM.op and
    G.COM.op.size
    x.COM 0 8 16 24 32 40 48 56
    0 xCOME xCOMEF
    1 xCOMNE xCOMLGF
    2 xCOMANDE xCOMLF
    3 xCOMANDNE xCOMGEF
    4 xCOML xCOMEF.X
    5 xCOMGE xCOMLGF.X
    6 xCOMLU xCOMLF.X
    7 xCOMGEU xCOMGEF.X

    General Forms
  • The general forms of the instructions coded by a major operation code are one of the following:
    Figure US20080059766A1-20080306-C00049
  • The general forms of the instructions coded by major and minor operation codes are one of the following:
    Figure US20080059766A1-20080306-C00050
  • The general form of the instructions coded by major, minor, and unary operation codes is the following:
    Figure US20080059766A1-20080306-C00051
  • Register rd is either a source register or destination register, or both. Registers rc and rb are always source registers. Register ra is always a destination register.
  • Instruction Fetch
  • Definition
    def Thread(th) as
      forever do
        catch exception
          if (EventRegister & EventMask[th]) ≠ 0 then
            if ExceptionState=0 then
              raise EventInterrupt
            endif
          endif
          inst
    Figure US20080059766A1-20080306-P00801
    LoadMemoryX(ProgramCounter,
          ProgramCounter,32,L)
          Instruction(inst)
        endcatch
        case exception of
          EventInterrupt,
          ReservedInstruction,
          AccessDisallowedByVirtualAddress,
          AccessDisallowedByTag,
          AccessDisallowedByGlobalTB,
          AccessDisallowedByLocalTB,
          AccessDetailRequiredByTag,
          AccessDetailRequiredByGlobalTB,
          AccessDetailRequiredByLocalTB,
          MissInGlobalTB,
          MissInLocalTB,
          FixedPointArithmetic,
          FloatingPointArithmetic,
          GatewayDisallowed:
            case ExceptionState of
              0:
                PerformException(exception)
              1:
                PerformException(SecondException)
              2:
                PerformMachineCheck(ThirdException)
            endcase
          TakenBranch:
            ContinuationState
    Figure US20080059766A1-20080306-P00801
    (ExceptionState=0) ?
            0 : ContinuationState
          TakenBranchContinue:
            /* nothing */
          none, others:
            ProgramCounter
    Figure US20080059766A1-20080306-P00801
    ProgramCounter + 4
            ContinuationState
    Figure US20080059766A1-20080306-P00801
    (ExceptionState=0) ?
            0 : ContinuationState
        endcase
      endforever
    enddef

    Perform Exception
  • Definition
    def PerformException(exception) as
      v
    Figure US20080059766A1-20080306-P00801
    (exception > 7) ? 7 : exception
      t
    Figure US20080059766A1-20080306-P00801
    LoadMemory(ExceptionBase,
      ExceptionBase+Thread*128+64+8*v,64,L)
      if ExceptionState = 0 then
        u
    Figure US20080059766A1-20080306-P00801
    RegRead(3,128) || RegRead(2,128) || RegRead(1,128) ||
        RegRead(0,128)
        StoreMemory(ExceptionBase,
        ExceptionBase+Thread*128,512,L,u)
        RegWrite(0,64,ProgramCounter63..2 || PrivilegeLevel
        RegWrite(1,64,ExceptionBase+Thread*128)
        RegWrite(2,64,exception)
        RegWrite(3,64,FailingAddress)
      endif
      PrivilegeLevel
    Figure US20080059766A1-20080306-P00801
    t1..0
      ProgramCounter
    Figure US20080059766A1-20080306-P00801
    t63..2 || 02
      case exception of
        AccessDetailRequiredByTag,
        AccessDetailRequiredByGlobalTB,
        AccessDetailRequiredByLocalTB:
          ContinuationState
    Figure US20080059766A1-20080306-P00801
    ContinuationState + 1
        others:
          /* nothing */
      endcase
      ExceptionState
    Figure US20080059766A1-20080306-P00801
    ExceptionState + 1
    enddef
  • Instruction Decode
    def Instruction(inst) as
      major
    Figure US20080059766A1-20080306-P00801
    inst31..24
      rd
    Figure US20080059766A1-20080306-P00801
    inst23..18
      rc
    Figure US20080059766A1-20080306-P00801
    inst17..12
      simm
    Figure US20080059766A1-20080306-P00801
    rb
    Figure US20080059766A1-20080306-P00801
    inst11..6
      minor
    Figure US20080059766A1-20080306-P00801
    ra
    Figure US20080059766A1-20080306-P00801
    inst5..0
      case major of
        A.RES:
          AlwaysReserved
        A.MINOR:
          minor
    Figure US20080059766A1-20080306-P00801
    inst5..0
          case minor of
            A.ADD, A.ADD.O, A.ADD.OU, A.AND, A.ANDN, A.NAND, A.NOR,
            A.OR, A.ORN, A.XNOR, A.XOR:
              Address(minor,rd,rc,rb)
            A.COM:
              compare
    Figure US20080059766A1-20080306-P00801
    inst11..6
              case compare of
                A.COM.E, A.COM.NE, A.COM.AND.E, A.COM.AND.NE,
                A.COM.L, A.COM.GE, A.COM.L.U, A.COM.GE.U:
                  AddressCompare(compare,rd,rc)
                others:
                  raise ReservedInstruction
              endcase
            A.SUB, A.SUB.O, A.SUB.U.O,
            A.SET.AND.E, A.SET.AND.NE, A.SET.E, A.SET.NE,
            A.SET.L, A.SET.GE, A.SET.L.U, A.SET.GE.U,
              AddressReversed(minor,rd,rc,rb)
            A.SHL.I.ADD..A.SHL.I.ADD+3:
              AddressShiftLeftImmediateAdd(inst1..0,rd,rc,rb)
            A.SHL.I.SUB..A.SHL.I.SUB+3:
              AddressShiftLeftImmediateSubtract(inst1..0,rd,rc,rb)
            A.SHL.I, A.SHL.I.O, A.SHL.I.U.O, A.SHR.I, A.SHR.I.U, A.ROTR.I:
              AddressShiftImmediate(minor,rd,rc,simm)
            others:
              raise ReservedInstruction
          endcase
        A.COPY.I
          AddressCopyImmediate(major,rd,inst17..0)
        A.ADD.I, A.ADD.I.O, A.ADD.I.U.O, A.AND.I, A.OR.I, A.NAND.I, A.NOR.I, A.XOR.I:
          AddressImmediate(major,rd,rc,inst11..0)
        A.SET.AND.E.I, A.SET.AND.NE.I, A.SET.E.I, A.SET.NE.I,
        A.SET.L.I, E.SET.GE.I, A.SET.LU.I, A.SET.GE.U.I,
        A.SUB.I, A.SUB.I.O, A.SUB.I.U.O:
          AddressImmediateReversed(major,rd,rc,inst11..0)
        A.MUX:
          AddressTernary(major,rd,rc,rb,ra)
        B.MINOR:
          case minor of
            B:
              Branch(rd,rc,rb)
            B.BACK:
              BranchBack(rd,rc,rb)
            B.BARRIER:
              BranchBarrier(rd,rc,rb)
            B.DOWN:
              BranchDown(rd,rc,rb)
            B.GATE:
              BranchGateway(rd,rc,rb)
            B.HALT:
              BranchHalt(rd,rc,rb)
            B.HINT:
              BranchHint(rd,inst17..12,simm)
            B.LINK:
              BranchLink(rd,rc,rb)
            others:
              raise ReservedInstruction
          endcase
        BE, BNE, BL, BGE, BLU, BGE.U, BAND.E, BAND.NE:
          BranchConditional(major,rd,rc,inst11..0)
        BHINTI:
          BranchHintImmediate(inst23..18,inst17..12,inst11..0)
        BI:
          BranchImmediate(inst23..0)
        BLINKI:
          BranchImmediateLink(inst23..0)
        BEF16, BLGF16, BLF16, BGEF16,
        BEF32, BLGF32, BLF32, BGEF32,
        BEF64, BLGF64, BLF64, BGEF64,
        BEF128, BLGF128, BLF128, BGEF128:
          BranchConditionalFloatingPoint(major,rd,rc,inst11..0)
        BIF32, BNIF32, BNVF32, BVF32:
          BranchConditionalVisibilityFloatingPoint(major,rd,rc,inst11..0)
        L.MINOR
          case minor of
            L16L, LU16L, L32L, LU32L, L64L, LU64L, L128L, L8, LU8,
            L16AL, LU16AL, L32AL, LU32AL, L64AL, LU64AL, L128AL,
            L16B, LU16B, L32B, LU32B, L64B, LU64B, L128B,
            L16AB, LU16AB, L32AB, LU32AB, L64AB, LU64AB, L128AB:
              Load(minor,rd,rc,rb)
            others:
              raise ReservedInstruction
          endcase
        LI16L LIU16L, LI32L, LIU32L, LI64L, LIU64L, LI128L, LI8, LIU8,
        LI16AL, LIU16AL, LI32AL, LIU32AL, LI64AL, LIU64AL, LI128AL,
        LI16B, LIU16B, LI32B, LIU32B, LI64B, LIU64B, LI128B,
        LI16AB, LIU16AB, LI32AB, LIU32AB, LI64AB, LIU64AB, LI128AB:
          LoadImmediate(major,rd,rc,inst11..0)
        S.MINOR
          case minor of
            S16L, S32L, S64L, S128L, S8,
            S16AL, S32AL, S64AL, S128AL,
            SAS64AL, SCS64AL, SMS64AL, SM64AL,
            S16B, S32B, S64B, S128B,
            S16AB, S32AB, S64AB, S128AB,
            SAS64AB, SCS64AB, SMS64AB, SM64AB:
              Store(minor,rd,rc,rb)
            SDCS64AB, SDCS64AL:
              StoreDoubleCompareSwap(minor,rd,rc,rb)
            others:
              raise ReservedInstruction
          endcase
        SI16L, SI32L, SI64L, SI128L, SI8,
        SI16AL, SI32AL, SI64AL, SI128AL,
        SASI64AL, SCSI64AL, SMSI64AL, SMUXI64AL,
        SI16B, SI32B, SI64B, SI128B,
        SI16AB, SI32AB, SI64AB, SI128AB
        SASI64AB, SCSI64AB, SMSI64AB, SMUXI64AB:
          StoreImmediate(major,rd,rc,inst11..0)
        G.8, G.16, G.32, G.64, G.128:
          minor
    Figure US20080059766A1-20080306-P00801
    inst5..0
          size
    Figure US20080059766A1-20080306-P00801
    0 || 1 || 03+major−G.8
          case minor of
            G.ADD, G.ADD.L, G.ADD.LU, G.ADD.O, G.ADD.OU:
              Group(minor,size,rd,rc,rb)
            G.ADDHC, G.ADDHF, G.ADDHN, G.ADDHZ,
            G.ADDHUC, G.ADDHUF, G.ADDHUN, G.ADDHUZ:
              GroupAddHalve(minor,inst1..0,size,rd,rc,rb)
            G.AAA, G.ASA:
              GroupInplace(minor,size,rd,rc,rb)
            G.SET.AND.E, G.SET.AND.NE, G.SET.E, G.SET.NE,
            G.SET.L, G.SET.GE, G.SET.L.U, G.SET.GE.U:
            G.SUB, G.SUB.L, G.SUB.LU, G.SUB.O, G.SUB.U.O:
              GroupReversed(minor,size,ra,rb,rc)
            G.SET.E.F, G.SET.LG.F, G.SET.GE.F, G.SET.L.F,
            G.SET.E.F.X, G.SET.LG.F.X, G.SET.GE.F.X, G.SET.L.F.X:
              GroupReversedFloatingPoint(minor.op,.size,
                minor.round, rd, rc, rb)
            G.SHL.I.ADD..G.SHL.I.ADD+3,
              GroupShiftLeftImmediateAdd(inst1..0,size,rd,rc,rb)
                G.SHL.I.SUB..G.SHL.I.SUB+3,
            GroupShiftLeftImmediateSubtract(inst1..0,size,rd,rc,rb)
              G.SUBHC, G.SUBHF, G.SUBHN, G.SUBHZ,
            G.SUBHUC, G.SUBHUF, G.SUBHUN, G.SUBHUZ:
              GroupSubtractHalve(minor,inst1..0,size,rd,rc,rb)
            G.COM,
              compare
    Figure US20080059766A1-20080306-P00801
    inst11..6
              case compare of
                G.COM.E, G.COM.NE, G.COM.AND.E, G.COM.AND.NE,
                G.COM.L, G.COM.GE, G.COM.L.U, G.COM.GE.U:
                  GroupCompare(compare,size,ra,rb)
                others:
                  raise ReservedInstruction
              endcase
            others:
              raise ReservedInstruction
          endcase
        G.BOOLEAN..G.BOOLEAN+1:
          GroupBoolean(major,rd,rc,rb,minor)
        G.COPY.I...G.COPY.I+1:
          size
    Figure US20080059766A1-20080306-P00801
    0 || 1 || 04+inst 17..16
          GroupCopyImmediate(major,size,rd,inst15..0)
        G.AND.I, G.NAND.I, G.NOR.I, G.OR.I, G.XOR.I,
        G.ADD.I, G.ADD.I.O, G.ADD.I.U.O:
          size
    Figure US20080059766A1-20080306-P00801
    0 || 1 || 04+inst 11..10
          GroupImmediate(major,size,rd,rc,inst9..0)
        G.SET.AND.E.I, G.SET.AND.NE.I, G.SET.E.I, G.SET.GE.I, G.SET.L.I,
        G.SET.NE.I, G.SET.GE.I.U, G.SET.L.I.U, G.SUB.I, G.SUB.I.O, G.SUB.I.U.O:
          size
    Figure US20080059766A1-20080306-P00801
    0 || 1 || 04+inst 11..10
          GroupImmediateReversed(major,size,rd,rc,inst9..0)
        G.MUX:
          GroupTernary(major,rd,rc,rb,ra)
        X.SHIFT:
          minor
    Figure US20080059766A1-20080306-P00801
    inst5..2 || 02
        size
    Figure US20080059766A1-20080306-P00801
    0 || 1 || 0(inst 24 || inst1..0)
          case minor of
          X.EXPAND, X.UEXPAND, X.SHL, X.SHL.O, X.SHL.U.O,
          X.ROTR, X.SHR, X.SHR.U,
            Crossbar(minor,size,rd,rc,rb)
            X.SHL.M, X.SHR.M:
              CrossbarInplace(minor,size,rd,rc,rb)
            others:
              raise ReservedInstruction
          endcase
        X.EXTRACT:
          CrossbarExtract(major,rd,rc,rb,ra)
        X.DEPOSIT, X.DEPOSIT.U X.WITHDRAW X.WITHDRAW.U
          CrossbarField(major,rd,rc,inst11..6,inst5..0)
        X.DEPOSIT.M:
          CrossbarFieldInplace(major,rd,rc,inst11..6,inst5..0)
        X.SHIFT.I:
          minor
    Figure US20080059766A1-20080306-P00801
    inst5..0
          case minor5..2 || 02 of
            X.COMPRESS.I, X.EXPAND.I, X.ROTR.I, X.SHL.I, X.SHL.I.O, X.SHL.I.U.O,
            X.SHR.I, X.COMPRESS.I.U, X.EXPAND.I.U, X.SHR.UI:
              CrossbarShortImmediate(minor,rd,rc,simm)
            X.SHL.M.I, X.SHR.M.I:
              CrossbarShortImmediateInplace(minor,rd,rc,simm)
            others:
              raise ReservedInstruction
          endcase
        X.SHUFFLE..X.SHUFFLE+1:
          CrossbarShuffle(major,rd,rc,rb,simm)
        X.SWIZZLE..X.SWIZZLE+3:
          CrossbarSwizzle(major,rd,rc,inst11..6,inst5..0)
        X.SELECT.8:
          CrossbarTernary(major,rd,rc,rb,ra)
        E.8, E.16, E.32, E.64, E.128:
          minor
    Figure US20080059766A1-20080306-P00801
    inst5..0
          size
    Figure US20080059766A1-20080306-P00801
    0 || 1 || 03+major−E.8
          case minor of
            E.CON., E.CON.U, E.CON.M, E.CON.C,
            E.MUL., E.MUL.U, E.MUL.M, E.MUL.C,
            E.MUL.SUM, E.MUL.SUM.U, E.MUL.SUM.M, E.MUL.SUM.C,
            E.DIV, E.DIV.U, E.MUL.P:
              Ensemble(minor,size,ra,rb,rc)
            E.CON.F.L, E.CON.F.B, E.CON.C.F.L, E.CON.C.F.B:
              EnsembleConvolveFloatingPoint(minor.size,rd,rc,rb)
            E.ADD.F.N, E.MUL.C.F.N, E.MUL.F.N, E.DIV.F.N,
            E.ADD.F.Z, E.MUL.C.F.Z, E.MUL.F.Z, E.DIV.F.Z,
            E.ADD.F.F, E.MUL.C.F.F, E.MUL.F.F, E.DIV.F.F,
            E.ADD.F.C, E.MUL.C.F.C, E.MUL.F.C, E.DIV.F.C,
            E.ADD.F, E.MUL.C.F, E.MUL.F, E.DIV.F,
            E.ADD.F.X, E.MUL.C.F.X, E.MUL.F.X, E.DIV.F.X,
              EnsembleFloatingPoint(minor.op, major.size, minor.round, rd, rc, rb)
            E.MUL.ADD, E.MUL.ADD.U, E.MUL.ADD.M, E.MUL.ADD.C:
              EnsembleInplace(minor,size,rd,rc,rb)
            E.MUL.SUB, E.MUL.SUB.U, E.MUL.SUB.M, E.MUL.SUB.C:
              EnsembleInplaceReversed(minor,size,rd,rc,rb)
            E.MUL.SUB.F, E.MUL.SUB.C.F:
              EnsembleInplaceReversedFloatingPoint(minor,size,rd,rc,rb)
            E.SUB.F.N, E.SUB.F.Z, E.SUB.F.F, E.SUB.F.C, E.SUB.F, E.SUB.F.X:
              EnsembleReversedFloatingPoint(minor.op, major.size,
                minor.round, rd, rc, rb)
            E.UNARY:
              case unary of
                E.SUM, E.SUMU, E.LOG.MOST, E. LOG.MOST.U:
                  EnsembleUnary(unary,rd,rc)
                E.ABS.F, E.ABS.F.X, E.COPY.F, E.COPY.F.X,
                E.DEFLATE.F, E.DEFLATE.F.N, E.DEFLATE.F.Z,
                E.DEFLATE.F.F, E.DEFLATE.F.C, E.DEFLATE.F.X:
                E.FLOAT.F, E.FLOAT.F.N, E.FLOAT.F.Z,
                E.FLOAT.F.F, E.FLOAT.F.C, E.FLOAT.F.X:
                E.INFLATE.F, E.INFLATE.F.X, E.NEG.F, E.NEG.F.X,
                E.RECEST.F, E.RECEST.F.X, E.RSQREST.F, E.RSQREST.F.X,
                E.SQR.F, E.SQR.F.N, E.SQR.F.Z, E.SQR.F.F, E.SQR.F.C, E.SQR.F.X:
                E.SUM.F, E.SUM.F.N, E.SUM.F.Z,
                E.SUM.F.F, E.SUM.F.C, E.SUM.F.X:
                E.SINK.F, E.SINK.F.Z.D, E.SINK.F.F.D, E.SINK.F.C.D, E.SINK.F.X.D,
                E.SINK.F.N, E.SINK.F.Z, E.SINK.F.F, E.SINK.F.C, E.SINK.F.X:
                  EnsembleUnaryFloatingPoint(unary.op, major.size,
                      unary.round, rd, rc)
                others:
                  raise ReservedInstruction
              endcase
            others:
              raise ReservedInstruction
          endcase
        E.CON.X.IL, E.CON.X.IB, E.CON.X.IUL, E.CON.X.IUB,
        E.CON.X.IML, E.CON.X.IMB, E.CON.X.ICL, E.CON.X.ICB:
          size
    Figure US20080059766A1-20080306-P00801
    1 || 03+inst 5..4
          EnsembleConvolveExtractImmediate(major,inst3..2,size,rd,rc,rb,inst1..0)
        E.MULX, E.EXTRACT, E.SCAL.ADDX:
          EnsembleExtract(major,rd,rc,rb,ra)
        E.EXTRACTI, E.EXTRACTIU E.MULXI, E.MULXIU, E.MULXIM, E.MULXIC:
          size
    Figure US20080059766A1-20080306-P00801
    1 || 03+inst 5..4
          EnsembleExtractImmediate(major,inst3..2,size,rd,rc,rb,inst1..0)
        E.MUL.ADD.X.I, E.MUL.ADD.X.I.U, E.MUL.ADD.X.I.M, E.MUL.ADD.X.I.C:
          size
    Figure US20080059766A1-20080306-P00801
    1 || 03+inst 5..4
          EnsembleExtractImmediateInplace(major,inst3..2,size,rd,rc,rb,inst1..0)
        E.MUL.GAL.8, E.MUL.GAL.64:
          size
    Figure US20080059766A1-20080306-P00801
    1 || 0 3+inst 26..24
          EnsembleTernary(major,size,rd,rc,rb,ra)
        E.MUL.ADD.F16, E.MUL.ADD.F32, E.MUL.ADD.F64, E.MUL.ADD.F128
        E.MULSUB.F16, E.MULSUB.F32, E.MULSUB.F64, E.MULSUB.F128,
        E.SCAL.ADD.F16, E.SCAL.ADD.F32, E.SCAL.ADD.F64:
          EnsembleTernaryFloatingPoint(major,rd,rc,rb,ra)
        W.MINOR.B, W.MINOR.L:
          case minor of
            W.TRANSLATE.8, W.TRANSLATE.16, W.TRANSLATE.32, W.TRANSLATE.64:
              size
    Figure US20080059766A1-20080306-P00801
    1 || 03+inst 5..4
              WideTranslate(major,size,rd,rc,rb)
            W.MUL.MAT.8, W.MUL.MAT.16, W.MUL.MAT.32, W.MUL.MAT.64,
            W.MUL.MAT.U.8, W.MUL.MAT.U.16, W.MUL.MAT.U.32, W.MUL.MAT.U.64,
            W.MUL.MAT.M.8, W.MUL.MAT.M.16, W.MUL.MAT.M.32, W.MUL.MAT.M.64,
            W.MUL.MAT.C.8, W.MUL.MAT.C.16, W.MUL.MAT.C.32, W.MUL.MAT.C.64,
            W.MUL.MAT.P.8, W.MUL.MAT.P.16, W.MUL.MAT.P.32, W.MUL.MAT.P.64:
              size
    Figure US20080059766A1-20080306-P00801
    1 || 03+inst 5..4
              WideMultiply(major,minor,size,rd,rc,rb)
            W.MUL.MAT.F16, W.MUL.MAT.F.32, W.MUL.MAT.F64,
            W.MUL.MAT.C.F16, W.MUL.MAT.C.F32, W.MUL.MAT.C.F64:
              size
    Figure US20080059766A1-20080306-P00801
    1 || 03+inst 5..4
              WideFloatingPointMultiply(major,minor,size,rd,rc,rb)
            others:
          endcase
        W.MUL.MAT.X.B, W.MUL.MAT.X.L:
          WideExtract(major,ra,rb,rc,rd)
        W.MUL.MAT.X.I.B, W.MUL.MAT.X.I.L, W.MUL.MAT.X.I.U.B, W.MUL.MAT.X.I.U.L,
        W.MUL.MAT.X.I.M.B, W.MUL.MAT.X.I.M.L, W.MUL.MAT.X.I.C.B, W.MUL.MAT.X.I.C.L:
          size
    Figure US20080059766A1-20080306-P00801
    1 || 03+inst 5..4
          WideExtractImmediate(major,inst3..2,size,ra,rb,rc,inst1..0)
        W.MUL.MAT.G.B, W.MUL.MAT.G.L:
          WideMultiplyGalois(major,rd,rc,rb,ra)
        W.SWITCH.B, W.SWITCH.L:
          WideSwitch(major,rd,rc,rb,ra)
        others:
          raise ReservedInstruction
      endcase
    enddef

    Group Boolean
  • In accordance with one embodiment of the invention, these operations take operands from three registers, perform Boolean operations on corresponding bits in the operands, and place the concatenated results in the third register.
  • In accordance with one embodiment of the invention, the processor handles a variety Group Boolean operations. For example, FIG. 31A presents various Group Boolean instructions. FIGS. 31B and 31C illustrate an exemplary embodiment of a format and operation codes that can be used to perform the Boolean instructions shown in FIG. 31A. As shown in FIGS. 31B and 31C, in this exemplary embodiment, three values are taken from the contents of registers rd, rc and rb. The ih and il fields specify a function of three bits, producing a single bit result. The specified function is evaluated for each bit position, and the results are catenated and placed in register rd. Register rd is both a source and destination of this instruction.
  • The function is specified by eight bits, which give the result for each possible value of the three source bits in each bit position:
    d 1 1 1 1 0 0 0 0
    c 1 1 0 0 1 1 0 0
    b 1 0 1 0 1 0 1 0
    f(d, c, b) f7 f6 f5 f4 f3 f2 f1 f0
  • A function can be modified by rearranging the bits of the immediate value. The table below shows how rearrangement of immediate value f7 . . . 0 can reorder the operands d,c,b for the same function.
    operation immediate
    f(d, c, b) f7 f6 f5 f4 f3 f2 f1 f0
    f(c, d, b) f7 f6 f3 f2 f5 f4 f1 f0
    f(d, b, c) f7 f5 f6 f4 f3 f1 f2 f0
    f(b, c, d) f7 f3 f5 f1 f6 f2 f4 f0
    f(c, b, d) f7 f5 f3 f1 f6 f4 f2 f0
    f(b, d, c) f7 f3 f6 f2 f5 f1 f4 f0
  • By using such a rearrangement, an operation of the form: b=f(d,c,b) can be recoded into a legal form: b=f(b,d,c). For example, the function: b=f(d,c,b)=d?c:b cannot be coded, but the equivalent function: d=c?b:d can be determined by rearranging the code for d=f(d,c,b)=d?c:b, which is 11001010, according to the rule for f(d,c,b)=
    Figure US20080059766A1-20080306-P00902
    f(c,b,d), to the code 11011000.
  • Encoding—Some special characteristics of this rearrangement is the basis of the manner in which the eight function specification bits are compressed to seven immediate bits in this instruction. As seen in the table above, in the general case, a rearrangement of operands from f(d,c,b) to f(d,b,c) (interchanging rc and rb) requires interchanging the values of f6 and f5 and the values of f2 and f1.
  • Among the 256 possible functions which this instruction can perform, one quarter of them (64 functions) are unchanged by this rearrangement. These functions have the property that f6=f5 and f2=f1. The values of rc and rb (note that rc and rb are the register specifiers, not the register contents) can be freely interchanged, and so are sorted into rising or falling order to indicate the value of f2. (A special case arises when rc=rb, so the sorting of rc and rb cannot convey information. However, as only the values f7, f4, f3, and f0 can ever result in this case, f6, f5, f2, and f1 need not be coded for this case, so no special handling is required.) These functions are encoded by the values of f7, f6, f4, f3, and f0 in the immediate field and f2 by whether rc>rb, thus using 32 immediate values for 64 functions.
  • Another quarter of the functions have f6=1 and f5=0. These functions are recoded by interchanging rc and rb, f6 and f5, f2 and f1. They then share the same encoding as the quarter of the functions where f6=0 and f5=1, and are encoded by the values of f7, f4, f3, f2, f1, and f0 in the immediate field, thus using 64 immediate values for 128 functions.
  • The remaining quarter of the functions have f6=f5 and f2.noteq.f1. The half of these in which f2=1 and f1=0 are recoded by interchanging rc and rb, f6 and f5, f2 and f1. They then share the same encoding as the eighth of the functions where f2=0 and f1=1, and are encoded by the values of f7, f6, f4, f3, and f0 in the immediate field, thus using 32 immediate values for 64 functions.
  • The function encoding is summarized by the table:
    f7 f6 f5 f4 f3 f2 f1 f0 trc > trb ih il5 il4 il3 il2 il1 il0 rc rb
    f6 f2 f2 0 0 f6 f7 f4 f3 f0 trc trb
    f6 f2 ˜f 2 0 0 f6 f7 f4 f3 f0 trb trc
    f
    6 0 1 0 1 f6 f7 f4 f3 f0 trc trb
    f
    6 1 0 0 1 f6 f7 f4 f3 f0 trb trc
    0 1 1 f2 f1 f7 f4 f3 f0 trc trb
    1 0 1 f1 f2 f7 f4 f3 f0 trb trc
  • The function decoding is summarized by the table:
    ih il5 il4 il3 il2 il1 il0 rc > rb f7 f6 f5 f4 f3 f2 f1 f0
    0 0 0 il3 il4 il4 il2 il1 0 0 il0
    0 0 1 il3 il4 il4 il2 il1 1 1 il0
    0 1 il3 il4 il4 il2 il1 0 1 il0
    1 il3 0 1 il2 il1 il5 il4 il0

    Group Multiplex
  • These operations take three values from registers, perform a group of calculations on partitions of bits of the operands and place the catenated results in a fourth register.
  • In accordance with one embodiment of the invention, the processor handles group multiplex operations. FIGS. 31D and 31E illustrate an exemplary embodiment of a format and operation codes that can be used to perform the various Group Multiplex instructions. As shown in FIGS. 31D and 31E, in this exemplary embodiment, the contents of registers rd, rc and rb are fetched. Each bit of the result is equal to the corresponding bit of rc, if the corresponding bit of rd is set, otherwise it is the corresponding bit of rb. The result is placed into register ra. While the use of three operand registers and a different result register is described here and elsewhere in the present specification, other arrangements, such as the use of immediate values, may also be implemented.
  • The table marked Redundancies in FIG. 31D illustrates that for particular values of the register specifiers, the Group Multiplex operation performs operations otherwise available within the Group Boolean instructions. More specifically, when the result register ra is also present as a source register in the first, second or third source operand position of the operation, the operation is equivalent to the Group Boolean instruction with arguments of 0.times.11001010, 0.times.11100010, or 0.times.11011000 respectively. When the first source operand is the same as the second or third source operand, the Group Multiplex operation is equivalent to a bitwise OR or AND operation respectively.
  • Group Add
  • In accordance with one embodiment of the invention, these operations take operands from two registers, perform operations on partitions of bits in the operands, and place the concatenated results in a third register.
  • In accordance with one embodiment of the invention, the processor handles a variety of fixed-point, or integer, group operations. For example, FIG. 32A presents various examples of Group Add instructions accommodating different operand sizes, such as a byte (8 bits), doublet (16 bits), quadlet (32 bits), octlet (64 bits), and hexlet (128 bits). FIGS. 32B and 32C illustrate an exemplary embodiment of a format and operation codes that can be used to perform the various Group Add instructions shown in FIG. 32A. As shown in FIGS. 32B and 32C, in this exemplary embodiment, the contents of registers rc and rb are partitioned into groups of operands of the size specified and added, and if specified, checked for overflow or limited, yielding a group of results, each of which is the size specified. The group of results is catenated and placed in register rd. While the use of two operand registers and a different result register is described here and elsewhere in the present specification, other arrangements, such as the use of immediate values, may also be implemented.
  • In the present embodiment, for example, if the operand size specified is a byte (8 bits), and each register is 128-bit wide, then the content of each register may be partitioned into 16 individual operands, and 16 different individual add operations may take place as the result of a single Group Add instruction. Other instructions involving groups of operands may perform group operations in a similar fashion.
  • Group Subtract
  • In accordance with one embodiment of the invention, these operations take two values from registers, perform operations on partitions of bits in the operands, and place the concatenated results in a register. Two values are taken from the contents of registers rc and rb. The specified operation is performed, and the result is placed in register rd.
  • Similarly, FIG. 33A presents various examples of Group Subtract instructions accommodating different operand sizes. FIGS. 33B and 33C illustrate an exemplary embodiment of a format and operation codes that can be used to perform the various Group Subtract instructions. As shown in FIGS. 33B and 33C, in this exemplary embodiment, the contents of registers rc and rb are partitioned into groups of operands of the size specified and subtracted, and if specified, checked for overflow or limited, yielding a group of results, each of which is the size specified. The group of results is catenated and placed in register rd.
  • Group Set
  • In accordance with one embodiment of the invention, these operations take two values from registers, perform operations on partitions of bits in the operands, and place the concatenated results in a register. Two values are taken from the contents of registers rc and rb. The specified operation is performed, and the result is placed in register rd.
  • FIG. 33A also presents various examples of Group Set instructions accommodating different operand sizes. FIG. 33A also presents additional pseudo-instructions which are equivalent to other Group Set instructions according to the mapping rules further presented in FIG. 33A. FIGS. 33B and 33C illustrate an exemplary embodiment of a format and operation codes that can be used to perform the various Group Set instructions. As shown in FIGS. 33B and 33C, in this exemplary embodiment, the contents of registers rc and rb are partitioned into groups of operands of the size specified and the specified comparisons are performed, each producing a Boolean result repeated to the size specified, yielding a group of results, each of which is the size specified. The group of results is catenated and placed in register rd. In the present embodiment, certain comparisons between two identically specified registers, for which the result of such comparisons would be predictable no matter what the contents of the register, are used to encode comparisons against a zero value.
  • These operations take two values from registers, perform operations on partitions of bits in the operands, and place the concatenated results in a register. Two values are taken from the contents of registers rc and rb. The specified operation is performed, and the result is placed in register rd.
  • Combination of Group Set and Boolean Operations
  • In an embodiment of the invention, conditional operations are provided in the sense that the set on condition operations can be used to construct bit masks that can select between alternate vector expressions, using the bitwise Boolean operations.
  • Ensemble Divide/Multiply
  • Embodiments of the invention provide for other fixed-point group operations also. FIG. 34A presents various examples of Ensemble Divide and Ensemble Multiply instructions accommodating different operand sizes. FIGS. 34B and 34C illustrate an exemplary embodiment of a format and operation codes that can be used to perform the various Ensemble Divide and Ensemble Multiply instructions. As shown in FIGS. 34B and 34C, in this exemplary embodiment, the contents of registers rc and rb are partitioned into groups of operands of the size specified and divided or multiplied, yielding a group of results. The group of results is catenated and placed in register rd.
  • These operations take operands from two registers, perform operations on partitions of bits in the operands, and place the concatenated results in a third register. Two values are taken from the contents of registers rc and rb. The specified operation is performed, and the result is placed in register rd.
  • Group Compare
  • FIG. 35A presents various examples of Group Compare instructions accommodating different operand sizes. FIGS. 35B and 35C illustrate an exemplary embodiment of a format and operational codes that can be used to perform the various Group Compare instructions. As shown in FIGS. 35B and 35C, in this exemplary embodiment, these operations perform calculations on partitions of bits in two general register values, and generate a fixed-point arithmetic exception if the condition specified is met. Two values are taken from the contents of registers rd and rc. The specified condition is calculated on partitions of the operands. If the specified condition is true for any partition, a fixed-point arithmetic exception is generated. This instruction generates no general purpose register results.
  • Ensemble Unary
  • FIG. 36A presents various examples of Ensemble Unary instructions accommodating different operand sizes. FIGS. 36B and 36C illustrate an exemplary embodiment of a format and operational codes that can be used to perform the various Ensemble Unary instructions. As shown in FIGS. 36B and 36C, in this exemplary embodiment, these operations take operands from a register, perform operations on partitions of bits in the operand, and place the concatenated results in a second register. Values are taken from the contents of register rc. The specified operation is performed, and the result is placed in register rd. The code E.SUM.U.1 in FIG. 36A is preferably encoded as E.SUM.U.128.
  • Ensemble Floating-Point Add, Divide, Multiply, and Subtract
  • In accordance with one embodiment of the invention, the processor also handles a variety floating-point group operations accommodating different operand sizes. Here, the different operand sizes may represent floating-point operands of different precisions, such as half-precision (16 bits), single-precision (32 bits), double-precision (64 bits), and quad-precision (128 bits). FIG. 37 illustrates exemplary functions that are defined for use within the detailed instruction definitions in other sections and figures. In the functions set forth in FIG. 37, an internal format represents infinite-precision floating-point values as a four-element structure consisting of (1) s (sign bit): 0 for positive, 1 for negative, (2) t (type): NORM, ZERO, SNAN, QNAN, INFINITY, (3) e (exponent), and (4) f: (fraction). The mathematical interpretation of a normal value places the binary point at the units of the fraction, adjusted by the exponent: (−1){circumflex over ( )}s*(2{circumflex over ( )}e)*f. The function F converts a packed IEEE floating-point value into internal format. The function PackF converts an internal format back into IEEE floating-point format, with rounding and exception control.
  • FIGS. 38A and 39A present various examples of Ensemble Floating Point Add, Divide, Multiply, and Subtract instructions. FIGS. 38B-C and 39B-C illustrate an exemplary embodiment of formats and operation codes that can be used to perform the various Ensemble Floating Point Add, Divide, Multiply, and Subtract instructions. In these examples, Ensemble Floating Point Add, Divide, and Multiply instructions have been labeled as “EnsembleFloatingPoint.” Also, Ensemble Floating-Point Subtract instructions have been labeled as “EnsembleReversedFloatingPoint.” As shown in FIGS. 38B-C and 39B-C, in this exemplary embodiment, the contents of registers ra and rb (or rc and rb) are partitioned into groups of operands of the size specified, and the specified group operation is performed, yielding a group of results. The group of results is catenated and placed in register rc (or rd).
  • These operations take two values from registers, perform a group of floating-point arithmetic operations on partitions of bits in the operands, and place the concatenated results in a register. For Ensemble Floating-point operations, the contents of registers ra and rb are combined using the specified floating-point operation. The result is placed in register rc. For Ensemble Reversed Floating-point operations, the contents of registers rc and rb are combined using the specified floating-point operation. The result is placed in register rd.
  • In the present embodiment, the operation is rounded using the specified rounding option or using round-to-nearest if not specified. If a rounding option is specified, the operation raises a floating-point exception if a floating-point invalid operation, divide by zero, overflow, or underflow occurs, or when specified, if the result is inexact. If a rounding option is not specified, floating-point exceptions are not raised, and are handled according to the default rules of IEEE 754.
  • Ensemble Multiply-Add Floating-Point
  • FIG. 38D presents various examples of Ensemble Floating Point Multiply Add instructions. FIGS. 38E-F illustrate an exemplary embodiment of formats and operation codes that can be used to perform the various Ensemble Floating Point Multiply Add instructions. In these examples, Ensemble Floating Point Multiply Add instructions have been labeled as “EnsembleInplaceFloatingPoint.” As shown in FIGS. 38E-F, in this exemplary embodiment, operations take operands from three registers, perform operations on partitions of bits in the operands, and place the concatenated results in the third register. The contents of registers rd, rc and rb are fetched. The specified operation is performed on these operands. The result is placed into register rd. Specifically, the contents of registers rd, rc and rb are partitioned into groups of operands of the size specified, and for each partitioned element, the contents of registers rc and rb are multiplied and added to the contents of register rd, yielding a group of results. The group of results is catenated and placed in register rd. Register rd is both a source and destination of this instruction.
  • In the present embodiment, the operation is rounded using the specified rounding option or using round-to-nearest if not specified. If a rounding option is specified, the operation raises a floating-point exception if a floating-point invalid operation, divide by zero, overflow, or underflow occurs, or when specified, if the result is inexact. If a rounding option is not specified, floating-point exceptions are not raised, and are handled according to the default rules of IEEE 754.
  • Group Scale-Add Floating-Point
  • In accordance with one embodiment of the invention, these operations take three values from registers, perform a group of floating-point arithmetic operations on partitions of bits in the operands, and place the concatenated results in a register.
  • FIG. 38G presents various examples of Ensemble Floating Point Scale Add instructions. FIGS. 38H-I illustrate an exemplary embodiment of formats and operation codes that can be used to perform the various Ensemble Floating Point Scale Add instructions. In these examples, Ensemble Floating Point Scale Add instructions have been labeled as “EnsembleTernaryFloatingPoint.” As shown in FIGS. 38E-F, in this exemplary embodiment, the contents of registers rd and rc are taken to represent a group of floating-point operands. Operands from register rd are multiplied with a floating-point operand taken from the least-significant bits of the contents of register rb and added to operands from register rc multiplied with a floating-point operand taken from the next least-significant bits of the contents of register rb. The results are concatenated and placed in register ra. In an exemplary embodiment, the results are rounded to the nearest representable floating-point value in a single floating-point operation. In an exemplary embodiment, floating-point exceptions are not raised, and are handled according to the default rules of IEEE 754. In an exemplary embodiment, these instructions cannot select a directed rounding mode or trap on inexact.
  • Group Set Floating-Point
  • In accordance with one embodiment of the invention, these operations take two values from registers, perform a group of floating-point arithmetic operations on partitions of bits in the operands, and place the concatenated results in a register. The contents of registers ra and rb are combined using the specified floating-point operation. The result is placed in register rc. The operation is rounded using the specified rounding option or using round-to-nearest if not specified. If a rounding option is specified, the operation raises a floating-point exception if a floating-point invalid operation, divide by zero, overflow, or underflow occurs, or when specified, if the result is inexact. If a rounding option is not specified, floating-point exceptions are not raised, and are handled according to the default rules of IEEE 754.
  • FIG. 39D also presents various examples of Group Set Floating-point instructions accommodating different operand sizes. FIG. 39E also presents additional pseudo-instructions which are equivalent to other Group Set Floating-Point instructions according to the mapping rules further presented in FIG. 39E. FIGS. 39F and 39G illustrate an exemplary embodiment of a format and operation codes that can be used to perform the various Group Set instructions. As shown in FIG. 39G, in this exemplary embodiment, the contents of registers rc and rb are partitioned into groups of operands of the size specified and the specified comparisons are performed, each producing a Boolean result repeated to the size specified, yielding a group of results, each of which is the size specified. The group of results is catenated and placed in register rd. If a rounding mode is specified a floating-point exception is raised if any operand is a SNAN, or when performing a Less or Greater Equal comparison, any operand is a QNAN. If a rounding option is not specified, floating-point exceptions are not raised, and are handled according to the default rules of IEEE 754.
  • Group Compare Floating-Point
  • FIG. 40A presents various examples of Group Compare Floating-point instructions accommodating different operand sizes. FIGS. 40B and 40C illustrate an exemplary embodiment of a format and operational codes that can be used to perform the various Group Compare Floating-point instructions. As shown in FIGS. 40B and 40C, in this exemplary embodiment, these operations perform calculations on partitions of bits in two general register values, and generate a floating-point arithmetic exception if the condition specified is met. The contents of registers rd and rc are compared using the specified floating-point condition. If the result of the comparison is true for any corresponding pair of elements, a floating-point exception is raised. If a rounding option is specified, the operation raises a floating-point exception if a floating-point invalid operation occurs. If a rounding option is not specified, floating-point exceptions are not raised, and are handled according to the default rules of IEEE 754.
  • Ensemble Unary Floating-Point
  • FIG. 41A presents various examples of Ensemble Unary Floating-point instructions accommodating different operand sizes. FIGS. 41B and 41C illustrate an exemplary embodiment of a format and operational codes that can be used to perform the various Ensemble Unary Floating-point instructions. As shown in FIGS. 41B and 41C, in this exemplary embodiment, these operations take one value from a register, perform a group of floating-point arithmetic operations on partitions of bits in the operands, and place the concatenated results in a register. The contents of register rc is used as the operand of the specified floating-point operation. The result is placed in register rd. The operation is rounded using the specified rounding option or using round-to-nearest if not specified. If a rounding option is specified, unless default exception handling is specified, the operation raises a floating-point exception if a floating-point invalid operation, divide by zero, overflow, or underflow occurs, or when specified, if the result is inexact. If a rounding option is not specified or if default exception handling is specified, floating-point exceptions are not raised, and are handled according to the default rules of IEEE 754. The reciprocal estimate and reciprocal square root estimate instructions compute an exact result for half precision, and a result with at least 12 bits of significant precision for larger formats.
  • Ensemble Multiply Galois Field
  • In accordance with one embodiment of the invention, the processor handles different Galois filed operations. For example, FIG. 42A presents various examples of Ensemble Multiply Galois Field instructions accommodating different operand sizes. FIGS. 42B and 42C illustrate an exemplary embodiment of a format and operation codes that can be used to perform the Ensemble Multiply Galois Field instructions shown in FIG. 42A. As shown in FIGS. 42B and 32C, in this exemplary embodiment, the contents of registers rd, rc, and rb are fetched. The specified operation is performed on these operands. The result is placed into register ra.
  • The contents of registers rd and rc are partitioned into groups of operands of the size specified and multiplied in the manner of polynomials. The group of values is reduced modulo the polynomial specified by the contents of register rb, yielding a group of results, each of which is the size specified. The group of results is catenated and placed in register ra.
  • An ensemble multiply Galois field bytes instruction (E.MULG.8) multiplies operand [d15 d14 d13 d12 d11 d10 d9 d8 d7 d6 d5 d4 d3 d2 d1 d0] by operand [c15 c14 c13 c12 c11 c10 c9 c8 c7 c6 c5 c4 c3 c2 c1 c0], modulo polynomial [q], yielding the results [(d15c 15 mod q) (d14c14 mod q) . . . (d0c0 mod q), as illustrated in FIG. 42D.
  • Compress, Expand, Rotate and Shift
  • In accordance with one embodiment of the invention, these operations take operands from two registers, perform operations on partitions of bits in the operands, and place the concatenated results in a third register. Two values are taken from the contents of registers rc and rb. The specified operation is performed, and the result is placed in register rd.
  • In one embodiment of the invention, crossbar switch units such as units 142 and 148 perform data handling operations, as previously discussed. As shown in FIG. 43A, such data handling operations may include various examples of Crossbar Compress, Crossbar Expand, Crossbar Rotate, and Crossbar Shift operations. FIGS. 43B and 43C illustrate an exemplary embodiment of a format and operation codes that can be used to perform the various Crossbar Compress, Crossbar Expand, Crossbar Rotate, and Crossbar Shift instructions. As shown in FIGS. 43B and 43C, in this exemplary embodiment, the contents of registers rc and rb are obtained and the contents of register rc is partitioned into groups of operands of the size specified and the specified operation is performed using a shift amount obtained from the contents of register rb masked to values from zero to one less than the size specified, yielding a group of results. The group of results is catenated and placed in register rd.
  • Various Group Compress operations may convert groups of operands from higher precision data to lower precision data. An arbitrary half-sized sub-field of each bit field can be selected to appear in the result. For example, FIG. 43D shows an X.COMPRESS.16 rd=rc, 4 operation, which performs a selection of bits 19.4 of each quadlet in a hexlet. Various Group Shift operations may allow shifting of groups of operands by a specified number of bits, in a specified direction, such as shift right or shift left. As can be seen in FIG. 43C, certain Group Shift Left instructions may also involve clearing (to zero) empty low order bits associated with the shift, for each operand. Certain Group Shift Right instructions may involve clearing (to zero) empty high order bits associated with the shift, for each operand. Further, certain Group Shift Right instructions may involve filling empty high order bits associated with the shift with copies of the sign bit, for each operand.
  • Shift Merge
  • In accordance with one embodiment of the invention, these operations take operands from three registers, perform operations on partitions of bits in the operands, and place the concatenated results in the third register. The contents of registers rd, rc and rb are fetched. The specified operation is performed on these operands. The result is placed into register rd.
  • In one embodiment of the invention, as shown in FIG. 43E, such data handling operations may also include various examples of Shift Merge operations. FIGS. 43F and 43G illustrate an exemplary embodiment of a format and operation codes that can be used to perform the various Shift Merge instructions. As shown in FIGS. 43F and 43G, in this exemplary embodiment, the contents of registers rd, and rc are obtained and the contents of register rd and rc are partitioned into groups of operands of the size-specified, and the specified operation is performed using a shift amount obtained from the contents of register rb masked to values from zero to one less than the size specified, yielding a group of results. The group of results is catenated and placed in register rd. Register rd is both a source and destination of this instruction.
  • Shift Merge operations may allow shifting of groups of operands by a specified number of bits, in a specified direction, such as shift right or shift left. As can be seen in FIG. 43G, certain Shift Merge operations may involve filling empty bits associated with the shift with copies of corresponding bits from the contents of register rd, for each operand.
  • Compress, Expand, Rotate and Shift Immediate
  • In accordance with one embodiment of the invention, these operations take operands from a register and a short immediate value, perform operations on partitions of bits in the operands, and place the concatenated results in a register. A 128-bit value is taken from the contents of register rc. The second operand is taken from simm. The specified operation is performed, and the result is placed in register rd.
  • In one embodiment of the invention, crossbar switch units such as units 142 and 148 perform data handling operations, as previously discussed. As shown in FIG. 43H, such data handling operations may include various examples of Crossbar Compress Immediate, Crossbar Expand Immediate, Crossbar Rotate Immediate, and Crossbar Shift Immediate operations. FIGS. 43I and 43J illustrate an exemplary embodiment of a format and operation codes that can be used to perform the various Crossbar Compress Immediate, Crossbar Expand Immediate, Crossbar Rotate Immediate, and Crossbar Shift Immediate instructions. As shown in FIGS. 43I and 43J, in this exemplary embodiment, the contents of register rc is obtained and is partitioned into groups of operands of the size specified and the specified operation is performed using a shift amount obtained from the instruction masked to values from zero to one less than the size specified, yielding a group of results. The group of results is catenated and placed in register rd.
  • Various Group Compress Immediate operations may convert groups of operands from higher precision data to lower precision data. An arbitrary half-sized sub-field of each bit field can be selected to appear in the result. For example, FIG. 43D shows an X.COMPRESS.16 rd=rc,4 operation, which performs a selection of bits 19 . . . 4 of each quadlet in a hexlet. Various Group Shift Immediate operations may allow shifting of groups of operands by a specified number of bits, in a specified direction, such as shift right or shift left. As can be seen in FIG. 43J, certain Group Shift Left Immediate instructions may also involve clearing (to zero) empty low order bits associated with the shift, for each operand. Certain Group Shift Right Immediate instructions may involve clearing (to zero) empty high order bits associated with the shift, for each operand. Further, certain Group Shift Right Immediate instructions may involve filling empty high order bits associated with the shift with copies of the sign bit, for each operand.
  • Shift Merge Immediate
  • In accordance with one embodiment of the invention, these operations take operands from two registers and a short immediate value, perform operations on partitions of bits in the operands, and place the concatenated results in the second register. Two 128-bit values are taken from the contents of registers rd and rc. A third operand is taken from simm. The specified operation is performed, and the result is placed in register rd. This instruction is undefined and causes a reserved instruction exception if the simm field is greater or equal to the size specified.
  • In one embodiment of the invention, as shown in FIG. 43K, such data handling operations may also include various examples of Shift Merge Immediate operations. FIGS. 43L and 43M illustrate an exemplary embodiment of a format and operation codes that can be used to perform the various Shift Merge Immediate instructions. As shown in FIGS. 43L and 43M, in this exemplary embodiment, the contents of registers rd and rc are obtained and are partitioned into groups of operands of the size specified, and the specified operation is performed using a shift amount obtained from the instruction masked to values from zero to one less than the size specified, yielding a group of results. The group of results is catenated and placed in register rd. Register rd is both a source and destination of this instruction.
  • Shift Merge operations may allow shifting of groups of operands by a specified number of bits, in a specified direction, such as shift right or shift left. As can be seen in FIG. 43G, certain Shift Merge operations may involve filling empty bits associated with the shift with copies of corresponding bits from the contents of register rd, for each operand.
  • Crossbar Extract
  • In one embodiment of the invention, data handling operations may also include a Crossbar Extract instruction. These operations take operands from three registers, perform operations on partitions of bits in the operands, and place the concatenated results in a fourth register. FIGS. 44A and 44B illustrate an exemplary embodiment of a format and operation codes that can be used to perform the Crossbar Extract instruction. These operations take operands from three registers, perform operations on partitions of bits in the operands, and place the concatenated results in a fourth register. As shown in FIGS. 44A and 44B, in this exemplary embodiment, the contents of registers rd, rc, and rb are fetched. The specified operation is performed on these operands. The result is placed into register ra.
  • The Crossbar Extract instruction allows bits to be extracted from different operands in various ways. Specifically, bits 31 . . . 0 of the contents of register rb specifies several parameters which control the manner in which data is extracted, and for certain operations, the manner in which the operation is performed. The position of the control fields allows for the source position to be added to a fixed control value for dynamic computation, and allows for the lower 16 bits of the control field to be set for some of the simpler extract cases by a single GCOPYI.128 instruction. The control fields are further arranged so that if only the low order 8 bits are non-zero, a 128-bit extraction with truncation and no rounding is performed:
    Figure US20080059766A1-20080306-C00052
  • The table below describes the meaning of each label:
    label bits meaning
    fsize
    8 field size
    dpos
    8 destination position
    x 1 reserved
    s 1 signed vs. unsigned
    n
    1 reserved
    m 1 merge vs. extract
    l
    1 reserved
    rnd 2 reserved
    gssp 9 group size and source position
  • The 9-bit gssp field encodes both the group size, gsize, and source position, spos, according to the formula gssp=512−4*gsize+spos. The group size, gsize, is a power of two in the range 1 . . . 128. The source position, spos, is in the range 0 . . . (2*gsize)−1.
  • The values in the s, n, m, l, and rnd fields have the following meaning:
    values s n m l rnd
    0 unsigned extract
    1 signed merge
    2
    3
  • For the E.SCAL.ADD.X instruction, bits 127 . . . 64 of the contents of register rc specifies the multipliers for the multiplicands in registers ra and rb. Specifically, bits 64+2*gsize−1 . . . 64+gsize is the multiplier for the contents of register ra, and bits 64+gsize−1 . . . 64 is the multiplier for the contents of register rb.
  • As shown in FIG. 44C, for the X.EXTRACT instruction, when m=0, the parameters are interpreted to select a fields from the catenated contents of registers rd and rc, extracting values which are catenated and placed in register ra. As shown in FIG. 44D, for a crossbar-merge-extract (X.EXTRACT when m=1), the parameters are interpreted to merge fields from the contents of register rd with the contents of register rc. The results are catenated and placed in register ra.
  • Ensemble Extract
  • In one embodiment of the invention, data handling operations may also include an Ensemble Extract instruction. These operations take operands from three registers, perform operations on partitions of bits in the operands, and place the concatenated results in a fourth register. FIGS. 44E, 44F and 44G illustrate an exemplary embodiment of a format and operation codes that can be used to perform the Ensemble Extract instruction. As shown in FIGS. 44F and 44G, in this exemplary embodiment, the contents of registers rd, rc, and rb are fetched. The specified operation is performed on these operands. The result is placed into register ra.
  • The Crossbar Extract instruction allows bits to be extracted from different operands in various ways. Specifically, bits 31 . . . 0 of the contents of register rb specifies several parameters which control the manner in which data is extracted, and for certain operations, the manner in which the operation is performed. The position of the control fields allows for the source position to be added to a fixed control value for dynamic computation, and allows for the lower 16 bits of the control field to be set for some of the simpler extract cases by a single GCOPYI.128 instruction. The control fields are further arranged so that if only the low order 8 bits are non-zero, a 128-bit extraction with truncation and no rounding is performed:
    Figure US20080059766A1-20080306-C00053
  • The table below describes the meaning of each label:
    label bits meaning
    fsize
    8 field size
    dpos
    8 destination position
    x 1 reserved
    s 1 signed vs. unsigned
    n
    1 complex vs. real multiplication
    m
    1 merge vs. extract or mixed-sign
    vs. same-sign multiplication
    l
    1 limit: saturation vs. truncation
    rnd
    2 rounding
    gssp 9 group size and source position
  • The 9-bit gssp field encodes both the group size, gsize, and source position, spos, according to the formula gssp=512−4*gsize+spos. The group size, gsize, is a power of two in the range 1 . . . 128. The source position, spos, is in the range 0 . . . (2*gsize)−1.
  • The values in the s, n, m, l, and rnd fields have the following meaning:
    values s n m l rnd
    0 unsigned real extract/same-sign truncate F
    1 signed complex merge/mixed-sign saturate Z
    2 N
    3 C
  • As shown in FIG. 44H, an ensemble-multiply-extract-doublets instruction (E.MULX) multiplies vector ra [h g f e d c b a] with vector rb [p o n m l k j i], yielding the result [hp go fn em dl ck bj ai], rounded and limited as specified by rc31 . . . 0.
  • As shown in FIG. 44I, an ensemble-multiply-extract-doublets-complex instruction (E.MUL.X with n set) multiplies operand [h g f e d c b a] by operand [p o n m l k j i], yielding the result [gp+ho go−hp en+fm em−fn cl+dk ck−dl aj+bi ai−bj], rounded and limited as specified. Note that this instruction prefers an organization of complex numbers in which the real part is located to the right (lower precision) of the imaginary part.
  • As shown in FIG. 44J, an ensemble-scale-add-extract-doublets instruction (E.SCAL.ADD.X) multiplies vector ra [h g f e d c b a] with rc95 . . . 80 [r] and adds the product to the product of vector rb [p o n m l k j i] with rc79 . . . 64 [q], yielding the result [hr+pq gr+oq fr+nq er+mq dr+lq cr+kq br+jq ar+iq], rounded and limited as specified by rc31 . . . 0.
  • As shown in FIG. 44K, an ensemble-scale-add-extract-doublets-complex instruction (E.SCLADD.X with n set) multiplies vector ra [h g f e d c b a] with rc127 . . . 96 [t s] and adds the product to the product of vector rb [p o n m l k j i] with rc95 . . . 64 [r q], yielding the result [hs+gt+pq+or gs−ht+oq−pr fs+et+nq+mr es−ft+mq−nr ds+ct+lq+kr cs−dt+kq−lr bs+at +jq+ir as−bt+iq−jr], rounded and limited as specified by rc31 . . . 0.
  • As shown in FIG. 44C, for the E.EXTRACT instruction, when m=0, the parameters are interpreted to select a fields from the catenated contents of registers rd and rc, extracting values which are catenated and placed in register ra. As shown in FIG. 44D, for an ensemble-merge-extract (E.EXTRACT when m=1), the parameters are interpreted to merge fields from the contents of register rd with the contents of register rc. The results are catenated and placed in register ra. As can be seen from FIG. 44G, the operand portion to the left of the selected field is treated as signed or unsigned as controlled by the s field, and truncated or saturated as controlled by the t field, while the operand portion to the right of the selected field is rounded as controlled by the rnd field.
  • Deposit and Withdraw
  • As shown in FIG. 45A, in one embodiment of the invention, data handling operations include various Deposit and Withdraw instructions. FIGS. 45B and 45C illustrate an exemplary embodiment of a format and operation codes that can be used to perform the various Deposit and Withdraw instructions. As shown in FIGS. 45B and 45C, in this exemplary embodiment, these operations take operands from a register and two immediate values, perform operations on partitions of bits in the operands, and place the concatenated results in the second register. Specifically, the contents of register rc are fetched, and 7-bit immediate values are taken from the 2-bit ih and the 6-bit gsfp and gsfs fields. The specified operation is performed on these operands. The result is placed into register rd.
  • FIG. 45D shows legal values for the ih, gsfp and gsfs fields, indicating the group size to which they apply. The ih, gsfp and gsfs fields encode three values: the group size, the field size, and a shift amount. The shift amount can also be considered to be the source bit field position for group-withdraw instructions or the destination bit field position for group-deposit instructions. The encoding is designed so that combining the gsfp and gsfs fields with a bitwise—and produces a result which can be decoded to the group size, and so the field size and shift amount can be easily decoded once the group size has been determined.
  • As shown in FIG. 45E, the crossbar-deposit instructions deposit a bit field from the lower bits of each group partition of the source to a specified bit position in the result. The value is either sign-extended or zero-extended, as specified. As shown in FIG. 45F, the crossbar-withdraw instructions withdraw a bit field from a specified bit position in the each group partition of the source and place it in the lower bits in the result. The value is either sign-extended or zero-extended, as specified.
  • Deposit Merge
  • As shown in FIG. 45G, in one embodiment of the invention, data handling operations include various Deposit Merge instructions. FIGS. 45H and 451 illustrate an exemplary embodiment of a format and operation codes that can be used to perform the various Deposit Merge instructions. As shown in FIGS. 45H and 451, in this exemplary embodiment, these operations take operands from two registers and two immediate values, perform operations on partitions of bits in the operands, and place the concatenated results in the second register. Specifically, the contents of registers rc and rd are fetched, and 7-bit immediate values are taken from the 2-bit ih and the 6-bit gsfp and gsfs fields. The specified operation is performed on these operands. The result is placed into register rd.
  • FIG. 45D shows legal values for the ih, gsfp and gsfs fields, indicating the group size to which they apply. The ih, gsfp and gsfs fields encode three values: the group size, the field size, and a shift amount. The shift amount can also be considered to be the source bit field position for group-withdraw instructions or the destination bit field position for group-deposit instructions. The encoding is designed so that combining the gsfp and gsfs fields with a bitwise—and produces a result which can be decoded to the group size, and so the field size and shift amount can be easily decoded once the group size has been determined.
  • As shown in FIG. 45J, the crossbar-deposit-merge instructions deposit a bit field from the lower bits of each group partition of the source to a specified bit position in the result. The value is merged with the contents of register rd at bit positions above and below the deposited bit field. No sign- or zero-extension is performed by this instruction.
  • Shuffle
  • In accordance with one embodiment of the invention, these operations take operands from two registers, perform operations on partitions of bits in the operands, and place the concatenated results in a register.
  • As shown in FIG. 46A, in one embodiment of the invention, data handling operations may also include various Shuffle instructions, which allow the contents of registers to be partitioned into groups of operands and interleaved in a variety of ways. FIGS. 46B and 46C illustrate an exemplary embodiment of a format and operation codes that can be used to perform the various Shuffle instructions. As shown in FIGS. 46B and 46C, in this exemplary embodiment, one of two operations is performed, depending on whether the rc and rb fields are equal. Also, FIG. 46B and the description below illustrate the format of and relationship of the rd, re, rb, op, v, w, h, and size fields.
  • In the present embodiment, if the re and rb fields are equal, a 128-bit operand is taken from the contents of register rc. Items of size v are divided into w piles and shuffled together, within groups of size bits, according to the value of op. The result is placed in register rd.
  • FIG. 46C illustrates that for this operation, values of three parameters x, y, and z are computed depending on the value of op, and in each result bit position i, a source bit position within the contents of register rc is selected, wherein the source bit position is the catenation of four fields, the first and fourth fields containing fields of i which are unchanged: 6 . . . x and y−1 . . . 0, and the second and third fields containing a subfield of i, bits x−1 . . . y which is rotated by an amount z: y+z−1 . . . y and x−1 . . . y+z.
  • Further, if the rc and rb fields are not equal, the contents of registers rc and rb are catenated into a 256-bit operand. Items of size v are divided into w piles and shuffled together, according to the value of op. Depending on the value of h, a sub-field of op, the low 128 bits (h=0), or the high 128 bits (h=1) of the 256-bit shuffled contents are selected as the result. The result is placed in register rd.
  • This instruction is undefined and causes a reserved instruction exception if rc and rb are not equal and the op field is greater or equal to 56, or if rc and rb are equal and op4 . . . 0 is greater or equal to 28.
  • FIG. 46C illustrates that for this operation, the value of x is fixed, and values of two parameters y and z are computed depending on the value of op, and in each result bit position i, a source bit position within the contents of register rc is selected, wherein the source bit position is the catenation of three fields, the first field containing a fields of i which is unchanged: y−1 . . . 0, and the second and third fields containing a subfield of i, bits x−1 . . . y which is rotated by an amount z: y+z−1 . . . y and x−1 . . . y+z.
  • As shown in FIG. 46D, an example of a crossbar 4-way shuffle of bytes within hexlet instruction (X.SHUFFLE.128 rd=rcb,8,4) divides the 128-bit operand into 16 bytes and partitions the bytes 4 ways (indicated by varying shade in the diagram below). The 4 partitions are perfectly shuffled, producing a 128-bit result. As shown in FIG. 46E, an example of a crossbar 4-way shuffle of bytes within triclet instruction (X.SHUFFLE.256 rd=rc,rb,8,4,0) catenates the contents of rc and rb, then divides the 256-bit content into 32 bytes and partitions the bytes 4 ways (indicated by varying shade in the diagram below). The low-order halves of the 4 partitions are perfectly shuffled, producing a 128-bit result.
  • Changing the last immediate value h to 1 (X.SHUFFLE.256 rd=rc,rb,8,4,1) modifies the operation to perform the same function on the high-order halves of the 4 partitions. When rc and rb are equal, the table below shows the value of the op field and associated values for size, v, and w.
    op size v w
    0 4 1 2
    1 8 1 2
    2 8 2 2
    3 8 1 4
    4 16 1 2
    5 16 2 2
    6 16 4 2
    7 16 1 4
    8 16 2 4
    9 16 1 8
    10 32 1 2
    11 32 2 2
    12 32 4 2
    13 32 8 2
    14 32 1 4
    15 32 2 4
    16 32 4 4
    17 32 1 8
    18 32 2 8
    19 32 1 16
    20 64 1 2
    21 64 2 2
    22 64 4 2
    23 64 8 2
    24 64 16 2
    25 64 1 4
    26 64 2 4
    27 64 4 4
    28 64 8 4
    29 64 1 8
    30 64 2 8
    31 64 4 8
    32 64 1 16
    33 64 2 16
    34 64 1 32
    35 128 1 2
    36 128 2 2
    37 128 4 2
    38 128 8 2
    39 128 16 2
    40 128 32 2
    41 128 1 4
    42 128 2 4
    43 128 4 4
    44 128 8 4
    45 128 16 4
    46 128 1 8
    47 128 2 8
    48 128 4 8
    49 128 8 8
    50 128 1 16
    51 128 2 16
    52 128 4 16
    53 128 1 32
    54 128 2 32
    55 128 1 64
  • When rc and rb are not equal, the table below shows the value of the op4 . . . 0 field and associated values for size, v, and w: Op5 is the value of h, which controls whether the low-order or high-order half of each partition is shuffled into the result.
    op4 . . . 0 size v w
    0 256 1 2
    1 256 2 2
    2 256 4 2
    3 256 8 2
    4 256 16 2
    5 256 32 2
    6 256 64 2
    7 256 1 4
    8 256 2 4
    9 256 4 4
    10 256 8 4
    11 256 16 4
    12 256 32 4
    13 256 1 8
    14 256 2 8
    15 256 4 8
    16 256 8 8
    17 256 16 8
    18 256 1 16
    19 256 2 16
    20 256 4 16
    21 256 8 16
    22 256 1 32
    23 256 2 32
    24 256 4 32
    25 256 1 64
    26 256 2 64
    27 256 1 128

    Swizzle
  • In accordance with one embodiment of the invention, these operations perform calculations with a general register value and immediate values, placing the result in a general register.
  • In one embodiment of the invention, data handling operations may also include various Crossbar Swizzle instruction. FIGS. 47A and 47B illustrate an exemplary embodiment of a format and operation codes that can be used to perform Crossbar Swizzle instructions. As shown in FIGS. 47A and 47B, in this exemplary embodiment, the contents of register rc are fetched, and 7-bit immediate values, icopy and iswap, are constructed from the 2-bit ih field and from the 6-bit icopya and iswapa fields. The specified operation is performed on these operands. The result is placed into register rd.
  • The “swizzle” operation can reverse the order of the bit fields in a hexlet. For example, a X.SWIZZLE rd-rc, 127,112 operation reverses the doublets within a hexlet, as shown in FIG. 47C. In some cases, it is desirable to use a group instruction in which one or more operands is a single value, not an array. The “swizzle” operation can also copy operands to multiple locations within a hexlet. For example, a X.SWIZZLE 15,0 operation copies the low-order 16 bits to each double within a hexlet.
  • Select
  • In accordance with one embodiment of the invention, these operations take three values from registers, perform a group of calculations on partitions of bits of the operands and place the catenated results in a fourth register. The contents of registers rd, rc, and rb are fetched. The specified operation is performed on these operands. The result is placed into register ra.
  • In one embodiment of the invention, data handling operations may also include various Crossbar Select instruction. FIGS. 47D and 47E illustrate an exemplary embodiment of a format and operation codes that can be used to perform Crossbar Select instructions. As shown in FIGS. 47D and 47E, in this exemplary embodiment, the contents of registers rd, rc and rb are fetched, and the contents of registers rd and rc are catenated, producing catenated data dc. The contents of register rb is partitioned into elements, and the value expressed in each partition is employed to select one partitioned element of the catenated data dc. The selected elements are catenated together, and result is placed into register ra.
  • Load and Load Immediate
  • As shown in FIGS. 50A and 51A, in one embodiment of the invention, memory access operations may also include various Load and Load Immediate instructions. These figures and FIGS. 50B and 51B show that the various Load and Load Immediate instructions specify a type of operand, either signed, or unsigned, represented by omitting or including a U, respectively. The instructions further specify a size of memory operand, byte, double, quadlet, octlet, or hexlet, representing 8, 16, 32, 64, and 128 bits respectively. The instructions further specify aligned memory operands, or not, represented by including a A, or with the A omitted, respectively. The instructions further specify a byte-ordering of the memory operand, either big-endian, or little-endian, represented by B, and L respectively.
  • Each instruction specifies the above items with the following exceptions: L.8, L.U8, L.I.8, L.I.U8 need not distinguish between little-endian and big-endian ordering, nor between aligned and unaligned, as only a single byte is loaded. L. 128.B, L.128.AB, L.128.L, L.128AL, L.I.128.B, L.I.128.AB, L.I.128.L, and L.I.128AL need not distinguish between signed and unsigned, as the hexlet fills the destination register.
  • Regarding footnote 1 in FIG. 50A, L.8 need not distinguish between little-endian and big-endian ordering, nor between aligned and unaligned, as only a single byte is loaded.
  • Regarding footnote 2 in FIG. 50A, L.128.B need not distinguish between signed and unsigned, as the hexlet fills the destination register.
  • Regarding footnote 3 in FIG. 50A, L.128.AB need not distinguish between signed and unsigned, as the hexlet fills the destination register.
  • Regarding footnote 4 in FIG. 50A, L.128.L need not distinguish between signed and unsigned, as the hexlet fills the destination register.
  • Regarding footnote 5 in FIG. 50A, L.128.AL need not distinguish between signed and unsigned, as the hexlet fills the destination register.
  • Regarding footnote 6 in FIG. 50A, L.U8 need not distinguish between little-endian and big-endian ordering, nor between aligned and unaligned, as only a single byte is loaded.
  • Regarding footnote 1 in FIG. 51A, LI.8 need not distinguish between little-endian and big-endian ordering, nor between aligned and unaligned, as only a single byte is loaded.
  • Regarding footnote 2 in FIG. 51A, LI.128.AB need not distinguish between signed and unsigned, as the hexlet fills the destination register.
  • Regarding footnote 3 in FIG. 51A, LI.128.B need not distinguish between signed and unsigned, as the hexlet fills the destination register.
  • Regarding footnote 4 in FIG. 51A, 0.128.AL need not distinguish between signed and unsigned, as the hexlet fills the destination register.
  • Regarding footnote 5 in FIG. 51A, LI.128.L need not distinguish between signed and unsigned, as the hexlet fills the destination register.
  • Regarding footnote 6 in FIG. 51A, LI.U8 need not distinguish between little-endian and big-endian ordering, nor between aligned and unaligned, as only a single byte is loaded.
  • FIGS. 50B and 50C illustrate an exemplary embodiment of formats and operation codes that can be used to perform Load instructions. These operations compute a virtual address from the contents of two registers, load data from memory, sign- or zero-extending the data to fill the destination register. As shown in FIGS. 50B and 50C, in this exemplary embodiment, an operand size, expressed in bytes, is specified by the instruction. A virtual address is computed from the sum of the contents of register rc and the contents of register rb multiplied by operand size.
  • FIGS. 51B and 51C illustrate an exemplary embodiment of formats and operation codes that can be used to perform Load Immediate instructions. These operations compute a virtual address from the contents of a register and a sign-extended immediate value, load data from memory, sign- or zero-extending the data to fill the destination register. As shown in FIGS. 51B and 51C, in this exemplary embodiment, an operand size, expressed in bytes, is specified by the instruction. A virtual address is computed from the sum of the contents of register rc and the sign-extended value of the offset field, multiplied by the operand size.
  • In an exemplary embodiment, for both Load and Load Immediate instructions, the contents of memory using the specified byte order are read, treated as the size specified, zero-extended or sign-extended as specified, and placed into register rd. If alignment is specified, the computed virtual address must be aligned, that is, it must be an exact multiple of the size expressed in bytes. If the address is not aligned an “access disallowed by virtual address” exception occurs.
  • Store and Store Immediate
  • As shown in FIGS. 52A and 53A, in one embodiment of the invention, memory access operations may also include various Store and Store Immediate instructions. These figures and FIGS. 52B and 53B show that the various Store and Store Immediate instructions specify a size of memory operand, byte, double, quadlet, octlet, or hexlet, representing 8, 16, 32, 64, and 128 bits respectively. The instructions further specify aligned memory operands, or not, represented by including a A, or with the A omitted, respectively. The instructions further specify a byte-ordering of the memory operand, either big-endian, or little-endian, represented by B, and L respectively.
  • Each instruction specifies the above items with the following exceptions: L.8 and L.I.8 need not distinguish between little-endian and big-endian ordering, nor between aligned and unaligned, as only a single byte is stored.
  • Regarding footnote 1 in FIG. 52A, S.8 need not specify byte ordering, nor need it specify alignment checking, as it stores a single byte.
  • Regarding footnote 1 in FIG. 53A, SI.8 need not specify byte ordering, nor need it specify alignment checking, as it stores a single byte.
  • FIGS. 52B and 52C illustrate an exemplary embodiment of formats and operation codes that can be used to perform Store instructions. These operations add the contents of two registers to produce a virtual address, and store the contents of a register into memory. As shown in FIGS. 52B and 52C, in this exemplary embodiment, an operand size, expressed in bytes, is specified by the instruction. A virtual address is computed from the sum of the contents of register rc and the contents of register rb multiplied by operand size.
  • FIGS. 53B and 53C illustrate an exemplary embodiment of formats and operation codes that can be used to perform Store Immediate instructions. These operations add the contents of a register to a sign-extended immediate value to produce a virtual address, and store the contents of a register into memory. As shown in FIGS. 53B and 53C, in this exemplary embodiment, an operand size, expressed in bytes, is specified by the instruction. A virtual address is computed from the sum of the contents of register rc and the sign-extended value of the offset field, multiplied by the operand size.
  • In an exemplary embodiment, for both Store and Store Immediate instructions, the contents of register rd, treated as the size specified, is stored in memory using the specified byte order. If alignment is specified, the computed virtual address must be aligned, that is, it must be an exact multiple of the size expressed in bytes. If the address is not aligned an “access disallowed by virtual address” exception occurs.
  • Store Multiplex and Store Multiplex Immediate
  • As shown in FIGS. 52A and 53A, in one embodiment of the invention, memory access operations may also include various Store Multiplex and Store Multiplex Immediate instructions. These figures and FIGS. 52B and 53B show that the various Store Multiplex and Store Multiplex Immediate instructions specify a size of memory operand, octlet, representing 64 bits. The instructions further specify aligned memory operands, represented by including a A. The instructions further specify a byte-ordering of the memory operand, either big-endian, or little-endian, represented by B, and L respectively.
  • FIGS. 52B and 52C illustrate an exemplary embodiment of formats and operation codes that can be used to perform Store Multiplex instructions. As shown in FIGS. 52B and 52C, in this exemplary embodiment, an operand size, expressed in bytes, is specified by the instruction. A virtual address is computed from the sum of the contents of register rc and the contents of register rb multiplied by operand size.
  • FIGS. 53B and 53C illustrate an exemplary embodiment of formats and operation codes that can be used to perform Store Multiplex Immediate instructions. As shown in FIGS. 53B and 53C, in this exemplary embodiment, an operand size, expressed in bytes, is specified by the instruction. A virtual address is computed from the sum of the contents of register rc and the sign-extended value of the offset field, multiplied by the operand size.
  • In an exemplary embodiment, for both Store Multiplex and Store Multiplex Immediate instructions, data contents and mask contents of the contents of register rd are identified. The data contents are stored in memory using the specified byte order for values in which the corresponding mask contents are set. In an exemplary embodiment, it can be understood that masked writing of data can be accomplished by indivisibly reading the original contents of the addressed memory operand, modifying the value, and writing the modified value back to the addressed memory operand. In an exemplary embodiment, the modification of the value is accomplished using an operation previously identified as a Multiplex operation in the section titled Group Multiplex, above, and in FIG. 31E.
  • In an exemplary embodiment, for both Store Multiplex and Store Multiplex Immediate instructions, the computed virtual address must be aligned, that is, it must be an exact multiple of the size expressed in bytes. If the address is not aligned an “access disallowed by virtual address” exception occurs.
  • Additional Load and Execute Resources
  • In an exemplary embodiment, studies of the dynamic distribution of instructions on various benchmark suites indicate that the most frequently-issued instruction classes are load instructions and execute instructions. In an exemplary embodiment, it is advantageous to consider execution pipelines in which the ability to target the machine resources toward issuing load and execute instructions is increased.
  • In an exemplary embodiment, one of the means to increase the ability to issue execute-class instructions is to provide the means to issue two execute instructions in a single-issue string. The execution unit actually requires several distinct resources, so by partitioning these resources, the issue capability can be increased without increasing the number of functional units, other than the increased register file read and write ports. In an exemplary embodiment, the partitioning favored places all instructions that involve shifting and shuffling in one execution unit, and all instructions that involve multiplication, including fixed-point and floating-point multiply and add in another unit. In an exemplary embodiment, resources used for implementing add, subtract, and bitwise logical operations may be duplicated, being modest in size compared to the shift and multiply units. In another exemplary embodiment, resources used are shared between the two units, as the operations have low-enough latency that two operations might be pipelined within a single issue cycle. These instructions must generally be independent, except in another exemplary embodiment that two simple add, subtract, or bitwise logical instructions may be performed dependently, if the resources for executing simple instructions are shared between the execution units.
  • In an exemplary embodiment, one of the means to increase the ability to issue load-class instructions is to provide the means to issue two load instructions in a single-issue string. This would generally increase the resources required of the data fetch unit and the data cache, but a compensating solution is to steal the resources for the store instruction to execute the second load instruction. Thus, in an exemplary embodiment, a single-issue string can then contain either two load instructions, or one load instruction and one store instruction, which uses the same register read ports and address computation resources as the basic 5-instruction string in another exemplary embodiment.
  • In an exemplary embodiment, this capability also may be employed to provide support for unaligned load and store instructions, where a single-issue string may contain as an alternative a single unaligned load or store instruction which uses the resources of the two load-class units in concert to accomplish the unaligned memory operation.
  • Always Reserved
  • This operation generates a reserved instruction exception.
  • Description
  • The reserved instruction exception is raised. Software may depend upon this major operation code raising the reserved instruction exception in all implementations. The choice of operation code intentionally ensures that a branch to a zeroed memory area will raise an exception.
  • An exemplary embodiment of the Always Reserved instruction is shown in FIGS. 58A-58C.
  • Address
  • These operations perform calculations with two general register values, placing the result in a general register.
  • Description
  • The contents of registers rc and rb are fetched and the specified operation is performed on these operands. The result is placed into register rd.
  • An exemplary embodiment of the Address instructions is shown in FIGS. 59A-59C.
  • Address Compare
  • These operations perform calculations with two general register values and generate a fixed-point arithmetic exception if the condition specified is met.
  • Description
  • The contents of registers rd and rc are fetched and the specified condition is calculated on these operands. If the specified condition is true, a fixed-point arithmetic exception is generated. This instruction generates no general register results.
  • An exemplary embodiment of the Address Compare instructions is shown in FIGS. 60A-60C.
  • Address Copy Immediate
  • This operation produces one immediate value, placing the result in a general register.
  • Description
  • An immediate value is sign-extended from the 18-bit imm field. The result is placed into register rd.
  • An exemplary embodiment of the Address Copy Immediate instruction is shown in FIGS. 61A-61C.
  • Address Immediate
  • These operations perform calculations with one general register value and one immediate value, placing the result in a general register.
  • Description
  • The contents of register rc is fetched, and a 64-bit immediate value is sign-extended from the 12-bit imm field. The specified operation is performed on these operands. The result is placed into register rd.
  • An exemplary embodiment of the Address Immediate instructions is shown in FIGS. 62A-62C.
  • Address Immediate Reversed
  • These operations perform calculations with one general register value and one immediate value, placing the result in a general register.
  • Description
  • The contents of register rc is fetched, and a 64-bit immediate value is sign-extended from the 12-bit imm field. The specified operation is performed on these operands. The result is placed into register rd.
  • An exemplary embodiment of the Address Immediate Reversed instructions is shown in FIGS. 63A-63C.
  • Address Reversed
  • These operations perform calculations with two general register values, placing the result in a general register.
  • Description
  • The contents of registers rc and rb are fetched and the specified operation is performed on these operands. The result is placed into register rd.
  • An exemplary embodiment of the Address Reversed instructions is shown in FIGS. 64A-64C.
  • Address Shift Left Immediate Add
  • These operations perform calculations with two general register values, placing the result in a general register.
  • Description
  • The contents of register rb are shifted left by the immediate amount and added to the contents of register rc. The result is placed into register rd.
  • An exemplary embodiment of the Address Shift Left Immediate Add instruction is shown in FIGS. 65A-65C.
  • Address Shift Left Immediate Subtract
  • These operations perform calculations with two general register values, placing the result in a general register.
  • Description
  • The contents of register rc is subtracted from the contents of register rb shifted left by the immediate amount. The result is placed into register rd.
  • An exemplary embodiment of the Address Shift Left Immediate Subtract instruction is shown in FIGS. 66A-66C.
  • Address Shift Immediate
  • These operations perform calculations with one general register value and one immediate value, placing the result in a general register.
  • Description
  • The contents of register rc is fetched, and a 6-bit immediate value is taken from the 6-bit simm field. The specified operation is performed on these operands. The result is placed into register rd.
  • An exemplary embodiment of the Address Shift Immediate instructions is shown in FIGS. 67A-67C.
  • Address Ternary
  • These operations perform calculations with three general register values, placing the result in a fourth general register.
  • Description
  • The contents of registers rd, rc, and rb are fetched. The specified operation is performed on these operands. The result is placed into register ra.
  • An exemplary embodiment of the Address Ternary instruction is shown in FIGS. 68A-68C.
  • Branch
  • This operation branches to a location specified by a register.
  • Description
  • Execution branches to the address specified by the contents of register rd.
  • Access disallowed exception occurs if the contents of register rd is not aligned on a quadlet boundary.
  • An exemplary embodiment of the Branch instruction is shown in FIGS. 69A-69C.
  • Branch Back
  • This operation branches to a location specified by the previous contents of register 0, reduces the current privilege level, loads a value from memory, and restores register 0 to the value saved on a previous exception.
  • Description
  • Processor context, including program counter and privilege level is restored from register 0, where it was saved at the last exception. Exception state, if set, is cleared, re-enabling normal exception handling. The contents of register 0 saved at the last exception is restored from memory. The privilege level is only lowered, so that this instruction need not be privileged.
  • If the previous exception was an AccessDetail exception, Continuation State set at the time of the exception affects the operation of the next instruction after this Branch Back, causing the previous AccessDetail exception to be inhibited. If software is performing this instruction to abort a sequence ending in an AccessDetail exception, it should abort by branching to an instruction that is not affected by Continuation State.
  • An exemplary embodiment of the Branch Back instruction is shown in FIGS. 70A-70C.
  • Branch Barrier
  • This operation stops the current thread until all pending stores are completed, then branches to a location specified by a register.
  • Description
  • The instruction fetch unit is directed to cease execution until all pending stores are completed. Following the barrier, any previously pre-fetched instructions are discarded and execution branches to the address specified by the contents of register rd.
  • Access disallowed exception occurs if the contents of register rd is not aligned on a quadlet boundary.
  • Self-modifying, dynamically-generated, or loaded code may require use of this instruction between storing the code into memory and executing the code.
  • An exemplary embodiment of the Branch Barrier instruction is shown in FIGS. 71A-71C.
  • Branch Conditional
  • These operations compare two operands, and depending on the result of that comparison, conditionally branches to a nearby code location.
  • Description
  • The contents of registers rd and rc are compared, as specified by the op field. If the result of the comparison is true, execution branches to the address specified by the offset field. Otherwise, execution continues at the next sequential instruction.
  • Regarding footnote 1 in FIG. 72A, B.G.Z is encoded as B.L.U with both instruction fields rd and rc equal.
  • Regarding footnote 2 in FIG. 72A, B.GE.Z is encoded as B.GE with both instruction fields rd and rc equal.
  • Regarding footnote 3 in FIG. 72A, B.L.Z is encoded as B.L with both instruction fields rd and rc equal.
  • Regarding footnote 4 in FIG. 72A, B.LE.Z is encoded as B.GE.U with both instruction fields rd and rc equal.
  • An exemplary embodiment of the Branch Conditional instructions is shown in FIGS. 72A-72C.
  • Branch Conditional Floating-Point
  • These operations compare two floating-point operands, and depending on the result of that comparison, conditionally branches to a nearby code location.
  • Description
  • The contents of registers rc and rd are compared, as specified by the op field. If the result of the comparison is true, execution branches to the address specified by the offset field. Otherwise, execution continues at the next sequential instruction.
  • An exemplary embodiment of the Branch Conditional Floating-Point instructions is shown in FIGS. 73A-73C.
  • Branch Conditional Visibility Floating-Point
  • These operations compare two group-floating-point operands, and depending on the result of that comparison, conditionally branches to a nearby code location.
  • Description
  • The contents of registers rc and rd are compared, as specified by the op field. If the result of the comparison is true, execution branches to the address specified by the offset field. Otherwise, execution continues at the next sequential instruction.
  • Each operand is assumed to represent a vertex of the form: [w z y x] packed into a single register. The comparisons check for visibility of a line connecting the vertices against a standard viewing volume, defined by the planes: x=w,x=−w,y=w,y=−w,z=0,z=1. A line is visible (V) if the vertices are both within the volume. A line is not visible (NV) is either vertex is outside the volume—in such a case, the line may be partially visible. A line is invisible (I) if the vertices are both outside any face of the volume. A line is not invisible (NI) if the vertices are not both outside any face of the volume.
  • An exemplary embodiment of the Branch Conditional Visibility Floating-Point instructions is shown in FIGS. 74A-74C.
  • Branch Down
  • This operation branches to a location specified by a register, reducing the current privilege level.
  • Description
  • Execution branches to the address specified by the contents of register rd. The current privilege level is reduced to the level specified by the low order two bits of the contents of register rd.
  • An exemplary embodiment of the Branch Down instruction is shown in FIGS. 75A-75C.
  • Branch Gateway
  • This operation provides a secure means to call a procedure, including those at a higher privilege level.
  • Description
  • The contents of register rb is a branch address in the high-order 62 bits and a new privilege level in the low-order 2 bits. A branch and link occurs to the branch address, and the privilege level is raised to the new privilege level. The high-order 62 bits of the successor to the current program counter is catenated with the 2-bit current execution privilege and placed in register 0.
  • If the new privilege level is greater than the current privilege level, an octlet of memory data is fetched from the address specified by register 1, using the little-endian byte order and a gateway access type. A GatewayDisallowed exception occurs if the original contents of register 0 do not equal the memory data.
  • If the new privilege level is the same as the current privilege level, no checking of register 1 is performed.
  • An AccessDisallowed exception occurs if the new privilege level is greater than the privilege level required to write the memory data, or if the old privilege level is lower than the privilege required to access the memory data as a gateway, or if the access is not aligned on an 8-byte boundary.
  • A ReservedInstruction exception occurs if the rc field is not one or the rd field is not zero.
  • In the example below, a gateway from level 0 to level 2 is illustrated. The gateway pointer, located by the contents of register rc (1), is fetched from memory and compared against the contents of register rb (0). The instruction may only complete if these values are equal. Concurrently, the contents of register rb (0) is placed in the program counter and privilege level, and the address of the next sequential address and privilege level is placed into register rd (0). Code at the target of the gateway locates the data pointer at an offset from the gateway pointer (register 1), and fetches it into register 1, making a data region available. Referring to FIG. 54H, stack pointer may be saved and fetched using the data region, another region located from the data region, or a data region located as an offset from the original gateway pointer.
  • For additional information on the branch-gateway instruction, see the System and Privileged Library Calls section.
  • This instruction gives the target procedure the assurances that register 0 contains a valid return address and privilege level, that register 1 points to the gateway location, and that the gateway location is octlet aligned. Register 1 can then be used to securely reach values in memory. If no sharing of literal pools is desired, register 1 may be used as a literal pool pointer directly. If sharing of literal pools is desired, register 1 may be used with an appropriate offset to load a new literal pool pointer; for example, with a one cache line offset from the register 1. Note that because the virtual memory system operates with cache line granularity, that several gateway locations must be created together.
  • Software must ensure that an attempt to use any octlet within the region designated by virtual memory as gateway either functions properly or causes a legitimate exception. For example, if the adjacent octlets contain pointers to literal pool locations, software should ensure that these literal pools are not executable, or that by virtue of being aligned addresses, cannot raise the execution privilege level. If register 1 is used directly as a literal pool location, software must ensure that the literal pool locations that are accessible as a gateway do not lead to a security violation.
  • Register 0 contains a valid return address and privilege level, the value is suitable for use directly in the Branch-down (B.DOWN) instruction to return to the gateway callee.
  • An exemplary embodiment of the Branch Gateway instruction is shown in FIGS. 76A-76C.
  • Branch Halt
  • This operation stops the current thread until an exception occurs.
  • Description
  • This instruction directs the instruction fetch unit to cease execution until an exception occurs.
  • An exemplary embodiment of the Branch Halt instruction is shown in FIGS. 77A-77C.
  • Branch Hint
  • This operation indicates a future branch location specified by a register.
  • Description
  • This instruction directs the instruction fetch unit of the processor that a branch is likely to occur count times at simm instructions following the current successor instruction to the address specified by the contents of register rd.
  • After branching count times, the instruction fetch unit should presume that the branch at simm instructions following the current successor instruction is not likely to occur. If count is zero, this hint directs the instruction fetch unit that the branch is likely to occur more than 63 times.
  • Access disallowed exception occurs if the contents of register rd is not aligned on a quadlet boundary.
  • An exemplary embodiment of the Branch Hint instruction is shown in FIGS. 78A-78C.
  • Branch Hint Immediate
  • This operation indicates a future branch location specified as an offset from the program counter.
  • Description
  • This instruction directs the instruction fetch unit of the processor that a branch is likely to occur count times at simm instructions following the current successor instruction to the address specified by the offset field.
  • After branching count times, the instruction fetch unit should presume that the branch at simm instructions following the current successor instruction is not likely to occur. If count is zero, this hint directs the instruction fetch unit that the branch is likely to occur more than 63 times.
  • An exemplary embodiment of the Branch Hint Immediate instruction is shown in FIGS. 79A-79C.
  • Branch Immediate
  • This operation branches to a location that is specified as an offset from the program counter.
  • Description
  • Execution branches to the address specified by the offset field.
  • An exemplary embodiment of the Branch Immediate instruction is shown in FIGS. 80A-80C.
  • Branch Immediate Link
  • This operation branches to a location that is specified as an offset from the program counter, saving the value of the program counter into register 0.
  • Description
  • The address of the instruction following this one is placed into register 0. Execution branches to the address specified by the offset field.
  • An exemplary embodiment of the Branch Immediate Link instruction is shown in FIGS. 81A-81C.
  • Branch Link
  • This operation branches to a location specified by a register, saving the value of the program counter into a register.
  • Description
  • The address of the instruction following this one is placed into register rd. Execution branches to the address specified by the contents of register rc.
  • Access disallowed exception occurs if the contents of register rc is not aligned on a quadlet boundary.
  • Reserved instruction exception occurs if rb is not zero.
  • An exemplary embodiment of the Branch Link instruction is shown in FIGS. 82A-82C.
  • Store Double Compare Swap
  • These operations compare two 64-bit values in a register against two 64-bit values read from two 64-bit memory locations, as specified by two 64-bit addresses in a register, and if equal, store two new 64-bit values from a register into the memory locations. The values read from memory are catenated and placed in a register.
  • Description
  • Two virtual addresses are extracted from the low order bits of the contents of registers rc and rb. Two 64-bit comparison values are extracted from the high order bits of the contents of registers rc and rb. Two 64-bit replacement values are extracted from the contents of register rd. The contents of memory using the specified byte order are read from the specified addresses, treated as 64-bit values, compared against the specified comparison values, and if both read values are equal to the comparison values, the two replacement values are written to memory using the specified byte order. If either are unequal, no values are written to memory. The loaded values are catenated and placed in the register specified by rd.
  • The virtual addresses must be aligned, that is, it must be an exact multiple of the size expressed in bytes. If the address is not aligned an “access disallowed by virtual address” exception occurs.
  • An exemplary embodiment of the Store Double Compare Swap instructions is shown in FIGS. 83A-83C.
  • Store Immediate Inplace
  • These operations add the contents of a register to a sign-extended immediate value to produce a virtual address, and store the contents of a register into memory.
  • Description
  • A virtual address is computed from the sum of the contents of register rc and the sign-extended value of the offset field. The contents of memory using the specified byte order are read and treated as a 64-bit value. A specified operation is performed between the memory contents and the original contents of register rd, and the result is written to memory using the specified byte order. The original memory contents are placed into register rd.
  • The computed virtual address must be aligned, that is, it must be an exact multiple of the size expressed in bytes. If the address is not aligned an “access disallowed by virtual address” exception occurs.
  • An exemplary embodiment of the Store Immediate Inplace instructions is shown in FIGS. 84A-84C.
  • Store Inplace
  • These operations add the contents of two registers to produce a virtual address, and store the contents of a register into memory.
  • Description
  • A virtual address is computed from the sum of the contents of register rc and the contents of register rb multiplied by operand size. The contents of memory using the specified byte order are read and treated as 64 bits. A specified operation is performed between the memory contents and the original contents of register rd, and the result is written to memory using the specified byte order. The original memory contents are placed into register rd.
  • The computed virtual address must be aligned, that is, it must be an exact multiple of the size expressed in bytes. If the address is not aligned an “access disallowed by virtual address” exception occurs.
  • An exemplary embodiment of the Store Inplace instructions is shown in FIGS. 85A-85C.
  • Group Add Halve
  • These operations take operands from two registers, perform operations on partitions of bits in the operands, and place the concatenated results in a third register.
  • Description
  • The contents of registers rc and rb are partitioned into groups of operands of the size specified, added, halved, and rounded as specified, yielding a group of results, each of which is the size specified. The results never overflow, so limiting is not required by this operation. The group of results is catenated and placed in register rd.
  • Z (zero) rounding is not defined for unsigned operations, and a ReservedInstruction exception is raised if attempted. F (floor) rounding will properly round unsigned results downward.
  • An exemplary embodiment of the Group Add Halve instructions is shown in FIGS. 86A-86C.
  • Group Copy Immediate
  • This operation copies an immediate value to a general register.
  • Description
  • A 128-bit immediate value is produced from the operation code, the size field and the 16-bit imm field. The result is placed into register ra.
  • An exemplary embodiment of the Group Copy Immediate instructions is shown in FIGS. 87A-87C.
  • Group Immediate
  • These operations take operands from a register and an immediate value, perform operations on partitions of bits in the operands, and place the concatenated results in a second register.
  • Description
  • The contents of register rc is fetched, and a 128-bit immediate value is produced from the operation code, the size field and the 10-bit imm field. The specified operation is performed on these operands. The result is placed into register ra.
  • An exemplary embodiment of the Group Immediate instructions is shown in FIGS. 88A-88C.
  • Group Immediate Reversed
  • These operations take operands from a register and an immediate value, perform operations on partitions of bits in the operands, and place the concatenated results in a second register.
  • Description
  • The contents of register rc is fetched, and a 128-bit immediate value is produced from the operation code, the size field and the 10-bit imm field. The specified operation is performed on these operands. The result is placed into register rd.
  • An exemplary embodiment of the Group Immediate Reversed instructions is shown in FIGS. 89A-89C.
  • Group Inplace
  • These operations take operands from three registers, perform operations on partitions of bits in the operands, and place the concatenated results in the third register.
  • Description
  • The contents of registers rd, rc and rb are fetched. The specified operation is performed on these operands. The result is placed into register rd.
  • Register rd is both a source and destination of this instruction.
  • An exemplary embodiment of the Group Inplace instruction is shown in FIGS. 90A-90C.
  • Group Shift Left Immediate Add
  • These operations take operands from two registers, perform operations on partitions of bits in the operands, and place the concatenated results in a third register.
  • Description
  • The contents of registers rc and rb are partitioned into groups of operands of the size specified. Partitions of the contents of register rb are shifted left by the amount specified in the immediate field and added to partitions of the contents of register rc, yielding a group of results, each of which is the size specified. Overflows are ignored, and yield modular arithmetic results. The group of results is catenated and placed in register rd.
  • An exemplary embodiment of the Group Shift Left Immediate Add instructions is shown in FIGS. 91A-91C.
  • Group Shift Left Immediate Subtract
  • These operations take operands from two registers, perform operations on partitions of bits in the operands, and place the concatenated results in a third register.
  • Description
  • The contents of registers rc and rb are partitioned into groups of operands of the size specified. Partitions of the contents of register rc are subtracted from partitions of the contents of register rb shifted left by the amount specified in the immediate field, yielding a group of results, each of which is the size specified. Overflows are ignored, and yield modular arithmetic results. The group of results is catenated and placed in register rd.
  • An exemplary embodiment of the Group Shift Left Immediate Subtract instructions is shown in FIGS. 92A-92C.
  • Group Subtract Halve
  • These operations take operands from two registers, perform operations on partitions of bits in the operands, and place the concatenated results in a third register.
  • Description
  • The contents of registers rc and rb are partitioned into groups of operands of the size specified and subtracted, halved, rounded and limited as specified, yielding a group of results, each of which is the size specified. The group of results is catenated and placed in register rd.
  • The result of this operation is always signed, whether the operands are signed or unsigned.
  • An exemplary embodiment of the Group Subtract Halve instructions is shown in FIGS. 93A-93C.
  • Ensemble
  • These operations take operands from two registers, perform operations on partitions of bits in the operands, and place the concatenated results in a third register.
  • Description
  • Two values are taken from the contents of registers rc and rb. The specified operation is performed, and the result is placed in register rd.
  • An exemplary embodiment of the Ensemble instructions is shown in FIGS. 94A-94C.
  • Ensemble Convolve Extract Immediate
  • These instructions take an address from a general register to fetch a large operand from memory, a second operand from a general register, perform a group of operations on partitions of bits in the operands, and catenate the results together, placing the result in a general register.
  • Description
  • The contents of registers rd and rc are catenated, as specified by the order parameter, and used as a first value. A second value is the contents of register rb. The values are partitioned into groups of operands of the size specified and are convolved, producing a group of values. The group of values is rounded, and limited as specified, yielding a group of results which is the size specified. The group of results is catenated and placed in register rd.
  • Z (zero) rounding is not defined for unsigned extract operations, and a ReservedInstruction exception is raised if attempted. F (floor) rounding will properly round unsigned results downward.
  • The order parameter of the instruction specifies the order in which the contents of registers rd and rc are catenated. The choice is significant because the contents of register rd is overwritten. When little-endian order is specified, the contents are catenated so that the contents of register rc is most significant (left) and the contents of register rd is least significant (right). When big-endian order is specified, the contents are catenated so that the contents of register rd is most significant (left) and the contents of register rc is least significant (right).
  • An exemplary embodiment of the Ensemble Convolve Extract Immediate instructions is shown in FIGS. 95A-95E.
  • Referring to FIG. 95D, an ensemble-convolve-extract-immediate-doublets instruction (ECON.X.I16, ECON.X.IM16, or ECON.X.IU16) convolves vector [x w v u t s r q p o n m l k j i] with vector [h g f e d c b a], yielding the products [ax+bw+cv+du+et+fs+gr+hq . . . as+br+cq+dp+eo+fn+gm+hl ar+bq+cp+do+en+fm+gl+hk aq+bp+co+dn+em+fl+gk+hj], rounded and limited as specified.
  • Referring to FIG. 95E, an ensemble-convolve-extract-immediate-complex-doublets instruction (ECON.X.IC16) convolves vector [x w v u t s r q p o n m l k j i] with vector [h g f e d c b a], yielding the products [ax+bw+cv+du+et+fs+gr+hq . . . as−bt+cq−dr+eo−fp+gm−hn ar+bq+cp+do+en+fm+gl+hk aq−br+co-dp+em−fn+gk+hl], rounded and limited as specified.
  • Ensemble Convolve Floating-Point
  • These instructions take an address from a general register to fetch a large operand from memory, a second operand from a general register, perform a group of operations on partitions of bits in the operands, and catenate the results together, placing the result in a general register.
  • The first value is the catenation of the contents of register rd and rc, as specified by the order parameter. A second value is the contents of register rb. The values are partitioned into groups of operands of the size specified. The second values are multiplied with the first values, then summed, producing a group of result values. The group of result values is catenated and placed in register rd.
  • An exemplary embodiment of the Ensemble Convolve Floating Point instructions is shown in FIGS. 96A-96E.
  • Referring to FIG. 96D, an ensemble-convolve-floating-point-half-little-endian instruction (E.CON.F.16.L) convolves vector [x w v u t s r q p o n m l k j i] with vector [h g f e d c b a], yielding the products [ax+bw+cv+du+et+fs+gr+hq . . . as+br+cq+dp+eo+fn+gm+hl ar+bq+cp+do+en+fm+gl+hk aq+bp+co+dn+em+fl+gk+hj].
  • Referring to FIG. 96E, an ensemble-convolve-complex-floating-point-half-little-endian instruction (E.CON.C.F.16.L) convolves vector [x w v u t s r q p o n m l k j i] with vector [h g f e d c b a], yielding the products [ax+bw+cv+du+et+fs+gr+hq . . . as−bt+cq−dr+eo−fp+gm−hn ar+bq+cp+do+en+fm+gl+hk aq−br+co−dp+em−fn+gk+hl].
  • Ensemble Extract Immediate
  • These operations take operands from two registers and a short immediate value, perform operations on partitions of bits in the operands, and place the concatenated results in a third register.
  • Description
  • The contents of registers rc and rb are partitioned into groups of operands of the size specified and multiplied, added or subtracted, or are catenated and partitioned into operands of twice the size specified. The group of values is rounded, and limited as specified, yielding a group of results, each of which is the size specified. The group of results is catenated and placed in register rd.
  • For mixed-signed multiplies, the contents of register rc is signed, and the contents of register rb is unsigned. The extraction operation and the result of mixed-signed multiplies is signed.
  • Z (zero) rounding is not defined for unsigned extract operations, and a ReservedInstruction exception is raised if attempted. F (floor) rounding will properly round unsigned results downward.
  • An exemplary embodiment of the Ensemble Extract Immediate instructions is shown in FIGS. 97A-97G.
  • Referring to FIG. 97D, an ensemble multiply extract immediate doublets instruction (E.MULXI.16 or E.MUL.X.I.U.16) multiplies operand [h g f e d c b a] by operand [p o n m l k j i], yielding the products [hp go fn em dl ck bj ai], rounded and limited as specified.
  • Referring to FIG. 97E, another illustration of ensemble multiply extract immediate doublets instruction (E.MUL.X.I.16 or E.MUL.X.I.U.16).
  • Referring to FIG. 97F, an ensemble multiply extract immediate complex doublets instruction (E.MULXIC.16 or E.MUL.X.I.U.16) multiplies operand [h g f e d c b a] by operand [p o n m l k j i], yielding the result [gp+ho go−hp en+fm em−fn cl+dk ck−dl aj+bi ai−bj], rounded and limited as specified. Note that this instruction prefers an organization of complex numbers in which the real part is located to the right (lower precision) of the imaginary part.
  • Referring to FIG. 97G, another illustration of ensemble multiply extract immediate complex doublets instruction (E.MUL.X.I.C.16 or E.MUL.X.U.16).
  • Ensemble Extract Immediate Inplace
  • These operations take operands from two registers and a short immediate value, perform operations on partitions of bits in the operands, and place the catenated results in a third register.
  • Description
  • The contents of registers rc and rb are partitioned into groups of operands of the size specified and multiplied, added or subtracted, or are catenated and partitioned into operands of twice the size specified. The contents of register rd are partitioned into groups of operands of the size specified and sign or zero ensemble and shifted as specified, then added to the group of values computed. The group of values is rounded, and limited as specified, yielding a group of results which is the size specified. The group of results is catenated and placed in register rd.
  • For mixed-signed multiplies, the contents of register rc is signed, and the contents of register rb as unsigned. The extraction operation, the contents of register rd, and the result of mixed-signed multiplies are signed.
  • Z (zero) rounding is not defined for unsigned extract operations, and a ReservedInstruction exception is raised if attempted. F (floor) rounding will properly round unsigned results downward.
  • An exemplary embodiment of the Ensemble Extract Immediate Inplace instruction is shown in FIGS. 98A-98G.
  • Referring to FIG. 98D, an ensemble multiply add extract immediate doublets instruction (E.MUL.ADD.X.I.16 or E.MUL.ADD.X.I.U.16) multiplies operand [h g f e d c b a] by operand [p o n m l k j i], then adding [x w v u t s r q], yielding the products [hp+x go+w fn+v em+u dl+t ck+s bj+r ai+q], rounded and limited as specified.
  • Referring to FIG. 98E, another illustration of ensemble multiply add extract immediate doublets instruction (E.MUL.ADDXI.16 or E.MUL.ADD.X.I.U.16).
  • An ensemble multiply add extract immediate complex doublets instruction (E.MUL.ADD.S.I.C.16 or G.MUL.ADD.X.I.U.16) multiplies operand [g f e d c b a] by operand [p o n m l k j i], then adding [x w v u t s r q], yielding the result [gp+ho+x go−hp_w en_fm+v em−fn_u cl+dk+t ck−dl+s aj+bi+r ai−bj+q], rounded and limited as specified. Note that this instruction prefers an organization of complex numbers in which the real part is located to the right (lower precision) of the imaginary part.
  • Referring to FIG. 98F, an ensemble multiply add extract immediate complex doublets instruction (E.MUL.ADD.X.I.C.16 or G.MUL.ADD.X.I.U.16) multiplies operand [h g f e d c b a] by operand [p o n m l k j i], then adding [x w v u t s r q], yielding the result [gp+ho+x go−hp+w en+fm+v em−fn+u cl+dk+t ck−dl+s aj+bi+r ai−bj+q], rounded and limited as specified. Note that this instruction prefers an organization of complex numbers in which the real part is located to the right (lower precision) of the imaginary part.
  • Referring to FIG. 98G, another illustration of ensemble add multiply extract immediate complex doublets instruction (E.MUL.ADD.X.I. C.16).
  • Ensemble Inplace
  • These operations take operands from three registers, perform operations on partitions of bits in the operands, and place the concatenated results in the third register.
  • Description
  • The contents of registers rd, rc and rb are fetched. The specified operation is performed on these operands. The result is placed into register rd.
  • Register rd is both a source and destination of this instruction.
  • An exemplary embodiment of the Ensemble Inplace instructions is shown in FIGS. 99A-99C.
  • Wide Multiply Matrix
  • These instructions take an address from a general register to fetch a large operand from memory, a second operand from a general register, perform a group of operations on partitions of bits in the operands, and catenate the results together, placing the result in a general register
  • Description
  • The contents of register rc is used as a virtual address, and a value of specified size is loaded from memory. A second value is the contents of register rb. The values are partitioned into groups of operands of the size specified. The second values are multiplied with the first values, then summed, producing a group of result values. The group of result values is catenated and placed in register rd.
  • The memory-multiply instructions (W.MUL.MAT, W.MUL.MAT.C, W.MUL.MAT.M, W.MUL.MAT.P, W.MUL.MAT.U) perform a partitioned array multiply of up to 8192 bits, that is 64×128 bits. The width of the array can be limited to 64, 32, or 16 bits, but not smaller than twice the group size, by adding one-half the desired size in bytes to the virtual address operand: 4, 2, or 1. The array can be limited vertically to 128, 64, 32, or 16 bits, but not smaller than twice the group size, by adding one-half the desired memory operand size in bytes to the virtual address operand.
  • The virtual address must either be aligned to 1024/gsize bytes (or 512/gsize for W.MUL.MAT.C) (with gsize measured in bits), or must be the sum of an aligned address and one-half of the size of the memory operand in bytes and/or one-quarter of the size of the result in bytes. An aligned address must be an exact multiple of the size expressed in bytes. If the address is not valid an “access disallowed by virtual address” exception occurs.
  • An exemplary embodiment of the Wide Multiply Matrix instructions is shown in FIGS. 100A-100E.
  • A wide-multiply-octlets instruction (W.MUL.MAT.type.64, type=NONE M U P) is not implemented and causes a reserved instruction exception, as an ensemble-multiply-sum-octlets instruction (E.MUL.SUM.type.64) performs the same operation except that the multiplier is sourced from a 128-bit register rather than memory. Similarly, instead of wide-multiply-complex-quadlets instruction (W.MUL.MAT.C.32), one should use an ensemble-multiply-complex-quadlets instruction (E.MUL.SUM.C.32).
  • Referring to FIG. 100D, a wide-multiply-doublets instruction (W.MUL.MAT, W.MUL.MAT.M, W.MUL.MAT.P, W.MUL.MAT.U) multiplies memory [m31 m30 . . . m1 m0] with vector [h g f e d c b a], yielding products [hm31+gm27+ . . . +bm7+am3 . . . hm28+gm24+ . . . +bm4+am0].
  • Referring to FIG. 100E, a wide-multiply-matrix-complex-doublets instruction (W.MUL.MAT.C) multiplies memory [m15 m14 . . . m1 m0] with vector [h g f e d c b a], yielding products [hm14+gm15+ . . . +bm2+am3 . . . hm12+gm13+ . . . +bm0+am1−hm13+gm12+ . . . −bm1+am0].
  • Wide Multiply Matrix Extract
  • These instructions take an address from a general register to fetch a large operand from memory, a second operand from a general register, perform a group of operations on partitions of bits in the operands, and catenate the results together, placing the result in a general register.
  • Description
  • The contents of register rc is used as a virtual address, and a value of specified size is loaded from memory. A second value is the contents of register rd. The group size and other parameters are specified from the contents of register rb. The values are partitioned into groups of operands of the size specified and are multiplied and summed, producing a group of values. The group of values is rounded, and limited as specified, yielding a group of results which is the size specified. The group of results is catenated and placed in register ra.
  • NOTE: The size of this operation is determined from the contents of register rb The multiplier usage is constant, but the memory operand size is inversely related to the group size. Presumably this can be checked for cache validity.
  • We also use low order bits of rc to designate a size, which must be consistent with the group size. Because the memory operand is cached, the size can also be cached, thus eliminating the time required to decode the size, whether from rb or from rc.
  • The wide-multiply-matrix-extract instructions (W.MUL.MAT.X.B, W.MUL.MAT.X.L) perform a partitioned array multiply of up to 16384 bits, that is 128×128 bits. The width of the array can be limited to 128, 64, 32, or 16 bits, but not smaller than twice the group size, by adding one-half the desired size in bytes to the virtual address operand: 8, 4, 2, or 1. The array can be limited vertically to 128, 64, 32, or 16 bits, but not smaller than twice the group size, by adding one-half the desired memory operand size in bytes to the virtual address operand.
  • Bits 31 . . . 0 of the contents of register rb specifies several parameters which control the manner in which data is extracted. The position and default values of the control fields allows for the source position to be added to a fixed control value for dynamic computation, and allows for the lower 16 bits of the control field to be set for some of the simpler extract cases by a single GCOPYI instruction.
    Figure US20080059766A1-20080306-C00054
  • The table below describes the meaning of each label:
    label bits meaning
    fsize
    8 field size
    dpos
    8 destination position
    x 1 reserved
    s 1 signed vs. unsigned
    n
    1 complex vs. real multiplication
    m
    1 mixed-sign vs. same-sign
    multiplication
    l
    1 saturation vs. truncation
    rnd
    2 rounding
    gssp 9 group size and source position
  • The 9-bit gssp field encodes both the group size, gsize, and source position, spos, according to the formula gssp=512−4*gsize+spos. The group size, gsize, is a power of two in the range 1 . . . 128. The source position, spos, is in the range 0 . . . (2*gsize)−1.
  • The values in the s, n, m, t, and rnd fields have the following meaning:
    values s n m l rnd
    0 unsigned real same-sign truncate F
    1 signed complex mixed-sign saturate Z
    2 N
    3 C
  • The virtual address must be aligned, that is, it must be an exact multiple of the operand size expressed in bytes. If the address is not aligned an “access disallowed by virtual address” exception occurs.
  • Z (zero) rounding is not defined for unsigned extract operations, and a ReservedInstruction exception is raised if attempted. F (floor) rounding will properly round unsigned results downward.
  • An exemplary embodiment of the Wide Multiply Matrix Extract instructions is shown in FIGS. 101A-101E
  • Referring to FIG. 101D, a wide-multiply-matrix-extract-doublets instruction (W.MUL.MAT.X.B or W.MUL.MAT.X.L) multiplies memory [m63 m62 m61 . . . m2 m1 m0] with vector [h g f e d c b a], yielding the products [am7+bm15+cm23+dm31+em39+fm47+gm55+hm63 . . . am2+bm10+cm18+dm26+em34+fm42+gm50+hm58 am1+bm9+cm17+dm25+em33+fn41+gm49+hm57 am0+bm8+cm16+dm24+em32+fin40+gm48+hm56], rounded and limited as specified.
  • Referring to FIG. 101E, a wide-multiply-matrix-extract-complex-doublets instruction (W.MUL.MAT.X with n set in rb) multiplies memory [m31 m30 m29 . . . m2 m1 m0] with vector [h g f e d c b a], yielding the products [am7+bm6+cm15+dm14+em23+fm22+gm31+hm30 . . . am2−bm3+cm10−dm11+em18−fin19+gm26−hm27 am1+bm0+cm9+dm8+em17+fm16+gm25+hm24 am0−bm1+cm8−dm9+em16−f17+gm24−hm25], rounded and limited as specified.
  • Wide Multiply Matrix Extract Immediate
  • These instructions take an address from a general register to fetch a large operand from memory, a second operand from a general register, perform a group of operations on partitions of bits in the operands, and catenate the results together, placing the result in a general register.
  • Description
  • The contents of register rc is used as a virtual address, and a value of specified size is loaded from memory. A second value is the contents of register rb. The values are partitioned into groups of operands of the size specified and are multiplied and summed, or are convolved, producing a group of sums. The group of sums is rounded, and limited as specified, yielding a group of results, each of which is the size specified. The group of results is catenated and placed in register rd.
  • The wide-multiply-extract-immediate-matrix instructions (W.MUL.MAT.X.I, W.MUL.MAT.X.I.U, W.MUL.MAT.X.I.M, W.MUL.MAT.X.I.C) perform a partitioned array multiply of up to 16384 bits, that is 128×128 bits. The width of the array can be limited to 128, 64, 32, or 16 bits, but not smaller than twice the group size, by adding one-half the desired size in bytes to the virtual address operand: 8, 4, 2, or 1. The array can be limited vertically to 128, 64, 32, or 16 bits, but not smaller than twice the group size, by adding one-half the desired memory operand size in bytes to the virtual address operand.
  • The virtual address must either be aligned to 2048/gsize bytes (or 1024/gsize for W.MUL.MAT.X.I.C), or must be the sum of an aligned address and one-half of the size of the memory operand in bytes and/or one-half of the size of the result in bytes. An aligned address must be an exact multiple of the size expressed in bytes. If the address is not valid an “access disallowed by virtual address” exception occurs.
  • Z (zero) rounding is not defined for unsigned extract operations, and a ReservedInstruction exception is raised if attempted. F (floor) rounding will properly round unsigned results downward.
  • An exemplary embodiment of the Wide Multiply Matrix Extract Immediate instructions is shown in FIGS. 102A-102E
  • Referring to FIG. 102D, a wide-multiply-extract-immediate-matrix-doublets instruction (W.MUL.MAT.X.I.16 or W.MUL.MAT.X.I.U.16) multiplies memory [m63 m62 m61 . . . m2 m1 m0] with vector [h g f e d c b a], yielding the products [am7+bm15+cm23+dm31+em39+fm47+gm55+hm63 . . . am2+bm10+cm18+dm26+em34+fm42+gm50+hm58 am1+bm9+cm17+dm25+em33+fm41+gm49+hm57 am0+bm8+cm16+dm24+em32+fm40+gm48+hm56], rounded and limited as specified.
  • Referring to FIG. 102E, a wide-multiply-matrix-extract-immediate-complex-doublets instruction (W.MUL.MAT.X.I.C.16) multiplies memory [m31 m30 m29 . . . m2 m1 m0] with vector [h g f e d c b a], yielding the products [am7+bm6+cm15+dm14+em23+fm22+gm31+hm30 . . . am2−bm3+cm10−dm11+em18−fm19+gm26−hm27 am1+bm0+cm9+dm8+em17+fm16+gm25+hm24 am0−bm1+cm8−dm9+em16−f17+gm24−hm25], rounded and limited as specified.
  • Wide Multiply Matrix Floating-Point
  • These instructions take an address from a general register to fetch a large operand from memory, a second operand from a general register, perform a group of operations on partitions of bits in the operands, and catenate the results together, placing the result in a general register.
  • Description
  • The contents of register rc is used as a virtual address, and a value of specified size is loaded from memory. A second value is the contents of register rb. The values are partitioned into groups of operands of the size specified. The second values are multiplied with the first values, then summed, producing a group of result values. The group of result values is catenated and placed in register rd.
  • The wide-multiply-matrix-floating-point instructions (W.MUL.MAT.F, W.MUL.MAT.C.F) perform a partitioned array multiply of up to 16384 bits, that is 128×128 bits. The width of the array can be limited to 128, 64, 32 bits, but not smaller than twice the group size, by adding one-half the desired size in bytes to the virtual address operand: 8, 4, or 2. The array can be limited vertically to 128, 64, 32, or 16 bits, but not smaller than twice the group size, by adding one-half the desired memory operand size in bytes to the virtual address operand.
  • The virtual address must either be aligned to 2048/gsize bytes (or 1024/gsize for W.MUL.MAT.C.F), or must be the sum of an aligned address and one-half of the size of the memory operand in bytes and/or one-half of the size of the result in bytes. An aligned address must be an exact multiple of the size expressed in bytes. If the address is not valid an “access disallowed by virtual address” exception occurs.
  • An exemplary embodiment of the Wide Multiply Matrix Floating-Point instructions is shown in FIGS. 103A-103E.
  • Referring to FIG. 103D, a wide-multiply-matrix-floating-point-half instruction (W.MUL.MAT.F) multiplies memory [m31 m30 . . . m1 m0] with vector [h g f e d c b a], yielding products [hm31+gm27+ . . . +bm7+am3 . . . hm28+gm24+ . . . +bm4+am0].
  • Referring to FIG. 103E, a wide-multiply-matrix-complex-floating-point-half instruction (W.MUL.MAT.F) multiplies memory [m15 m14 . . . m1 m0] with vector [h g f e d c b a], yielding products [hm14+gm15+ . . . +bm2+am3 . . . hm12+gm13+ . . . +bm0+am1−hm13+gm12+ . . . −bm1+am0].
  • Wide Multiply Matrix Galois
  • These instructions take an address from a general register to fetch a large operand from memory, second and third operands from general registers, perform a group of operations on partitions of bits in the operands, and catenate the results together, placing the result in a general register.
  • Description
  • The contents of register rc is used as a virtual address, and a value of specified size is loaded from memory. Second and third values are the contents of registers rd and rb. The values are partitioned into groups of operands of the size specified. The second values are multiplied as polynomials with the first value, producing a result which is reduced to the Galois field specified by the third value, producing a group of result values. The group of result values is catenated and placed in register ra.
  • The wide-multiply-matrix-Galois instruction (W.MUL.MAT.G) performs a partitioned array multiply of up to 16384 bits, that is 128×128 bits. The width of the array can be limited to 128, 64, 32, or 16 bits, but not smaller than twice the group size of 8 bits, by adding one-half the desired size in bytes to the virtual address operand: 8, 4, 2, or 1. The array can be limited vertically to 128, 64, 32, or 16 bits, but not smaller than twice the group size of 8 bits, by adding one-half the desired memory operand size in bytes to the virtual address operand.
  • The virtual address must either be aligned to 256 bytes, or must be the sum of an aligned address and one-half of the size of the memory operand in bytes and/or one-half of the size of the result in bytes. An aligned address must be an exact multiple of the size expressed in bytes. If the address is not valid an “access disallowed by virtual address” exception occurs.
  • An exemplary embodiment of the Wide Multiply Matrix Galois instructions is shown in FIGS. 104A-104D
  • Referring to FIG. 104D, a wide-multiply-matrix-Galois instruction (W.MUL.MAT.G) multiplies memory [m255 m254 . . . m1 m0] with vector [p o n m l k j i h g f e d c b a], reducing the result modulo polynomial [q], yielding products [(pm255+om247+ . . . +bm31+am15 mod q) (pm254+om246+ . . . +bm30+am14 mod q) . . . (pm248+om240+ . . . +bm16+am0 mod q)].
  • Wide Switch
  • These instructions take an address from a general register to fetch a large operand from memory, a second operand from a general register, perform a group of operations on partitions of bits in the operands, and catenate the results together, placing the result in a general register.
  • Description
  • The contents of register rc is specifies as a virtual address and optionally an operand size, and a value of specified size is loaded from memory. A second value is the catenated contents of registers rd and rb. Eight corresponding bits from the memory value are used to select a single result bit from the second value, for each corresponding bit position. The group of results is catenated and placed in register ra.
  • The virtual address must either be aligned to 128 bytes, or must be the sum of an aligned address and one-half of the size of the memory operand in bytes. An aligned address must be an exact multiple of the size expressed in bytes. The size of the memory operand must be 8, 16, 32, 64, or 128 bytes. If the address is not valid an “access disallowed by virtual address” exception occurs. When a size smaller than 128 bits is specified, the high order bits of the memory operand are replaced with values corresponding to the bit position, so that the same memory operand specifies a bit selection within symbols of the operand size, and the same operation is performed on each symbol.
  • An exemplary embodiment of the Wide Switch instructions is shown in FIGS. 105A-105C.
  • Wide Translate
  • These instructions take an address from a general register to fetch a large operand from memory, a second operand from a general register, perform a group of operations on partitions of bits in the operands, and catenate the results together, placing the result in a general register.
  • Description
  • The contents of register rc is used as a virtual address, and a value of specified size is loaded from memory. A second value is the contents of register rb. The values are partitioned into groups of operands of a size specified. The low-order bytes of the second group of values are used as addresses to choose entries from one or more tables constructed from the first value, producing a group of values. The group of results is catenated and placed in register rd.
  • By default, the total width of tables is 128 bits, and a total table width of 128, 64, 32, 16 or 8 bits, but not less than the group size may be specified by adding the desired total table width in bytes to the specified address: 16, 8, 4, 2, or 1. When fewer than 128 bits are specified, the tables repeat to fill the 128 bit width.
  • The default depth of each table is 256 entries, or in bytes is 32 times the group size in bits. An operation may specify 4, 8, 16, 32, 64, 128 or 256 entry tables, by adding one-half of the memory operand size to the address. Table index values are masked to ensure that only the specified portion of the table is used. Tables with just 2 entries cannot be specified; if 2-entry tables are desired, it is recommended to load the entries into registers and use G.MUX to select the table entries.
  • Failing to initialize the entire table is a potential security hole, as an instruction in with a small-depth table could access table entries previously initialized by an instruction with a large-depth table. We could close this hole either by initializing the entire table, even if extra cycles are required, or by masking the index bits so that only the initialized portion of the table is used. Initializing the entire table with no penalty in cycles could require writing to as many as 128 entries at once, which is quite likely to cause circuit complications. Initializing the entire table with writes to only one entry at a time requires writing 256 cycles, even when the table is smaller. Masking the index bits is the preferred solution.
  • Masking the index bits suggests that this instruction, for tables larger than 256 entries, may be useful for a general-purpose memory translate function where the processor performs enough independent load operations to fill the 128 bits. Thus, the 16, 32, and 64 bit versions of this function perform equivalent of 8, 4, 2 withdraw, 8, 4, or 2 load-indexed and 7, 3, or 1 group-extract instructions. In other words, this instruction can be as powerful as 23, 11, or 5 existing instructions. The 8-bit version is a single-cycle operation replacing 47 existing instructions, so these are not as big a win, but nonetheless, this is at least a 50% improvement on a 2-issue processor, even with one-cycle-per load timing. To make this possible, the default table size would become 65536, 232 and 264 for 16, 32 and 64-bit versions of the instruction.
  • For the big-endian version of this instruction, in the definition below, the contents of register rb is complemented. This reflects a desire to organize the table so that the lowest addressed table entries are selected when the index is zero. In the logical implementation, complementing the index can be avoided by loading the table memory differently for big-endian and little-endian versions. A consequence of this shortcut is that a table loaded by a big-endian translate instruction cannot be used by a little-endian translate instruction, and vice-versa.
  • The virtual address must either be aligned to 4096 bytes, or must be the sum of an aligned address and one-half of the size of the memory operand in bytes and/or the desired total table width in bytes. An aligned address must be an exact multiple of the size expressed in bytes. The size of the memory operand must be a power of two from 4 to 4096 bytes, but must be at least 4 times the group size and 4 times the total table width. If the address is not valid an “access disallowed by virtual address” exception occurs.
  • An exemplary embodiment of the Wide Translate instructions is shown in FIGS. 106A-106C.
  • Bus Interface
  • According to one embodiment of the invention, an initial implementation of the processor uses a “Super Socket 7 compatible” (SS7) bus interface, which is generally similar to and compatible with other “Socket 7” and “Super Socket 7” processors such as the Intel Pentium, Pentium with MMX Technology; AMD K6, K6-II, K6-III; IDT Winchip C6, 2, 2A, 3, 4; Cyrix 6x86, etc. and other “Socket 7” chipsets listed below.
  • The SS7 bus interface behavior is quite complex, but well-known due to the leading position of the Intel Pentium design. This document does not yet contain all the detailed information related to this bus, and will concentrate on the differences between the Zeus SS7 bus and other designs. For functional specification and pin interface behavior, the Pentium Processor Family Developer's Manual is a primary reference. For 100 MHz SS7 bus timing data, the AMD K6-2 Processor Data Sheet is a primary reference.
  • Motherboard Chipsets
  • The following motherboard chipsets are designed for the 100 MHz “Socket 7” bus:
    clock North South
    Manufacturer Website Chipset rate bridge bridge
    VIA technologies, Inc. www.via.com.tw Apollo MVP3 100 MHz vt82c598at vt82c598b
    Silicon Integrated Systems www.sis.com.tw SiS 5591/5592  75 MHz SiS 5591 SiS 5595
    Acer Laboratories, Inc. www.acerlabs.com Ali Aladdin V 100 MHz M1541 M1543C
  • The following processors are designed for a “Socket 7” bus:
    Manufacturer Website Chips clock rate
    Advanced Micro www.amd.com K6-2 100 MHz
    Devices
    Advanced Micro www.amd.com K6-3 100 MHz
    Devices
    Intel www.intel.com Pentium MMX  66 MHz
    IDT/Centaur www.winchip.com Winchip C6  75 MHz
    IDT/Centaur www.winchip.com Winchip 2 100 MHz
    IDT/Centaur www.winchip.com Winchip 2A 100 MHz
    IDT/Centaur www.winchip.com Winchip 4 100 MHz
    NSM/Cyrix www.cyrix.com

    Pinout
  • In FIG. 57, signals which are different from Pentium pinout, are indicated by italics and underlining. Generally, other Pentium-compatible processors (such as the AMD K6-2) define these signals.
  • FIG. 48 is a pin summary describing the functions of various pins in accordance with the present embodiment.
  • Electrical Specifications
  • FIGS. 49A-G contain electrical specifications describing AC and DC parameters in accordance with the present embodiment. These preliminary electrical specifications provide AC and DC parameters that are required for “Super Socket 7” compatibility.
  • Bus Control Register
  • The Bus Control Register provides direct control of Emulator signals, selecting output states and active input states for these signals.
  • The layout of the Bus Control Register is designed to match the assignment of signals to the Event Register.
    number control
     0 Reserved
     1 A20M# active level
     2 BF0 active level
     3 BF1 active level
     4 BF2 active level
     5 BUSCHK active level
     6 FLUSH# active level
     7 FRCMC# active level
     8 IGNNE# active level
     9 INIT active level
    10 INTR active level
    11 NMI active level
    12 SMI# active level
    13 STPCLK# active level
    14 CPUTYP active at reset
    15 DPEN#active at reset
    16 FLUSH# active at reset
    17 INIT active at reset
    31 . . . 18 Reserved
    32 Bus lock
    33 Split cycle
    34 BP0 output
    35 BP1 output
    36 BP2 output
    37 BP3 output
    38 FERR# output
    39 IERR# output
    40 PM0 output
    41 PM1 output
    42 SMIACT# output
    63 . . . 43 Reserved

    Emulator Signals
  • Several of the signals, A20M#, INIT, NMI, SMI#, STPCLK#, IGNNE# are inputs that have purposes primarily defined by the needs of x86 processor emulation. They have no direct purpose in the Zeus processor, other than to signal an event, which is handled by software. Each of these signals is an input sampled on the rising edge of each bus clock, if the input signal matches the active level specified in the bus control register, the corresponding bit in the event register is set. The bit in the event register remains set even if the signal is no longer active, until cleared by software. If the event register bit is cleared by software, it is set again on each bus clock that the signal is sampled active.
  • A20M#
  • A20M# (address bit 20 mask inverted), when asserted (low), directs an x86 emulator to generate physical addresses for which bit 20 is zero.
  • The A20M# bit of the bus control register selects which level of the A20M# signal will generate an event in the A20M# bit of the event register. Clearing (to 0) the A20M# bit of the bus control register will cause the A20M# bit of the event register to be set when the A20M# signal is asserted (low).
  • Asserting the A20M# signal causes the emulator to modify all current TB mappings to produce a zero value for bit 20 of the byte address. The A20M# bit of the bus control register is then set (to 1) to cause the A20M# bit of the event register to be set when the A20M# signal is released (high).
  • Releasing the A20M# signal causes the emulator to restore the TB mapping to the original state. The A20M# bit of the bus control register is then cleared (to 0) again, to cause the A20M# bit of the event register to be set when the A20M# signal is asserted (low).
  • INIT
  • INIT (initialize) when asserted (high), directs an x86 emulator to begin execution of the external ROM BIOS.
  • The INIT bit of the bus control register is normally set (to 1) to cause the INIT bit of the event register to be set when the INIT signal is asserted (high).
  • INTR
  • INTR (maskable interrupt) when asserted (high), directs an x86 emulator to simulate a maskable interrupt by generating two locked interrupt acknowlege special cycles. External hardware will normally release the INTR signal between the first and second interrupt acknowlege special cycle.
  • The INTR bit of the bus control register is normally set (to 1) to cause the INTR bit of the event register to be set when the INTR signal is asserted (high).
  • NMI
  • NMI (non-maskable interrupt) when asserted (high), directs an x86 emulator to simulate a non-maskable interrupt. External hardware will normally release the NMI signal.
  • The NMI bit of the bus control register is normally set (to 1) to cause the NMI bit of the event register to be set when the NMI signal is asserted (high).
  • SMI#
  • SMI# (system management interrupt inverted) when asserted (low), directs an x86 emulator to simulate a system management interrupt by flushing caches and saving registers, and asserting (low) SMIACT# (system management interrupt active inverted). External hardware will normally release the SMI#.
  • The SMI# bit of the bus control register is normally cleared (to 0) to cause the SMI# bit of the event register to be set when the SMI# signal is asserted (low).
  • STPCLK#
  • STPCLK# (stop clock inverted) when asserted (low), directs an x86 emulator to simulate a stop clock interrupt by flushing caches and saving registers, and performing a stop grant special cycle.
  • The STPCLK# bit of the bus control register is normally cleared (to 0) to cause the STPCLK# bit of the event register to be set when the STPCLK# signal is asserted (low).
  • Software must set (to 1) the STPCLK# bit of the bus control register to cause the STPCLK# bit of the event register to be set when the STPCLK# signal is released (high) to resume execution. Software must cease producing bus operations after the stop grant special cycle. Usually, software will use the B.HALT instruction in all threads to cease performing operations. The processor PLL continues to operate, and the processor must still sample INIT, INTR, RESET, NMI, SMI# (to place them in the event register) and respond to RESET and inquire and snoop transactions, so long as the bus clock continues operating.
  • The bus clock itself cannot be stopped until the stop grant special cycle. If the bus clock is stopped, it must stop in the low (0) state. The bus clock must be operating at frequency for at least 1 ms before releasing STPCLK# or releasing RESET. While the bus clock is stopped, the processor does not sample inputs or responds to RESET or inquire or snoop transactions.
  • External hardware will normally release STPCLK# when it is desired to resume execution. The processor should respond to the STPCLK# bit in the event register by awakening one or more threads.
  • IGNNE#
  • IGNNE# (address bit 20 mask inverted), when asserted (low), directs an x86 emulator to ignore numeric errors.
  • The IGNNE# bit of the bus control register selects which level of the IGNNE# signal will generate an event in the IGNNE# bit of the event register. Clearing (to 0) the IGNNE# bit of the bus control register will cause the IGNNE# bit of the event register to be set when the IGNNE# signal is asserted (low).
  • Asserting the IGNNE# signal causes the emulator to modify its processing to ignore numeric errors, if suitably enabled to do so. The IGNNE# bit of the bus control register is then set (to 1) to cause the IGNNE# bit of the event register to be set when the IGNNE# signal is released (high).
  • Releasing the IGNNE# signal causes the emulator to restore the emulation to the original state. The IGNNE# bit of the bus control register is then cleared (to 0) again, to cause the IGNNE# bit of the event register to be set when the IGNNE# signal is asserted (low).
  • Emulator Output Signals
  • Several of the signals, BP3 . . . BP0, FERR#, IERR#, PM1 . . . PM0, SMIACT# are outputs that have purposes primarity defined by the needs of x86 processor emulation. They are driven from the bus control register that can be written by software.
  • Bus Snooping
  • Zeus support the “Socket 7” protocols for inquiry, invalidation and coherence of cache lines. The protocols are implemented in hardware and do not interrupt the processor as a result of bus activity. Cache access cycles may be “stolen” for this purpose, which may delay completion of processor memory activity.
  • Definition
    def SnoopPhysicaBus as
     //wait for transaction on bus or inquiry cycle
     do
      wait
     while BRDY# = 0
     pa31..3
    Figure US20080059766A1-20080306-P00801
    A31..3
     op
    Figure US20080059766A1-20080306-P00801
    W/R# ? W : R
     cc
    Figure US20080059766A1-20080306-P00801
    CACHE# || PWT || PCD
    enddef

    Locked Cycles
  • Locked cycles occur as a result of synchronization operations (Store-swap instructions) performed by the processor. For x86 emulation, locked cycles also occur as a result of setting specific memory-mapped control registers.
  • Locked Synchronization Instruction
  • Bus lock (LOCK#) is asserted (low) automatically as a result of store-swap instructions that generate bus activity, which always perform locked read-modify-write cycles on 64 bits of data. Note that store-swap instructions that are performed on cache sub-blocks that are in the E or M state need not generate bus activity.
  • Locked Sequences of Bus Transactions
  • Bus lock (LOCK#) is also asserted (low) on subsequent bus transactions by writing a one (1) to the bus lock bit of the bus control register. Split cycle (SCYC) is similarly asserted (high) if a one (1) is also written to the split cycle bit of the bus emulation control register.
  • All subsequent bus transactions will be performed as a locked sequence of transactions, asserting bus lock (LOCK# low) and optionally split cycle (SCYC high), until zeroes (0) are written to the bus lock and split cycle bits of the bus control register. The next bus transaction completes the locked sequence, releasing bus lock (LOCK# high) and split cycle (SCYC low) at the end of the transaction. If the locked transaction must be aborted because of bus activity such as backoff, a lock broken event is signalled and the bus lock is released.
  • Unless special care is taken, the bus transactions of all threads occur as part of the locked sequence of transactions. Software can do so by interrupting all other threads until the locked sequence is completed. Software should also take case to avoid fetching instructions during the locked sequence, such as by executing instructions out of niche or ROM memory. Software should also take care to avoid terminating the sequence with event handling prior to releasing the bus lock, such as by executing the sequence with events disabled (other than the lock broken event).
  • The purpose of this facility is primarily for x86 emulation purposes, in which we are willing to perform acts (such as stopping all the other threads) in the name of compatibility. It is possible to take special care in hardware to sort out the activity of other threads, and break the lock in response to events. In doing so, the bus unit must defer bus activity generated by other threads until the locked sequence is completed. The bus unit should inhibit event handling while the bus is locked.
  • Sampled at Reset
  • Certain pins are sampled at reset and made available in the event register.
  • CPUTYP Primary or Dual processor
  • PICD0[DPEN#] Dual processing enable
  • FLUSH# Tristate test mode
  • INIT Built-in self-test
  • Sampled Per Clock
  • Certain pins are sampled per clock and changes are made available in the event register.
  • A20M# address bit 20 mask
  • BF[1:0] bus frequency
  • BUSCHK# bus check
  • FLUSH# cache flush request
  • FRCMC# functional redundancy check—not implemented on Pentium MMX
  • IGNNE# ignore numeric error
  • INIT re-initialize pentium processor
  • INTR external interrupt
  • NMI non-maskable interrupt
  • R/S# run/stop
  • SMI# system management
  • STPCLK# stop clock
  • Bus Access
  • The “Socket 7” bus performs transfers of 1-8 bytes within an octlet boundary or 32 bytes on a triclet boundary.
  • Transfers sized at 16 bytes (hexlet) are not available as a single transaction, they are performed as two bus transactions.
  • Bus transactions begin by gaining control of the bus (TODO: not shown), and in the initial cycle, asserting ADS#, M/IO#, A, BE#, W/R#, CACHE#, PWT, and PCD. These signals indicate the type, size, and address of the transaction. One or more octlets of data are returned on a read (the external system asserts BRDY# and/or NA# and D), or accepted on a write (TODO not shown).
  • The external system is permitted to affect the cacheability and exclusivity of data returned to the processor, using the KEN# and WB/WT# signals.
  • Definition
    def data,cen
    Figure US20080059766A1-20080306-P00801
    AccessPhysicaBus(pa,size,cc,op,wd) as
     // divide transfers sized between octlet and hexlet into two parts
     // also divide transfers which cross octlet boundary into two parts
     if (64<size≦128) or ((size<64) and (size+8*pa2..0>64)) then
      data0,cen
    Figure US20080059766A1-20080306-P00801
    AccessPhysicalBus(pa,64−8*pa2..0,cc,op,wd)
      if cen=0 then
       pa1
    Figure US20080059766A1-20080306-P00801
    pa63..4||1||03
       data1,cen
    Figure US20080059766A1-20080306-P00801
    AccessPhysicalBus(pa1,size+8*pa2..0−64,cc,op,wd)
       data
    Figure US20080059766A1-20080306-P00801
    data1127..64 || data063..0
      endif
     else
      ADS#
    Figure US20080059766A1-20080306-P00801
    0
      M/IO#
    Figure US20080059766A1-20080306-P00801
    1
      A31..3
    Figure US20080059766A1-20080306-P00801
    pa31..3
      for i
    Figure US20080059766A1-20080306-P00801
    0 to 7
       BEi#
    Figure US20080059766A1-20080306-P00801
    pa2..0 ≦ i < pa2..0+size/8
      endfor
      W/R#
    Figure US20080059766A1-20080306-P00801
    (op = W)
      if (op=R) then
       CACHE#
    Figure US20080059766A1-20080306-P00801
    ˜(cc ≧ WT)
       PWT
    Figure US20080059766A1-20080306-P00801
    (cc = WT)
       PCD
    Figure US20080059766A1-20080306-P00801
    (cc ≦ CD)
       do
        wait
       while (BRDY# = 1) and (NA# = 1)
       //Intel spec doesn't say whether KEN# should be ignored if no
       CACHE#
       //AMD spec says KEN# should be ignored if no CACHE#
       cen
    Figure US20080059766A1-20080306-P00801
    ˜KEN# and (cc ≧ WT) //cen=1 if triclet is cacheable
       xen
    Figure US20080059766A1-20080306-P00801
    WB/WT# and (cc ≠ WT) //xen=1 if triclet is exclusive
       if cen then
        os
    Figure US20080059766A1-20080306-P00801
    64*pa4..3
        data63+os..os
    Figure US20080059766A1-20080306-P00801
    D63..0
        do
         wait
        while BRDY# = 1
        data63+(64{circumflex over ( )}os)..(64{circumflex over ( )}os)
    Figure US20080059766A1-20080306-P00801
    D63..0
        do
         wait
        while BRDY# = 1
        data63+(128{circumflex over ( )}os)..(128{circumflex over ( )}os)
    Figure US20080059766A1-20080306-P00801
    D63..0
        do
         wait
        while BRDY# = 1
        data63+(192{circumflex over ( )}os)..(192{circumflex over ( )}os)
    Figure US20080059766A1-20080306-P00801
    D63..0
       else
        os
    Figure US20080059766A1-20080306-P00801
    64*pa3
        data63+os..os
    Figure US20080059766A1-20080306-P00801
    D63..0
       endif
      else
       CACHE#
    Figure US20080059766A1-20080306-P00801
    ˜(size = 256)
       PWT
    Figure US20080059766A1-20080306-P00801
    (cc = WT)
       PCD
    Figure US20080059766A1-20080306-P00801
    (cc ≦ CD)
       do
        wait
       while (BRDY# = 1) and (NA# = 1)
       xen
    Figure US20080059766A1-20080306-P00801
    WB/WT# and (cc ≠ WT)
      endif
     endif
     flags
    Figure US20080059766A1-20080306-P00801
    cen || xen
    enddef

    Other Bus Cycles
  • Input/Output transfers, Interrupt acknowledge and special bus cycles (stop grant, flush acknowledge, writeback, halt, flush, shutdown) are performed by uncached loads and stores to a memory-mapped control region.
    M/IO# D/C# W/R# CACHE# KEN# cycle
    0 0 0 1 x interrupt acknowledge
    0 0 1 1 x special cycles (intel
    pg 6-33)
    0 1 0 1 x I/O read, 32-bits or less,
    non-cacheable, 16-bit
    address
    0 1 1 1 x I/O write, 32-bits or less,
    non-cacheable, 16-bit
    address
    1 0 x x x code read (not
    implemented)
    1 1 0 1 x non-cacheable read
    1 1 0 x 1 non-cacheable read
    1 1 0 0 0 cacheable read
    1 1 1 1 x non-cacheable write
    1 1 1 0 x cache writeback

    Special Cycles
  • An interrupt acknowlege cycle is performed by two byte loads to the control space (dc=1), the first with a byte address (ba) of 4 (A31 . . . 3=0, BE4#=0, BE7 . . . 5,3 . . . 0#=1), the second with a byte address (ba) of 0 (A31 . . . 3=0, BE0#=0, BE7 . . . 1#=1). The first byte read is ignored; the second byte contains the interrupt vector. The external system normally releases INTR between the first and second byte load.
  • A shutdown special cycle is performed by a byte store to the control space (dc=1) with a byte address (ba) of 0 (A31 . . . 3=0, BE0#=0, BE7 . . . 1#=1).
  • A flush special cycle is performed by a byte store to the control space (dc=1) with a byte address (ba) of 1 (A31 . . . 3=0, BE1#=0, BE7 . . . 2,0#=1).
  • A halt special cycle is performed by a byte store to the control space (dc=1) with a byte address (ba) of 2 (A31 . . . 3=0, BE2#=0, BE7 . . . 3,1 . . . 0#=1).
  • A stop grant special cycle is performed by a byte store to the control space (dc=1) with a byte address (ba) of 0x12 (A31 . . . 3=2, BE2#=0, BE7 . . . 3,1 . . . 0#=1).
  • A writeback special cycle is performed by a byte store to the control space (dc=1) with a byte address (ba) of 3 (A31 . . . 3=0, BE3#=0, BE7 . . . 4,2 . . . 0#=1).
  • A flush acknowledge special cycle is performed by a byte store to the control space (dc=1) with a byte address (ba) of 4 (A31 . . . 3=0, BE4#=0, BE7 . . . 5,3 . . . 0#=1).
  • A back trace message special cycle is performed by a byte store to the control space (dc=1) with a byte address (ba) of 5 (A31 . . . 3=0, BE5#=0, BE7 . . . 6,4 . . . 0#=1).
  • Performing load or store operations of other sizes (doublet, quadlet, octlet, hexlet) to the control space (dc=1) or operations with other byte address (ba) values produce bus operations which are not defined by the “Super Socket 7” specifications and have undefined effect on the system.
  • I/O cycles
  • An input cycle is performed by a byte, doublet, or quadlet load to the data space (dc=0), with a byte address (ba) of the I/O address. The address may not be aligned, and if it crosses an octlet boundary, will be performed as two separate cycles.
  • An output cycle is performed by a byte, doublet, or quadlet store to the data space (dc=0), with a byte address (ba) of the I/O address. The address may not be aligned, and if it crosses an octlet boundary, will be performed as two separate cycles.
  • Performing load or store operations of other sizes (octlet, hexlet) to the data space (dc=0) produce bus operations which are not defined by the “Super Socket 7” specifications and have undefined effect on the system.
  • Physical Address
  • The other bus cycles are accessed explicitly by uncached memory accesses to particular physical address ranges. Appropriately sized load and store operations must be used to perform the specific bus cycles required for proper operations. The dc field must equal 0 for I/O operations, and must equal 1 for control operations. Within this address range, bus transactions are sized no greater than 4 bytes (quadlet) and do not cross quadlet boundaries.
  • The physical address of a other bus cycle data/control dc, byte address ba is:
    Figure US20080059766A1-20080306-C00055
  • Definition
    def data
    Figure US20080059766A1-20080306-P00801
    AccessPhysicaOtherBus(pa,size,op,wd) as
     // divide transfers sized between octlet and hexlet into two parts
     // also divide transfers which cross octlet boundary into two parts
     if (64<size≦128) or ((size<64) and (size+8*pa2..0>64)) then
      data0
    Figure US20080059766A1-20080306-P00801
    AccessPhysicaOtherBus(pa,64−8*pa2..0,op,wd)
      pa1
    Figure US20080059766A1-20080306-P00801
    pa63..4||1||03
      data1
    Figure US20080059766A1-20080306-P00801
    AccessPhysicaOtherBus(pa1,size+8*pa2..0−64,op,wd)
      data
    Figure US20080059766A1-20080306-P00801
    data1127..64 || data063..0
     else
      ADS#
    Figure US20080059766A1-20080306-P00801
    0
      M/IO#
    Figure US20080059766A1-20080306-P00801
    0
      D/C#
    Figure US20080059766A1-20080306-P00801
    ˜pa16
      A31..3
    Figure US20080059766A1-20080306-P00801
    016 || pa15..3
      for i
    Figure US20080059766A1-20080306-P00801
    0 to 7
       BEi#
    Figure US20080059766A1-20080306-P00801
    pa2..0 ≦ i < pa2..0+size/8
      endfor
      W/R#
    Figure US20080059766A1-20080306-P00801
    (op = W)
      CACHE#
    Figure US20080059766A1-20080306-P00801
    1
      PWT
    Figure US20080059766A1-20080306-P00801
    1
      PCD
    Figure US20080059766A1-20080306-P00801
    1
      do
       wait
      while (BRDY# = 1) and (NA# = 1)
      if (op=R) then
       os
    Figure US20080059766A1-20080306-P00801
    64*pa3
       data63+os..os
    Figure US20080059766A1-20080306-P00801
    D63..0
      endif
     endif
    enddef

    Events and Threads
  • Exceptions signal several kinds of events: (1) events that are indicative of failure of the software or hardware, such as arithmetic overflow or parity error, (2) events that are hidden from the virtual process model, such as translation buffer misses, (3) events that infrequently occur, but may require corrective action, such as floating-point underflow. In addition, there are (4) external events that cause scheduling of a computational process, such as clock events or completion of a disk transfer.
  • Each of these types of events require the interruption of the current flow of execution, handling of the exception or event, and in some cases, descheduling of the current task and rescheduling of another. The Zeus processor provides a mechanism that is based on the multi-threaded execution model of Mach. Mach divides the well-known UNIX process model into two parts, one called a task, which encompasses the virtual memory space, file and resource state, and the other called a thread, which includes the program counter, stack space, and other register file state. The sum of a Mach task and a Mach thread exactly equals one UNIX process, and the Mach model allows a task to be associated with several threads. On one processor at any one moment in time, at least one task with one thread is running.
  • In the taxonomy of events described above, the cause of the event may either be synchronous to the currently running thread, generally types 1, 2, and 3, or asynchronous and associated with another task and thread that is not currently running, generally type 4.
  • For these events, Zeus will suspend the currently running thread in the current task, saving a minimum of registers, and continue execution at a new program counter. The event handler may perform some minimal computation and return, restoring the current threads' registers, or save the remaining registers and switch to a new task or thread context.
  • Facilities of the exception, memory management, and interface systems are themselves memory mapped, in order to provide for the manipulation of these facilities by high-level language, compiled code. The sole exception is the register file itself, for which standard store and load instructions can save and restore the state.
  • Definition
    def Thread(th) as
     forever
      catch exception
       if ((EventRegister and EventMask[th]) ≠ 0) then
        if ExceptionState=0 then
         raise EventInterrupt
        endif
       endif
       inst
    Figure US20080059766A1-20080306-P00801
    LoadMemoryX(ProgramCounter,ProgramCounter,32,L)
       Instruction(inst)
      endcatch
      case exception of
       EventInterrupt,
       ReservedInstruction,
       AccessDisallowedByVirtualAddress,
       AccessDisallowedByTag,
       AccessDisallowedByGlobalTB,
       AccessDisallowedByLocalTB,
       AccessDetailRequiredByTag,
       AccessDetailRequiredByGlobalTB,
       AccessDetailRequiredByLocalTB,
       MissInGlobalTB,
       MissInLocalTB,
       FixedPointArithmetic,
       FloatingPointArithmetic,
       GatewayDisallowed:
        case ExceptionState of
         0:
          PerformException(exception)
         1:
          PerformException(SecondException)
         2:
          raise ThirdException
        endcase
       TakenBranch:
        ContinuationState
    Figure US20080059766A1-20080306-P00801
    (ExceptionState=0) ? 0 : ContinuationState
       TakenBranchContinue:
        /* nothing */
       none, others:
        ProgramCounter
    Figure US20080059766A1-20080306-P00801
    ProgramCounter + 4
        ContinuationState
    Figure US20080059766A1-20080306-P00801
    (ExceptionState=0) ? 0 : ContinuationState
      endcase
     endforever
    enddef
  • Definition
    def PerformException(exception) as
     v
    Figure US20080059766A1-20080306-P00801
    (exception > 7) ? 7 : exception
     t
    Figure US20080059766A1-20080306-P00801
    LoadMemory(ExceptionBase,ExceptionBase+Thread*128+64+
     8*v,64,L)
     if ExceptionState = 0 then
      u
    Figure US20080059766A1-20080306-P00801
    RegRead(3,128) || RegRead(2,128) || RegRead(1,128) ||
      RegRead(0,128)
      StoreMemory(ExceptionBase,ExceptionBase+Thread*128,512,L,u)
      RegWrite(0,64,ProgramCounter63..2 || PrivilegeLevel
      RegWrite(1,64,ExceptionBase+Thread*128)
      RegWrite(2,64,exception)
      RegWrite(3,64,FailingAddress)
     endif
     PrivilegeLevel
    Figure US20080059766A1-20080306-P00801
    t1..0
     ProgramCounter
    Figure US20080059766A1-20080306-P00801
    t63..2 || 02
     case exception of
      AccessDetailRequiredByTag,
      AccessDetailRequiredByGlobalTB,
      AccessDetailRequiredByLocalTB:
       ContinuationState
    Figure US20080059766A1-20080306-P00801
    ContinuationState + 1
      others:
       /* nothing */
     endcase
     ExceptionState
    Figure US20080059766A1-20080306-P00801
    ExceptionState + 1
    enddef
  • Definition
    def PerformAccessDetail(exception) as
     if (ContinuationState = 0) or (ExceptionState ≠ 0) then
      raise exception
     else
      ContinuationState
    Figure US20080059766A1-20080306-P00801
    ContinuationState − 1
     endif
    enddef
  • Definition
    def BranchBack(rd,rc,rb) as
     c
    Figure US20080059766A1-20080306-P00801
    RegRead(rc, 64)
     if (rd ≠ 0) or(rc ≠ 0) or (rb ≠ 0) then
      raise ReservedInstruction
     endif
     a
    Figure US20080059766A1-20080306-P00801
    LoadMemory(ExceptionBase,ExceptionBase+Thread*128,128,L)
     if PrivilegeLevel > c1..0 then
      PrivilegeLevel
    Figure US20080059766A1-20080306-P00801
    c1..0
     endif
     ProgramCounter
    Figure US20080059766A1-20080306-P00801
    c63..2 || 02
    ExceptionState
    Figure US20080059766A1-20080306-P00801
    0
     RegWrite(rd,128,a)
     raise TakenBranchContinue
    enddef
  • The following data is stored into memory at the Exception Storage Address
    Figure US20080059766A1-20080306-C00056
  • The following data is stored into memory at the Exception Vector Address
    Figure US20080059766A1-20080306-C00057
  • The following data replaces the original contents of RF[3 . . . 0]:
    Figure US20080059766A1-20080306-C00058

    At: access type: 0=r, 1=w, 2=x, 3=g
    as: access size in bytes
    TODO: add size, access type to exception data in pseudocode.
    Ephemeral Program State
  • Ephemeral Program State (EPS) is defined as program state which affects the operation of certain instructions, but which does not need to be saved and restored as part of user state.
  • Because these bits are not saved and restored, the sizes and values described here are not visible to software. The sizes and values described here were chosen to be convenient for the definitions in this documentation. Any mapping of these values which does not alter the functions described may be used in a conforming implementation. For example, either of the EPS states may be implemented as a thermometer-coded vector, or the ContinuationState field may be represented with specific values for each AccessDetailRequired exception which an instruction execution may encounter.
  • There are eight bits of EPS:
    bit# Name Meaning
    1 . . . 0 ExceptionState 0: Normal processing. Asynchronous events and
    Synchronous exceptions enabled.
    1: Event/Exception handling: Synchronous exceptions
    cause SecondException. Asynchronous events are
    masked.
    2: Second exception handling: Synchronous exceptions
    cause a machine check. Asynchronous events are
    masked.
    3: illegal state
    This field is incremented by handling an event or
    exception, and cleared by the Branch Back instruction.
    7 . . . 2 ContinuationState Continuation state for AccessDetailRequired exceptions.
    A value of zero enables all exceptions of this kind. The
    value is increased by one for each
    AccessDetailRequired exception handled, for which that
    many AccessDetailRequired exceptions are continued
    past (ignored) on re-execution in normal processing
    (ex = 0). Any other kind of exception, or the completion of
    an instruction under normal processing causes the
    continuation state to be reset to zero. State does not
    need to be saved on context switch.
  • The ContinuationState bits are ephemeral because if they are cleared as a result of a context switch, the associated exceptions can happen over again. The AccessDetail exception handlers will then set the bits again, as they were before the context switch. In the case where an AccessDetail exception handler must indicate an error, care must be taken to perform some instruction at the target of the Branch Back instruction by the exception handler is exited that will operate properly with ContinuationState≠0.
  • The ExceptionState bits are ephemeral because they are explicitly set by event handling and cleared by the termination of event handling, including event handling that results in a context switch.
  • Event Register
  • Events are single-bit messages used to communicate the occurrence of events between threads and interface devices.
    Figure US20080059766A1-20080306-C00059
  • The Event Register appears at several locations in memory, with slightly different side effects on read and write operations.
    offset side effect on read side effect on write
    0 none: return event register normal: write data into event
    contents register
    512 return zero value (so read-modify- one bits in data set (to one)
    write for byte/doublet/quadlet corresponding event register
    store works) bits
    768 return zero value (so read-modify- one bits in data clear (to zero)
    write for byte/doublet/quadlet corresponding event register
    store works) bits

    Physical Address
  • The Event Register appears at three different locations, for which three functions of the Event Register are performed as described above. The physical address of an Event Register for function f, byte b is:
    Figure US20080059766A1-20080306-C00060
  • Definition
    def data
    Figure US20080059766A1-20080306-P00801
    AccessPhysicalEventRegister(pa,op,wdata) as
     f
    Figure US20080059766A1-20080306-P00801
    pa9..8
     if (pa23..10 = 0) and (pa7..4 = 0) and (f ≠ 1) then
      case f || op of
       0 || R:
        data
    Figure US20080059766A1-20080306-P00801
    064 || EventRegister
       2 || R, 3 || R:
        data
    Figure US20080059766A1-20080306-P00801
    0
       0 || W:
        EventRegister
    Figure US20080059766A1-20080306-P00801
    wdata63..0
       2 || W:
        EventRegister
    Figure US20080059766A1-20080306-P00801
    EventRegister or wdata 63..0
       3 || W:
        EventRegister
    Figure US20080059766A1-20080306-P00801
    EventRegister and ˜wdata63..0
      endcase
    else
      data
    Figure US20080059766A1-20080306-P00801
    0
     endif
    enddef

    Events:
  • The table below shows the events and their corresponding event number. The priority of these events is soft, in that dispatching from the event register is controlled by software.
  • TODO notwithstanding the above, using the E.LOGMOST.U instruction is handy for prioritizing these events, so if you've got a preference as to numbering, speak up!
    number event
    0 Clock
    1 A20M# active
    2 BF0 active
    3 BF1 active
    4 BF2 active
    5 BUSCHK# active
    6 FLUSH# active
    7 FRCMC# active
    8 IGNNE# active
    9 INIT active
    10 INTR active
    11 NMI active
    12 SMI# active
    13 STPCLK# active
    14 CPUTYP active at reset (Primary vs Dual processor)
    15 DPEN#active at reset (Dual processing enable -
    driven low by dual processor)
    16 FLUSH# active at reset (tristate test mode)
    17 INIT active at reset
    18 Bus lock broken
    19 BRYRC# active at reset (drive strength)
    20

    Event Mask
  • The Event Mask (one per thread) control whether each of the events described above is permitted to cause an exception in the corresponding thread.
  • Physical Address
  • There are as many Event Masks as threads. The physical address of an Event Mask for thread th, byte b is:
    Figure US20080059766A1-20080306-C00061
  • Definition
    def data
    Figure US20080059766A1-20080306-P00801
    AccessPhysicalEventMask(pa,op,wdata) as
     th
    Figure US20080059766A1-20080306-P00801
    pa23..19
     if (th < T) and (pa18..4 = 0) then
      case op of
       R:
        data
    Figure US20080059766A1-20080306-P00801
    064 || EventMask[th]
       W:
        EventMask[th]
    Figure US20080059766A1-20080306-P00801
    wdata63..0
      endcase
    else
      data
    Figure US20080059766A1-20080306-P00801
    0
     endif
    enddef

    Exceptions:
  • The table below shows the exceptions, the corresponding exception number, and the parameter supplied by the exception handler in register 3.
    number exception parameter (register 3)
    0 EventInterrupt
    1 MissInGlobalTB global address
    2 AccessDetailRequiredByTag global address
    3 AccessDetailRequiredByGlobalTB global address
    4 AccessDetailRequiredByLocalTB local address
    5
    6 SecondException
    7 ReservedInstruction instruction
    8 AccessDisallowedByVirtualAddress local address
    9 AccessDisallowedByTag global address
    10 AccessDisallowedByGlobalTB global address
    11 AccessDisallowedByLocalTB local address
    12 MissInLocalTB local address
    13 FixedPointArithmetic instruction
    14 FloatingPointArithmetic instruction
    15 GatewayDisallowed none
    16
    17
    18
    19
    20
    21
    22
    23
    24
    25
    TakenBranch
    TakenBranchContinue

    GlobalTBMiss Handler
  • The GlobalTBMiss exception occurs when a load, store, or instruction fetch is attempted while none of the GlobalTB entries contain a matching virtual address. The Zeus processor uses a fast software-based exception handler to fill in a missing GlobalTB entry.
  • There are several possible ways that software may maintain page tables. For purposes of this discussion, it is assumed that a virtual page table is maintained, in which 128 bit GTB values for each 4 k byte page in a linear table which is itself in virtual memory. By maintaining the page table in virtual memory, very large virtual spaces may be managed without keeping a large amount of physical memory dedicated to page tables.
  • Because the page table is kept in virtual memory, it is possible that a valid reference may cause a second GTBMiss exception if the virtual address that contains the page table is not present in the GTB. The processor is designed to permit a second exception to occur within an exception handler, causing a branch to the SecondException handler. However, to simplify the hardware involved, a SecondException exception saves no specific information about the exception—handling depends on keeping enough relevant information in registers to recover from the second exception.
  • Zeus is a multithreaded processor, which creates some special considerations in the exception handler. Unlike a single-threaded processor, it is possible that multiple threads may nearly simultaneously reference the same page and invoke two or more GTB misses, and the fully-associative construction of the GTB requires that there be no more than one matching entry for each global virtual address. Zeus provides a search-and-insert operation (GTBUpdateFill) to simplify the handling of the GTB. This operation also uses hardware GTB pointer registers to select GTB entries for replacement in FIFO priority.
  • A further problem is that software may need to modify the protection information contained in the GTB, such as to remove read and/or write access to a page in order to infer which parts of memory are in use, or to remove pages from a task. These modifications may occur concurrently with the GTBMiss handler, so software must take care to properly synchronize these operations. Zeus provides a search-and-update operation (GTBupdate) to simplify updating GTB entries.
  • When a large number of page table entries must be changed, noting the limited capacity of the GTB can reduce the work. Reading the GTB can be less work than matching all modified entries against the GTB contents. To facilititate this, Zeus also provides read access to the hardware GTB pointers to further permit scanning the GTB for entries which have been replaced since a previous scan. GTB pointer wraparound is also logged, so it can be determined that the entire GTB needs to be scanned if all entries have been replaced since a previous scan.
  • In the code below, offsets from r1 are used with the following data structure
    Offset Meaning
     0 . . . 15 r0 save
    16 . . . 32 r1 save
    32 . . . 47 r2 save
    48 . . . 63 r3 save
    512 . . . 527 r4 save
    528 . . . 535 BasePT
    536 . . . 543 GTBUpdateFill
    544 . . . 559 DummyPT
    560 . . . 639 available 96 bytes

    BasePT=512+16
    GTBUpdateFill=BasePT+8
    DummyPT=GTBUpdateFill+8
  • On a GTBMiss, the handler retrieves a base address for the virtual page table and constructs an index by shifting away the page offset bits of the virtual address. A single 128-bit indexed load retrieves the new GTB entry directly (except that a virtual page table miss causes a second exception, handled below). A single 128-bit store to the GTBUpdateFill location places the entry into the GTB, after checking to ensure that a concurrent handler has not already placed the entry into the GTB.
  • Code for GlobalTBMiss:
    li64la r2=r1,BasePT //base address for page table
    ashri r3@12 //4k pages
    l128la r3=r2,r3 //retrieve page table, SecExc if
    bad va
    2: li64la r2=r1,GTBUpdateFill //pointer to GTB update location
    si128la r3,r2,0 //save new TB entry
    li128la r3=r1,48 //restore r3
    li128la r2=r1,32 //restore r2
    li128la r1=r1,16 //restore r1
    bback //restore r0 and return
  • A second exception occurs on a virtual page table miss. It is possible to service such a page table miss directly, however, the page offset bits of the virtual address have been shifted away, and have been lost. These bits can be recovered: in such a case, a dummy GTB entry is constructed, which will cause an exception other than GTBMiss upon returning. A re-execution of the offending code will then invoke a more extensive handler, making the full virtual address available.
  • For purposes of this example, it is assumed that checking the contents of r2 against the contents of BasePT is a good way to ensure that the second exception handler was entered from the GlobalTBMiss handler.
  • Code for SecondException:
    si128la r4,r1,512 //save r4
    li64la r4=r1,BasePT //base address for page table
    bne r2,r4,1f //did we lose at page table load?
    li128la r2=r1,DummyPT //dummy page table, shifted left
    64-12 bits
    xshlmi128 r3@r2,64+12 //combine page number with
    dummy entry
    li128la r4=r1,512 //restore r4
    b 2b //fall back into GTB Miss handler
    1:

    1:
    Exceptions in Detail
  • There are no special registers to indicate details about the exception, such as the virtual address at which an access was attempted, or the operands of a floating-point operation that results in an exception. Instead, this information is available via general-purpose registers or registers stored in memory.
  • When a synchronous exception or asynchronous event occurs, the original contents of registers 0 . . . 3 are saved in memory and replaced with (0) program counter, privilege level, and ephemeral program state, (1) event data pointer, (2) exception code, and (3) when applicable, failing address or instruction. A new program counter and privilege level is loaded from memory and execution begins at the new address. After handling the exception and restoring all but one register, a branch-back instruction restores the final register and resumes execution.
  • During exception handling, any asynchronous events are kept pending until a BranchBack instruction is performed. By this mechanism, we can handle exceptions and events one at a time, without the need to interrupt and stack exceptions. Software should take care to avoid keeping the handling of asynchronous events pending for too long.
  • When a second exception occurs in a thread which is handling an exception, all the above operations occur, except for the saving and replacing of registers 0 . . . 3 in memory. A distinct exception code SecondException replaces the normal exception code. By this mechanism, a fast exception handler for GlobalTBMiss can be written, in which a second GlobalTBMiss or FixedPointOverflow exception may safely occur.
  • When a third exception occurs in a thread which is handling an exception, an immediate transfer of control occurs to the machine check vector address, with information about the exception available in the machine check cause field of the status register. The transfer of control may overwrite state that may be necessary to recover from the exception; the intent is to provide a satisfactory post-mortem indication of the characteristics of the failure.
  • This section describes in detail the conditions under which exceptions occur, the parameters passed to the exception handler, and the handling of the result of the procedure.
  • Reserved Instruction
  • The ReservedInstruction exception occurs when an instruction code which is reserved for future definition as part of the Zeus architecture is executed.
  • Register 3 contains the 32-bit instruction.
  • Access Disallowed by Virtual Address
  • This exception occurs when a load, store, branch, or gateway refers to an aligned memory operand with an improperly aligned address, or if architecture description parameter LB=1, may also occur if the add or increment of the base register or program counter which generates the address changes the unmasked upper 16 bits of the local address.
  • Register 3 contains the local address to which the access was attempted.
  • Access Disallowed by Tag
  • This exception occurs when a read (load), write (store), execute, or gateway attempts to access a virtual address for which the matching cache tag entry does not permit this access.
  • Register 3 contains the global address to which the access was attempted.
  • Access Detail Required by Tag
  • This exception occurs when a read (load), write (store), or execute attempts to access a virtual address for which the matching virtual cache entry would permit this access, but the detail bit is set.
  • Register 3 contains the global address to which the access was attempted.
  • Description
  • The exception handler should determine accessibility. If the access should be allowed, the continuepastdetail bit is set and execution returns. Upon return, execution is restarted and the access will be retried. Even if the detail bit is set in the matching virtual cache entry, access will be permitted.
  • Access Disallowed by Global TB
  • This exception occurs when a read (load), write (store), execute, or gateway attempts to access a virtual address for which the matching global TB entry does not permit this access.
  • Register 3 contains the global address to which the access was attempted.
  • Description
  • The exception handler should determine accessibility, modify the virtual memory state if desired, and return if the access should be allowed. Upon return, execution is restarted and the access will be retried.
  • Access Detail Required by Global TB
  • This exception occurs when a read (load), write (store), execute, or gateway attempts to access a virtual address for which the matching global TB entry would permit this access, but the detail bit in the global TB entry is set.
  • Register 3 contains the global address to which the access was attempted.
  • Description
  • The exception handler should determine accessibility and return if the access should be allowed. Upon return, execution is restarted and the access will be allowed. If the access is not to be allowed, the handler should not return.
  • Global TB miss
  • This exception occurs when a read (load), write (store), execute, or gateway attempts to access a virtual address for which no global TB entry matches.
  • Register 3 contains the global address to which the access was attempted.
  • Description
  • The exception handler should load a global TB entry that defines the translation and protection for this address. Upon return, execution is restarted and the global TB access will be attempted again.
  • Access Disallowed by Local TB
  • This exception occurs when a read (load), write (store), execute, or gateway attempts to access a virtual address for which the matching local TB entry does not permit this access.
  • Register 3 contains the local address to which the access was attempted.
  • Description
  • The exception handler should determine accessibility, modify the virtual memory state if desired, and return if the access should be allowed. Upon return, execution is restarted and the access will be retried.
  • Access Detail Required by Local TB
  • This exception occurs when a read (load), write (store), execute, or gateway attempts to access a virtual address for which the matching local TB entry would permit this access, but the detail bit in the local TB entry is set.
  • Register 3 contains the local address to which the access was attempted.
  • Description
  • The exception handler should determine accessibility and return if the access should be allowed. Upon return, execution is restarted and the access will be allowed. If the access is not to be allowed, the handler should not return.
  • Local TB Miss
  • This exception occurs when a read (load), write (store), execute, or gateway attempts to access a virtual address for which no local TB entry matches.
  • Register 3 contains the local address to which the access was attempted.
  • Description
  • The exception handler should load a local TB entry that defines the translation and protection for this address. Upon return, execution is restarted and the local TB access will be attempted again.
  • Floating-Point Arithmetic
  • Register 3 contains the 32-bit instruction.
  • Description
  • The address of the instruction that was the cause of the exception is passed as the contents of register 0. The exception handler should attempt to perform the function specified in the instruction and service any exceptional conditions that occur.
  • Fixed-Point Arithmetic
  • Register 3 contains the 32-bit instruction.
  • Description
  • The address of the instruction which was the cause of the exception is passed as the contents of register 0. The exception handler should attempt to perform the function specified in the instruction and service any exceptional conditions that occur.
  • Reset and Error Recovery
  • Certain external and internal events cause the processor to invoke reset or error recovery operations. These operations consist of a full or partial reset of critical machine state, including initialization of the threads to begin fetching instructions from the start vector address. Software may determine the nature of the reset or error by reading the value of the control register, in which finding the reset bit set (1) indicates that a reset has occurred, and finding both the reset bit cleared (0) indicates that a machine check has occurred. When either a reset or machine check has been indicated, the contents of the status register contain more detailed information on the cause.
  • Definition
    def PerformMachineCheck(cause) as
     ResetVirtualMemory( )
    ProgramCounter
    Figure US20080059766A1-20080306-P00801
    StartVectorAddress
     PrivilegeLevel
    Figure US20080059766A1-20080306-P00801
    3
     StatusRegister
    Figure US20080059766A1-20080306-P00801
    cause
    enddef

    Reset
  • A reset may be caused by a power-on reset, a bus reset, a write of the control register which sets the reset bit, or internally detected errors including meltdown detection, and double check.
  • A reset causes the processor to set the configuration to minimum power and low clock speed, note the cause of the reset in the status register, stabilize the phase locked loops, disable the MMU from the control register, and initialize a all threads to begin execution at the start vector address.
  • Other system state is left undefined by reset and must be explicitly initialized by software; this explicitly includes the thread register state, LTB and GTB state, superspring state, and external interface devices. The code at the start vector address is responsible for initializing these remaining system facilities, and reading further bootstrap code from an external ROM.
  • Power-on Reset
  • A reset occurs upon initial power-on. The cause of the reset is noted by initializing the Status Register and other registers to the reset values noted below.
  • Bus Reset
  • A reset occurs upon observing that the RESET signal has been at active. The cause of the reset is noted by initializing the Status Register and other registers to the reset values noted below.
  • Control Register Reset
  • A reset occurs upon writing a one to the reset bit of the Control Register. The cause of the reset is noted by initializing the Status Register and other registers to the reset values noted below.
  • Meltdown Detected Reset
  • A reset occurs if the temperature is above the threshold set by the meltdown margin field of the configuration register. The cause of the reset is noted by setting the meltdown detected bit of the Status Register.
  • Double Check Reset
  • A reset occurs if a second machine check occurs that prevents recovery from the first machine check. Specifically, the occurrence of an exception in event thread, watchdog timer error, or bus error while any machine check cause bit is still set in the Status Register results in a double machine check reset. The cause of the reset is noted by setting the double check bit of the Status Register.
  • Machine Check
  • Detected hardware errors, such as communications errors in the bus, a watchdog timeout error, or internal cache parity errors, invoke a machine check. A machine check will disable the MMU, to translate all local virtual addresses to equal physical addresses, note the cause of the exception in the Status Register, and transfer control of the all threads to the start vector address. This action is similar to that of a reset, but differs in that the configuration settings, and thread state are preserved.
  • Recovery from machine checks depends on the severity of the error and the potential loss of information as a direct cause of the error. The start vector address is designed to reach internal ROM memory, so that operation of machine check diagnostic and recovery code need not depend on proper operation or contents of any external device. The program counter and register file state of the thread prior to the machine check is lost (except for the portion of the program counter saved in the Status Register), so diagnostic and recovery code must not assume that the register file state is indicative of the prior operating state of the thread. The state of the thread is frozen similarly to that of an exception.
  • Machine check diagnostic code determines the cause of the machine check from the processor's Status Register, and as required, the status and other registers of external bus devices.
  • Recovery code will generally consume enough time that real-time interface performance targets may have been missed. Consequently, the machine check recovery software may need to repair further damage, such as interface buffer underruns and overruns as may have occurred during the intervening time.
  • This final recovery code, which re-initializes the state of the interface system and recovers a functional event thread state, may return to using the complete machine resources, as the condition which caused the machine check will have been resolved.
  • The following table lists the causes of machine check errors.
    machine check errors
    Parity or uncorrectable error in on-chip cache
    Parity or communications error in system bus
    Event Thread exception
    Watchdog timer
  • Parity or Uncorrectable Error in Cache
  • When a parity or uncorrectable error occurs in an on-chip cache, such an error is generally non-recoverable. These errors are non-recoverable because the data in such caches may reside anywhere in memory, and because the data in such caches may be the only up-to-date copy of that memory contents. Consequently, the entire contents of the memory store is lost, and the severity of the error is high enough to consider such a condition to be a system failure.
  • The machine check provides an opportunity to report such an error before shutting down a system for repairs.
  • There are specific means by which a system may recover from such an error without failure, such as by restarting from a system-level checkpoint, from which a consistent memory state can be recovered.
  • Parity or Communications Error in Bus
  • When a parity or communications error occurs in the system bus, such an error may be partially recoverable.
  • Bits corresponding to the affected bus operation are set in the processor's Status Register. Recovery software should determine which devices are affected, by querying the Status Register of each device on the affected MediaChannel channels.
  • A bus timeout may result from normal self-configuration activities.
  • If the error is simply a communications error, resetting appropriate devices and restarting tasks may recover from the error. Read and write transactions may have been underway at the time of a machine check and may or may not be reflected in the current system state.
  • If the error is from a parity error in memory, the contents of the affected area of memory is lost, and consequently the tasks associated with that memory must generally be aborted, or resumed from a task-level checkpoint. If the contents of the affected memory can be recovered from mass storage, a complete recovery is possible.
  • If the affected memory is that of a critical part of the operating system, such a condition is considered a system failure, unless recovery can be accomplished from a system-level checkpoint.
  • Watchdog Timeout Error
  • A watchdog timeout error indicates a general software or hardware failure. Such an error is generally treated as non-recoverable and fatal.
  • Event Thread Exception
  • When an event thread suffers an exception, the cause of the exception and a portion of the virtual address at which the exception occurred are noted in the Status Register. Because under normal circumstances, the event thread should be designed not to encounter exceptions, such exceptions are treated as non-recoverable, fatal errors.
  • Reset State
  • A reset or machine check causes the Zeus processor to stabilize the phase locked loops, disable the local and global TB, to translate all local virtual addresses to equal physical addresses, and initialize all threads to begin execution at the start vector address.
  • Start Address
  • The start address is used to initialize the threads with a program counter upon a reset, or machine check. These causes of such initialization can be differentiated by the contents of the Status Register.
  • The start address is a virtual address which, when “translated” by the local TB and global TB to a physical address, is designed to access the internal ROM code. The internal ROM space is chosen to minimize the number of internal resources and interfaces that must be operated to begin execution or recover from a machine check.
    Virtual/physical address description
    0xFFFF FFFF FFFF FFFC start vector address
  • Definition
    def StartProcessor as
     forever
      catch check
       EnableWatchdog
    Figure US20080059766A1-20080306-P00801
    0
       fork RunClock
       ControlRegister
    62
    Figure US20080059766A1-20080306-P00801
    0
       for th
    Figure US20080059766A1-20080306-P00801
    0 to T−1
        ProgramCounter[th]
    Figure US20080059766A1-20080306-P00801
    0xFFFF FFFF FFFF FFFC
        PrivilegeLevel[th]
    Figure US20080059766A1-20080306-P00801
    3
        fork Thread(th)
       endfor
      endcatch
      kill RunClock
      for th
    Figure US20080059766A1-20080306-P00801
    0 to T−1
       kill Thread(th)
      endfor
      PerformMachineCheck(check)
     endforever
    enddef
    def PerformMachineCheck(check) as
     case check of
      ClockWatchdog:
      CacheError:
      ThirdException:
     endcase
    enddef

    Internal ROM Code
  • Zeus internal ROM code performs reset initialization of on-chip resources, including the LZC and LOC, followed by self-testing. The BIOS ROM should be scanned for a special prefix that indicates that Zeus native code is present in the ROM, in which case the ROM code is executed directly, otherwise execution of a BIOS-level x86 emulator is begun.
  • Memory and Devices
  • Physical Memory Map
  • Zeus defines a 64-bit physical address, but while residing in a S7 pin-out, can address a maximum of 4 Gb of main memory. In other packages the core Zeus design can provide up to 64-bit external physical address spaces. Bit 63 . . . 32 of the physical address distinguishes between internal (on-chip) physical addresses, where bits 63 . . . 32 ≠FFFFFFFF, and external (off-chip) physical addresses, where bits 63 . . . 32≠FFFFFFFF.
    Address range bytes Meaning
    0000 0000 0000 0000 . . . 0000 4G External Memory
    0000 FFFF FFFF
    0000 0001 0000 0000 . . . FFFF 16E-8G External Memory expansion
    FFFE FFFF FFFF
    FFFF FFFF
    0000 0000 . . . FFFF 128K+4K Level One Cache
    FFFF 0002 0FFF
    FFFF FFFF 0002 1000 . . . FFFF 144M-132K Level One Cache expansion
    FFFF 08FF FFFF
    FFFF FFFF 0900 0000 . . . FFFF 128 Level One Cache redundancy
    FFFF 0900 007F
    FFFF FFFF 0900 0080 . . . FFFF 16M-128 LOC redundancy expansion
    FFFF 09FF FFFF
    FFFF FFFF 0A00 0000+t*219+e*16 8*T*2LE LTB thread t entry e
    FFFF FFFF 0A00 0000 . . . FFFF 8*T*2LE LTB max 8*T*2LE = 16M bytes
    FFFF 0AFF FFFF
    FFFF FFFF 0B00 0000 . . . FFFF 16M Special Bus Operations
    FFFF 0BFF FFFF
    FFFF FFFF 0C00 0000+t5 . . . GT*219+GT+e*16 T24+GE−GT GTB thread t entry e
    FFFF FFFF 0C00 0000 . . . FFFF T24+GE−GT GTB max 25+4+15 = 16M bytes
    FFFF 0CFF FFFF
    FFFF FFFF 0D00 0000+t5 . . . GT*219+GT 16*T*2−GT GTBUpdate thread t
    FFFF FFFF 0D00 0100+t5 . . . GT*219+GT 16*T*2−GT GTBUpdateFill thread t
    FFFF FFFF 0D00 0200+t5 . . . GT*219+GT 8*T*2−GT GTBLast thread t
    FFFF FFFF 0D00 0300+t5 . . . GT*219+GT 8*T*2−GT GTBFirst thread t
    FFFF FFFF 0D00 0400+t5 . . . GT*219+GT 8*T*2−GT GTBBump thread t
    FFFF FFFF 0E00 0000+t*219 8T Event Mask thread t
    FFFF FFFF 0F00 0008 . . . FFFF 256-8 Reserved
    FFFF 0F00 00FF
    FFFF FFFF 0F00 0100 . . . FFFF
    FFFF 0F00 0107
    FFFF FFFF 0F00 0108 . . . FFFF 256-8 Reserved
    FFFF 0F00 01FF
    FFFF FFFF 0F00 0200 . . . FFFF 8 Event Register bit set
    FFFF 0F00 0207
    FFFF FFFF 0F00 0208 . . . FFFF 256-8 Reserved
    FFFF 0F00 02FF
    FFFF FFFF 0F00 0300 . . . FFFF 8 Event Register bit clear
    FFFF 0F00 0307
    FFFF FFFF 0F00 0308 . . . FFFF 256-8 Reserved
    FFFF 0F00 03FF
    FFFF FFFF 0F00 0400 . . . FFFF 8 Clock Cycle
    FFFF 0F00 0407
    FFFF FFFF 0F00 0408 . . . FFFF 256-8 Reserved
    FFFF 0F00 04FF
    FFFF FFFF 0F00 0500 . . . FFFF 8 Thread
    FFFF 0F00 0507
    FFFF FFFF 0F00 0508 . . . FFFF 256-8 Reserved
    FFFF 0F00 05FF
    FFFF FFFF 0F00 0600 . . . FFFF 8 Clock Event
    FFFF 0F00 0607
    FFFF FFFF 0F00 0608 . . . FFFF 256-8 Reserved
    FFFF 0F00 06FF
    FFFF FFFF 0F00 0700 . . . FFFF 8 Clock Watchdog
    FFFF 0F00 0707
    FFFF FFFF 0F00 0708 . . . FFFF 256-8 Reserved
    FFFF 0F00 07FF
    FFFF FFFF 0F00 0800 . . . FFFF 8 Tally Counter 0
    FFFF 0F00 0807
    FFFF FFFF 0F00 0808 . . . FFFF 256-8 Reserved
    FFFF 0F00 08FF
    FFFF FFFF 0F00 0900 . . . FFFF 8 Tally Control 0
    FFFF 0F00 0907
    FFFF FFFF 0F00 0908 . . . FFFF 256-8 Reserved
    FFFF 0F00 09FF
    FFFF FFFF 0F00 0A00 . . . FFFF 8 Tally Counter 1
    FFFF 0F00 0A07
    FFFF FFFF 0F00 0A08 . . . FFFF 256-8 Reserved
    FFFF 0F00 0AFF
    FFFF FFFF 0F00 0B00 . . . FFFF 8 Tally Control 1
    FFFF 0F00 0B07
    FFFF FFFF 0F00 0B08 . . . FFFF 256-8 Reserved
    FFFF 0F00 0BFF
    FFFF FFFF 0F00 0C00 . . . FFFF 8 Exception Base
    FFFF 0F00 0C07
    FFFF FFFF 0F00 0C08 . . . FFFF 512-8 Reserved
    FFFF 0F00 0CFF
    FFFF FFFF 0F00 0C00 . . . FFFF 8 Bus Control Register
    FFFF 0F00 0D07
    FFFF FFFF 0F00 0D08 . . . FFFF 512-8 Reserved
    FFFF 0F00 0DFF
    FFFF FFFF 0F00 0E00 . . . FFFF 8 Status Register
    FFFF 0F00 0E07
    FFFF FFFF 0F00 0208 . . . FFFF 256-8 Reserved
    FFFF 0F00 02FF
    FFFF FFFF 0F00 0F00 . . . FFFF 8 Control Register
    FFFF 0F00 0F07
    FFFF FFFF 0F00 0F08 . . . FFFF Reserved
    FFFF FEFF FFFF
    FFFF FFFF FF00 0000 . . . FFFF 16M-64k Internal ROM expansion
    FFFF FFFE FFFF
    FFFF FFFF FFFF 0000 . . . FFFF 64K Internal ROM
    FFFF FFFF FFFF
  • The suffixes in the table above have the following meanings:
    letter name 2x “binary” 10y “decimal”
    b bits
    B bytes
    0 1 0 1
    K kilo 10 1 024 3 1 000
    M mega 20 1 048 576 6 1 000 000
    G giga 30 1 073 741 824 9 1 000 000 000
    T tera 40 1 099 511 627 776 12 1 000 000 000 000
    P peta 50 1 125 899 906 842 624 15 1 000 000 000 000 000
    E exa 60 1 152 921 504 606 846 18 1 000 000 000 000 000
    976 000
  • Definition
    def data
    Figure US20080059766A1-20080306-P00801
    ReadPhysical(pa,size) as
     data,flags
    Figure US20080059766A1-20080306-P00801
    AccessPhysical(pa,size,WA,R,0)
    enddef
    def WritePhysical(pa,size,wdata) as
     data,flags
    Figure US20080059766A1-20080306-P00801
    AccessPhysical(pa,size,WA,W,wdata)
    enddef
    def data,flags
    Figure US20080059766A1-20080306-P00801
    AccessPhysical(pa,size,cc,op,wdata) as
     if (0x0000000000000000 ≦ pa ≦ 0x00000000FFFFFFFF) then
      data,flags
    Figure US20080059766A1-20080306-P00801
    AccessPhysicalBus(pa,size,cc,op,wdata)
     else
      data
    Figure US20080059766A1-20080306-P00801
    AccessPhyiscalDevices(pa,size,op,wdata)
      flags
    Figure US20080059766A1-20080306-P00801
    1
     endif
    enddef
    def data
    Figure US20080059766A1-20080306-P00801
    AccessPhysicalDevices(pa,size,op,wdata) as
     if (size=256) then
      data0
    Figure US20080059766A1-20080306-P00801
    AccessPhysicalDevices(pa,128.op.wdata127..0)
      data1
    Figure US20080059766A1-20080306-P00801
    AccessPhysicalDevices(pa+16,128.op.wdata255..128)
      data
    Figure US20080059766A1-20080306-P00801
    data1 || data0
     elseif (0xFFFFFFFF0B000000 ≦ pa ≦ 0xFFFFFFFF0BFFFFFF) then
      //don't perform RMW on this region
      data
    Figure US20080059766A1-20080306-P00801
    AccessPhysicalOtherBus(pa,size,op,wdata)
     elseif (op=W) and (size<128) then
      //this code should change to check pa4..0≠0 and size<sizeofreg
      rdata
    Figure US20080059766A1-20080306-P00801
    AccessPhysicalDevices(pa and ˜15,128,R,0)
      bs
    Figure US20080059766A1-20080306-P00801
    8*(pa and 15)
      be
    Figure US20080059766A1-20080306-P00801
    bs + size
      hdata
    Figure US20080059766A1-20080306-P00801
    rdata127..be || wdatabe−1..bs || rdatabs−1..0
      data
    Figure US20080059766A1-20080306-P00801
    AccessPhysicalDevices(pa and ˜15,128,W,hdata)
     elseif (0x0000000100000000 ≦ pa ≦ 0xFFFFFFFEFFFFFFFF) then
      data
    Figure US20080059766A1-20080306-P00801
    0
     elseif (0xFFFFFFFF00000000 ≦ pa ≦ 0xFFFFFFFF08FFFFFF) then
      data,
    Figure US20080059766A1-20080306-P00801
    AccessPhysicalLOC(pa,op,wdata)
     elseif (0xFFFFFFFF09000000 ≦ pa ≦ 0xFFFFFFFF09FFFFFF) then
      data
    Figure US20080059766A1-20080306-P00801
    AccessPhysicalLOCRedundancy(pa,op,wdata)
     elseif (0xFFFFFFFF0A000000 ≦ pa ≦ 0xFFFFFFFF0AFFFFFF) then
      data
    Figure US20080059766A1-20080306-P00801
    AccessPhysicalLTB(pa,op,wdata)
     elseif (0xFFFFFFFF0C000000 ≦ pa ≦ 0xFFFFFFFF0CFFFFFF) then
      data
    Figure US20080059766A1-20080306-P00801
    AccessPhysicalGTB(pa,op,wdata)
     elseif (0xFFFFFFFF0D000000 ≦ pa ≦ 0xFFFFFFFF0DFFFFFF) then
      data
    Figure US20080059766A1-20080306-P00801
    AccessPhysicalGTBRegisters(pa,op,wdata)
     elseif (0xFFFFFFFF0E000000 ≦ pa ≦ 0xFFFFFFFF0EFFFFFF) then
      data
    Figure US20080059766A1-20080306-P00801
    AccessPhysicalEventMask(pa,op,wdata)
     elseif (0xFFFFFFFF0F000000 ≦ pa ≦ 0xFFFFFFFF0FFFFFFF) then
      data
    Figure US20080059766A1-20080306-P00801
    AccessPhysicalSpecialRegisters(pa,op,wdata)
     elseif (0xFFFFFFFF10000000 ≦ pa ≦ 0xFFFFFFFFFEFFFFFF) then
      data
    Figure US20080059766A1-20080306-P00801
    0
     elseif (0xFFFFFFFFFF000000 ≦ pa ≦ 0xFFFFFFFFFFFFFFFF) then
      data
    Figure US20080059766A1-20080306-P00801
    AccessPhysicalROM(pa,op,wdata)
     endif
    enddef
    def data
    Figure US20080059766A1-20080306-P00801
    AccessPhysicalSpecialRegisters(pa,op,wdata) as
     if (pa7..0 ≧ 0x10) then
      data
    Figure US20080059766A1-20080306-P00801
    0
     elseif (0xFFFFFFFF0F000000 ≦ pa ≦ 0xFFFFFFFF0F0003FF) then
      data
    Figure US20080059766A1-20080306-P00801
    AccessPhysicalEventRegister(pa,op,wdata)
     elseif (0xFFFFFFFF0F000500 ≦ pa ≦ 0xFFFFFFFF0F0005FF) then
      data,
    Figure US20080059766A1-20080306-P00801
    AccessPhysicalThread(pa,op,wdata)
     elseif (0xFFFFFFFF0F000400 ≦ pa ≦ 0xFFFFFFFF0F0007FF) then
      data,
    Figure US20080059766A1-20080306-P00801
    AccessPhysicalClock(pa,op,wdata)
     elseif (0xFFFFFFFF0F000800 ≦ pa ≦ 0xFFFFFFFF0F000BFF) then
      data,
    Figure US20080059766A1-20080306-P00801
    AccessPhysicalTally(pa,op,wdata)
     elseif (0xFFFFFFFF0F000C00 ≦ pa ≦ 0xFFFFFFFF0F000CFF) then
      data,
    Figure US20080059766A1-20080306-P00801
    AccessPhysicalExceptionBase(pa,op,wdata)
     elseif (0xFFFFFFFF0F000D00 ≦ pa ≦ 0xFFFFFFFF0F000DFF) then
      data,
    Figure US20080059766A1-20080306-P00801
    AccessPhysicalBusControl(pa,op,wdata)
     elseif (0xFFFFFFFF0F000E00 ≦ pa ≦ 0xFFFFFFFF0F000EFF) then
      data,
    Figure US20080059766A1-20080306-P00801
    AccessPhysicalStatus(pa,op,wdata)
     elseif (0xFFFFFFFF0F000F00 ≦ pa ≦ 0xFFFFFFFF0F000FFF) then
      data,
    Figure US20080059766A1-20080306-P00801
    AccessPhysicalControl(pa,op,wdata)
     endif
    enddef

    Architecture Description Register
  • The last hexlet of the internal ROM contains data that describes implementation-dependent choices within the architecture specification. The last quadlet of the internal ROM contains a branch-immediate instruction, so the architecture description is limited to 96 bits.
    Address range bytes Meaning
    FFFF FFFF FFFF FFFC . . . FFFF 4 Reset address
    FFFF FFFF FFFF
    FFFF FFFF FFFF FFF0 . . . FFFF 12 Architecture Description
    FFFF FFFF FFFB Register
  • The table below indicates the detailed layout of the Architecture Description Register.
    bits field name value range interpretation
    127 . . . 96  bi start Contains a branch instruction for bootstrap
    from internal ROM
    95 . . . 23 0 0 0 reserved
    22 . . . 21 GT 1 0 . . . 3 log2 threads which share a global TB
    20 . . . 17 GE 7  0 . . . 15 log2 entries in global TB
    16 LB 1 0 . . . 1 local TB based on base register
    15 . . . 14 LE 1 0 . . . 3 log2 entries in local TB (per thread)
    13 CT 1 0 . . . 1 dedicated tags in first-level cache
    12 . . . 10 CS 2 0 . . . 7 log2 cache blocks in first-level cache set
    9 . . . 5 CE 9  0 . . . 31 log2 cache blocks in first-level cache
    4 . . . 0 T 4  1 . . . 31 number of execution threads
  • The architecture description register contains a machine-readable version of the architecture framework parameters: T, CE, CS, CT, LE, GE, and GT described in the Architectural Framework section on page 25.
  • Status Register
  • The status register is a 64-bit register with both read and write access, though the only legal value which may be written is a zero, to clear the register. The result of writing a non-zero value is not specified.
    bits field name value range interpretation
    63 power-on 1 0 . . . 1 This bit is set when a power-on reset has
    caused a reset.
    62 internal reset 0 0 . . . 1 This bit is set when writing to the control
    register caused a reset.
    61 bus reset 0 0 . . . 1 This bit is set when a bus reset has caused a
    reset.
    60 double check 0 0 . . . 1 This bit is set when a double machine check
    has caused a reset.
    59 meltdown 0 0 . . . 1 This bit is set when the meltdown detector has
    caused a reset.
    58 . . . 56 0  0* 0 Reserved for other machine check causes.
    55 event 0 0 . . . 1 This bit is set when an exception in event
    exception thread has caused a machine check.
    54 watchdog 0 0 . . . 1 This bit is set when a watchdog timeout has
    timeout caused a machine check.
    53 bus error 0 0 . . . 1 This bit is set when a bus error has caused a
    machine check.
    52 cache error 0 0 . . . 1 This bit is set when a cache error has caused
    a machine check.
    51 vm error 0 0 . . . 1 This bit is set when a virtual memory error has
    caused a machine check.
    50 . . . 48 0  0* 0 Reserved for other machine check causes.
    47 . . . 32 machine check  0*   0 . . . 4095 Set to exception code if Exception in event
    detail thread. Set to bus error code is bus error.
    31 . . . 0  machine check 0 0 Set to indicate bits 31 . . . 0 of the value of the
    program thread 0 program counter at the initiation of a
    counter machine check.
  • The power-on bit of the status register is set upon the completion of a power-on reset.
  • The bus reset bit of the status register is set upon the completion of a bus reset initiated by the RESET pin of the Socket 7 interface.
  • The double check bit of the status register is set when a second machine check occurs that prevents recovery from the first machine check, or which is indicative of machine check recovery software failure. Specifically, the occurrence of an event exception, watchdog timeout, bus error, or meltdown while any reset or machine check cause bit of the status register is still set results in a double check reset.
  • The meltdown bit of the status register is set when the meltdown detector has discovered an on-chip temperature above the threshold set by the meltdown threshold field of the control register, which causes a reset to occur.
  • The event exception bit of the status register is set when an event thread suffers an exception, which causes a machine check. The exception code is loaded into the machine check detail field of the status register, and the machine check program counter is loaded with the low-order 32 bits of the program counter and privilege level.
  • The watchdog timeout bit of the status register is set when the watchdog timer register is equal to the clock cycle register, causing a machine check.
  • The bus error bit of the status register is set when a bus transaction error (bus timeout, invalid transaction code, invalid address, parity errors) has caused a machine check.
  • The cache error bit of the status register is set when a cache error, such as a cache parity error has caused a machine check.
  • The vm error bit of the status register is set when a virtual memory error, such as a GTB multiple-entry selection error has caused a machine check.
  • The machine check detail field of the status register is set when a machine check has been completed. For an exception in event thread, the value indicates the type of exception for which the most recent machine check has been reported. For a bus error, this field may indicate additional detail on the cause of the bus error. For a cache error, this field may indicate the address of the error at which the cache parity error was detected
  • The machine check program counter field of the status register is loaded with bits 31 . . . 0 of the program counter and privilege level at which the most recent machine check has occurred. The value in this field provides a limited diagnostic capability for purposes of software development, or possibly for error recovery.
  • Physical Address
  • The physical address of the Status Register, byte b is:
    Figure US20080059766A1-20080306-C00062
  • Definition
    def data
    Figure US20080059766A1-20080306-P00801
    AccessPhysicalStatus(pa,op,wdata) as
     case op of
      R:
       data
    Figure US20080059766A1-20080306-P00801
    064 || StatusRegister
      W:
       StatusRegister
    Figure US20080059766A1-20080306-P00801
    wdata63..0
     endcase
    enddef

    Control Register
  • The control register is a 64-bit register with both read and write access. It is altered only by write access to this register.
    bits field name value range interpretation
    63 reset 0 0 . . . 1 set to invoke internal reset
    62 MMU 0 0 . . . 1 set to enable the MMU
    61 LOC parity 0 0 . . . 1 set to enable LOC parity
    60 meltdown 0 0 . . . 1 set to enable meltdown detector
    59 . . . 57 LOC timing 0 0 . . . 7 adjust LOC timing 0
    Figure US20080059766A1-20080306-P00802
    slow . . .
    7
    Figure US20080059766A1-20080306-P00802
    fast
    56 . . . 55 LOC stress 0 0 . . . 3 adjust LOC stress 0
    Figure US20080059766A1-20080306-P00802
    normal
    54 . . . 52 clock timing 0 0 . . . 7 adjust clock timing 0
    Figure US20080059766A1-20080306-P00802
    slow . . .
    7
    Figure US20080059766A1-20080306-P00802
    fast
    51 . . . 12 0 0 0 Reserved
    11 . . . 8  global access  0*  0 . . . 15 global access
    7 . . . 0 niche limit  0*  0 . . . 127 niche limit
  • The reset bit of the control register provides the ability to reset an individual Zeus device in a system. Writing a one (1) to this bit is equivalent to a power-on reset or a bus reset. The duration of the reset is sufficient for the operating state changes to have taken effect. At the completion of the reset operation, the internal reset bit of the status register is set and the reset bit of the control register is cleared (0).
  • The MMU bit of the control register provides the ability to enable or disable the MMU features of the Zeus processor. Writing a zero (0) to this bit disables the MMU, causing all MMU-related exceptions to be disabled and causing all load, store, program and gateway virtual addresses to be treated as physical addresses. Writing a one (1) to this bit enables the MMU and MMU-related exceptions. On a reset or machine check, this bit is cleared (0), thus disabling the MMU.
  • The parity bit of the control register provides the ability to enable or disable the cache parity feature of the Zeus processor. Writing a zero (0) to this bit disables the parity check, causing the parity check machine check to be disabled. Writing a one (1) to this bit enables the cache parity machine check. On a reset or machine check, this bit is cleared (0), thus disabling the cache parity check.
  • The meltdown bit of the control register provides the ability to enable or disable the meltdown detection feature of the Zeus processor. Writing a zero (0) to this bit disables the meltdown detector, causing the meltdown detected machine check to be disabled. Writing a one (1) to this bit enables the meltdown detector. On a reset or machine check, this bit is cleared (0), thus disabling the meltdown detector.
  • The LOC timing bits of the control register provide the ability to adjust the cache timing of the Zeus processor. Writing a zero (0) to this field sets the cache timing to its slowest state, enhancing reliability but limiting clock rate. Writing a seven (7) to this field sets the cache timing to its fastest state, limiting reliability but enhancing performance. On a reset or machine check, this field is cleared (0), thus providing operation at low clock rate. Changing this register should be performed when the cache is not actively being operated.
  • The LOC stress bits of the control register provide the ability to stress the LOC parameters by adjusting voltage levels within the LOC. Writing a zero (0) to this field sets the cache parameters to its normal state, enhancing reliability. Writing a non-zero value (1, 2, or 3) to this field sets the cache parameters to levels at which cache reliability is slightly compromised. The stressed parameters are used to cause LOC cells with marginal performance to fail during self-test, so that redundancy can be employed to enhance reliability. On a reset or machine check, this field is cleared (0), thus providing operation at normal parameters. Changing this register should be performed when the cache is not actively being operated.
  • The clock timing bits of the control register provide the ability to adjust the clock timing of the Zeus processor. Writing a zero (0) to this field sets the clock timing to its slowest state, enhancing reliability but limiting clock rate. Writing a seven (7) to this field sets the clock timing to its fastest state, limiting reliability but enhancing performance. On a power on reset, bus reset, or machine check, this field is cleared (0), thus providing operation at low clock rate. The internal clock rate is set to (clock timing+1)/2*(external clock rate). Changing this register should be performed along with a control register reset.
  • The global access bits of the control register determine whether a local TB miss cause an exceptions or treatment as a global address. A single bit, selected by the privilege level active for the access from four bit configuration register field, “Global Access,” (GA) determines the result. If GAPL is zero (0), the failure causes an exception, if it is one (1), the failure causes the address to be used as a global address directly.
  • The niche limit bits of the control register determine which cache lines are used for cache access, and which lines are used for niche access. For addresses pa14 . . . 8<nl, a 7-bit address modifier register am is inclusive-or'ed against pa14 . . . 8 to determine the cache line. The cache modifier am must be set to (17-log(128-nl)∥0log(128-nl)) for proper operation. The am value does not appear in a register and is generated from the nl value.
  • Physical Address
  • The physical address of the Control Register, byte b is:
    Figure US20080059766A1-20080306-C00063
  • Definition
    def data
    Figure US20080059766A1-20080306-P00801
    AccessPhysicalControl(pa,op,wdata) as
     case op of
      R:
       data
    Figure US20080059766A1-20080306-P00801
    064 || ControlRegister
      W:
       ControlRegister
    Figure US20080059766A1-20080306-P00801
    wdata63..0
     endcase
    enddef

    Clock
  • The Zeus processor provides internal clock facilities using three registers, a clock cycle register that increments one every cycle, a clock event register that sets the clock bit in the event register, and a clock watchdog register that invokes a clock watchdog machine check. These registers are memory mapped.
  • Clock Cycle
  • Each Zeus processor includes a clock that maintains processor-clock-cycle accuracy. The value of the clock cycle register is incremented on every cycle, regardless of the number of instructions executed on that cycle. The clock cycle register is 64-bits long.
  • For testing purposes the clock cycle register is both readable and writable, though in normal operation it should be written only at system initialization time; there is no mechanism provided for adjusting the value in the clock cycle counter without the possibility of losing cycles.
    Figure US20080059766A1-20080306-C00064

    Clock Event
  • An event is asserted when the value in the clock cycle register is equal to the value in the clock event register, which sets the clock bit in the event register.
  • It is required that a sufficient number of bits be implemented in the clock event register so that the comparison with the clock cycle register overflows no more frequently than once per second. 32 bits is sufficient for a 4 GHz clock. The remaining unimplemented bits must be zero whenever read, and ignored on write. Equality is checked only against bits that are implemented in both the clock cycle and clock event registers.
  • For testing purposes the clock event register is both readable and writable, though in normal operation it is normally written to.
    Figure US20080059766A1-20080306-C00065

    Clock Watchdog
  • A Machine Check is asserted when the value in the clock cycle register is equal to the value in the clock watchdog register, which sets the watchdog timeout bit in the control register.
  • A Machine Check or a Reset, of any cause including a clock watchdog, disables the clock watchdog machine check. A write to the clock watchdog register enables the clock watchdog machine check.
  • It is required that a sufficient number of bits be implemented in the clock watchdog register so that the comparison with the clock cycle register overflows no more frequently than once per second. 32 bits is sufficient for a 4 GHz clock. The remaining unimplemented bits must be zero whenever read, and ignored on write. Equality is checked only against bits that are implemented in both the clock cycle and clock watchdog registers.
  • The clock watchdog register is both readable and writable, though in normal operation it is usually and periodically written with a sufficiently large value that the register does not equal the value in the clock cycle register before the next time it is written.
    Figure US20080059766A1-20080306-C00066

    Physical Address
  • The Clock registers appear at three different locations, for which three registers of the Clock are mapped. The Clock Cycle counter is register 0, the Clock Event is register 2, and ClockWatchdog is register 3. The physical address of a Clock Register f, byte b is:
    Figure US20080059766A1-20080306-C00067
  • Definition
    def data
    Figure US20080059766A1-20080306-P00801
    AccessPhysicalClock(pa,op,wdata) as
     f
    Figure US20080059766A1-20080306-P00801
    pa9..8
     case f || op of
      0 || R:
       data
    Figure US20080059766A1-20080306-P00801
    064 || ClockCycle
      0 || W:
       ClockCycle
    Figure US20080059766A1-20080306-P00801
    wdata63..0
      2 || R:
       data
    Figure US20080059766A1-20080306-P00801
    096 || ClockEvent
      2 || W:
       ClockEvent
    Figure US20080059766A1-20080306-P00801
    wdata31..0
      3 || R:
       data
    Figure US20080059766A1-20080306-P00801
    096 || ClockWatchdog
      3 || W:
       ClockWatchdog
    Figure US20080059766A1-20080306-P00801
    wdata31..0
       EnableWatchdog
    Figure US20080059766A1-20080306-P00801
    1
     endcase
    enddef
    def RunClock as
     forever
      ClockCycle
    Figure US20080059766A1-20080306-P00801
    ClockCycle + 1
      if EnableWatchdog and (ClockCycle31..0 = ClockWatchdog31..0) then
       raise ClockWatchdogMachineCheck
      elseif (ClockCycle31..0 = ClockEvent31..0) then
       EventRegister0
    Figure US20080059766A1-20080306-P00801
    1
      endif
      wait
     endforever
    enddef

    Tally
    Tally Counter
  • Each processor includes two counters that can tally processor-related events or operations. The values of the tally counter registers are incremented on each processor clock cycle in which specified events or operations occur. The tally counter registers do not signal events.
  • It is required that a sufficient number of bits be implemented so that the tally counter registers overflow no more frequently than once per second. 32 bits is sufficient for a 4 GHz clock. The remaining unimplemented bits must be zero whenever read, and ignored on write.
  • For testing purposes each of the tally counter registers are both readable and writable, though in normal operation each should be written only at system initialization time; there is no mechanism provided for adjusting the value in the event counter registers without the possibility of losing counts.
    Figure US20080059766A1-20080306-C00068

    Physical Address
  • The Tally Counter registers appear at two different locations, for which the two registers are mapped. The physical address of a Tally Counter register f, byte b is:
    Figure US20080059766A1-20080306-C00069

    Tally Control
  • The tally counter control registers each select one metric for one of the tally counters.
    Figure US20080059766A1-20080306-C00070
  • Each control register is loaded with a value in one of the following formats:
    Figure US20080059766A1-20080306-C00071
    flag meaning
    0 count instructions issued
    1 count instructions retired (differs by branch mispred, exceptions)
    2 count cycles in which at least one instruction is issued
    3 count cycles in which next instruction is waiting for issue
  • W E X G S L B A: include instructions of these classes
    Figure US20080059766A1-20080306-C00072
    flag meaning
    0 count bytes transferred cache/buffer to/from processor
    1 count bytes transferred memory to/from cache/buffer
    2
    3
    4 count cache hits
    5 count cycles in which at least one cache hit occurs
    6 count cache misses
    7 count cycles in which at least one cache miss occurs
    8 . . . 15
  • S L W I: include instructions of these classes (Store, Load, Wide, Instruction fetch)
    Figure US20080059766A1-20080306-C00073
    flag meaning
    0 count cycles in which a new instruction is issued
    1 count cycles in which an execution unit is busy
    2
    3 count cycles in which an instruction is waiting for issue

    n select unit number for G or A unit
  • E X T G A: include units of these classes (Ensemble, Crossbar, Translate, Group, Address)
    Figure US20080059766A1-20080306-C00074

    event: select event number from event register
    Figure US20080059766A1-20080306-C00075
  • Other valid values for the tally control fields are given by the following table:
    tally control field interpretation
    other meaning
    0 count number of instructions waiting to issue each cycle
    1 count number of instructions waiting in spring each cycle
    2 . . . 63 Reserved

    Physical Address
  • The Tally Control registers appear at two different locations, for which the two registers are mapped. The physical address of a Tally Control register f, byte b is:
    Figure US20080059766A1-20080306-C00076
  • Definition
    def data
    Figure US20080059766A1-20080306-P00801
    AccessPhysicalTally(pa,op,wdata) as
      f
    Figure US20080059766A1-20080306-P00801
    pa9
      case pa8 || op of
        0 || R:
          data
    Figure US20080059766A1-20080306-P00801
    096 || TallyCounter[f]
        0 || W:
          TallyCounter[f]
    Figure US20080059766A1-20080306-P00801
    wdata31..0
        1 || R:
          data
    Figure US20080059766A1-20080306-P00801
    0112 || TallyControl[f]
        1 || W:
          TallyControl[f]
    Figure US20080059766A1-20080306-P00801
    wdata15..0
      endcase
    enddef

    Thread Register
  • The Zeus processor includes a register that effectively contains the current thread number that reads the register. In this way, threads running identical code can discover their own identity.
  • It is required that a sufficient number of bits be implemented so that each thread receives a distinct value. Values must be consecutive, unsigned and include a zero value. The remaining unimplemented bits must be zero whenever read. Writes to this register are ignored.
    Figure US20080059766A1-20080306-C00077

    Physical Address
  • The physical address of the Thread Register, byte b is:
    Figure US20080059766A1-20080306-C00078
  • Definition
    def data
    Figure US20080059766A1-20080306-P00801
    AccessPhysicalThread(pa,op,wdata) as
      case op of
        R:
          data
    Figure US20080059766A1-20080306-P00801
    064 || Thread
        W:
          // nothing
      endcase
    enddef

    High-Level Language Accessibility
  • In one embodiment of the invention, all processor, memory, and interface resources directly accessible to high-level language programs. In one embodiment, memory is byte-addressed, using either little-endian or big-endian byte ordering. In one embodiment, for consistency with the bit ordering, and for compatibility with x86 processors, little-endian byte ordering is used when an ordering must be selected. In one embodiment, load and store instructions are available for both little-endian and big-endian byte ordering. In one embodiment, interface resources are accessible as memory-mapped registers. In one embodiment, system state is memory mapped, so that it can be manipulated by compiled code.
  • In one embodiment, instructions are specified to assemblers and other code tools in the syntax of an instruction mnemonic (operation code), then optionally white space followed by a list of operands. In one embodiment, instruction mnemonics listed in this specification are in upper case (capital) letters, assemblers accept either upper case or lower case letters in the instruction mnemonics. In this specification, instruction mnemonics contain periods (“.”) to separate elements to make them easier to understand; assemblers ignore periods within instruction mnemonics.
  • In FIGS. 31B, 31D, 32B, 33B, 34B, 35B, 36B, 38B, 38E, 38H, 39 B 39F, 40B, 41B, 42B, 43B, 43F, 431, 43L, 44A, 44F, 45B, 45H, 46B, 47A, 51B, 52B, 53B, 58B, 59B, and 60B-106B, the format of instructions to be presented to an assembler is illustrated. Following the assembler format, the format for inclusion of instructions into high-level compiled languages is indicated. Finally, the detailed structure of the instruction fields, including pseudo code used to connect the assembler and compiled formats to the instruction fields is shown. Further detailed explanation of the formats and instruction decoding is provided in the section titled “Instruction Set.”
  • In one embodiment, an instruction is specifically defined as a four-byte structure with the little-endian ordering. In one embodiment, instructions must be aligned on four-byte boundaries. In one embodiment, basic floating-point operations supported in hardware are floating-point add, subtract, multiply, divide, square root and conversions among floating-point formats and between floating-point and binary integer formats. Software libraries provide other operations required by the ANSI/IEEE floating-point standard.
  • In one embodiment, software conventions are employed at software module boundaries, in order to permit the combination of separately compiled code and to provide standard interfaces between application, library and system software. In one embodiment, register usage and procedure call conventions may be modified, simplified or optimized when a single compilation encloses procedures within a compilation unit so that the procedures have no external interfaces. For example, internal procedures may permit a greater number of register-passed parameters, or have registers allocated to avoid the need to save registers at procedure boundaries, or may use a single stack or data pointer allocation to suffice for more than one level of procedure call.
  • In one embodiment, at a procedure call boundary, registers are saved either by the caller or callee procedure, which provides a mechanism for leaf procedures to avoid needing to save registers. Compilers may choose to allocate variables into caller or callee saved registers depending on how their lifetimes overlap with procedure calls.
  • In one embodiment, procedure parameters are normally allocated in registers, starting from register 2 up to register 9. These registers hold up to 8 parameters, which may each be of any size from one byte to sixteen bytes (hexlet), including floating-point and small structure parameters. Additional parameters are passed in memory, allocated on the stack. For C procedures which use varargs.h or stdarg.h and pass parameters to further procedures, the compilers must leave room in the stack memory allocation to save registers 2 through 9 into memory contiguously with the additional stack memory parameters, so that procedures such as_doprnt can refer to the parameters as an array. Procedure return values are also allocated in registers, starting from register 2 up to register 9. Larger values are passed in memory, allocated on the stack.
  • In one embodiment, instruction scheduling is performed by a compiler. In the manner of software pipelineing, instructions should generally be scheduled so that previous operations can be completed at the time of issue. When this is not possible, the processor inserts sufficient empty cycles to perform the instructions precisely—explicit no-operation instructions are not required
  • CONCLUSION
  • Having fully described various embodiments of the invention, those skilled in the art will recognize, given the teachings herein, that numerous alternatives and equivalents exist which do not depart from the invention. It is therefore intended that the invention not be limited by the foregoing description, but only by the appended claims.

Claims (54)

1. A processor comprising: a virtual memory addressing unit; a data path; a register file comprising a plurality of registers coupled to the data path; an execution unit coupled to the data path, the execution unit capable of executing group floating-point operations in which multiple floating-point operands stored in partitioned fields of one or more of the plurality of registers are operated on to produce catenated results, wherein an elemental width of the floating-point operands is equal to or less than a width of the data path.
2. The processor of claim 1 wherein the group floating-point operations involve operating on at least two of the multiple floating-point operands in parallel.
3. The processor of claim 1 wherein the group floating-point operations involve operating on multiple floating-point operands stored in partitioned fields of more than one of the plurality of registers.
4. The processor of claim 1 wherein the group floating-point operations involve operating on multiple floating-point operands stored in partitioned fields of only one of the plurality of registers.
5. The processor of claim 4 wherein the group floating-point operations include at least one group square root operation that performs a square root computation on each of the multiple floating-point operands.
6. The processor of claim 5 wherein each of the multiple floating-point operands for the at least one group square root operation represents a double-precision floating-point value.
7. The processor of claim 5 wherein each of the multiple floating-point operands for the at least one group square root operation represents a single-precision floating-point value.
8. The processor of claim 1 wherein the catenated results are returned to a register in the plurality of registers.
9. The processor of claim 1 wherein the plurality of registers in the register file are general purpose registers that can be used as operand and result registers for group floating-point operations.
10. The processor of claim 1 wherein floating-point values can be stored in registers in a format conforming to IEEE standard 754.
11. The processor of claim 1 wherein the execution unit is capable of performing group floating-point operations on floating-point data of more than one precision.
12. The processor of claim 1 wherein the execution unit is capable of generating and handling floating-point arithmetic exceptions for group floating-point operations.
13. The processor of claim 1 wherein the execution unit is also capable of executing group integer operations in which multiple integer operands stored in partitioned fields of registers are operated on to produce a catenated result.
14. The processor of claim 13 wherein the group integer operations involve operating on at least two of the multiple integer operands in parallel.
15. The processor of claim 13 wherein the group integer operations involve operating on multiple integer operands stored in partitioned fields of more than one of the plurality of registers.
16. The processor of claim 13 wherein the group integer operations involve operating on multiple integer operands stored in partitioned fields of only one of the plurality of registers.
17. The processor of claim 13 wherein: the group floating-point operations include group add, group subtract, group compare, group multiply and group divide arithmetic operations that operate on catenated floating-point data; and the group integer operations include group add, group subtract, group compare and group multiply arithmetic operations that operate on catenated integer data.
18. The processor of claim 13 wherein the execution unit is capable of generating and handling fixed-point arithmetic exceptions for group integer operations.
19. The processor of claim 1 wherein the execution unit is capable of performing group integer operations on integer data of more than one precision.
20. The processor of claim 19 wherein the group integer operations include instructions that perform arithmetic operations on integer data having an elemental width of 8 bits, instructions that perform arithmetic operations on integer data having an elemental width of 16 bits and instructions that perform arithmetic operations on integer data having an elemental width of 32 bits.
21. The processor of claim 1 wherein the execution unit is capable of performing group data handling operations including operations that copy, operations that shift, operations that rearrange and operations that resize catenated integer data stored in a register and return catenated results of the operation.
22. The processor of claim 21 wherein the catenated results of the group data handling operation are returned to a register.
23. The processor of claim 1 wherein the execution unit is capable of performing group data handling operations on integer data having a symbol width of 8 bits, group data handling operations on integer data having a symbol width of 16 bits, and group data handling operations on integer data having a symbol width of 32 bits.
24. The processor of claim 1 wherein the execution unit is capable of performing group data handling operations comprising: a shift left operation that shifts bits of individual data elements catenated in an operand register to the left and clears empty low order bits of the individual data elements to zero; a first shift right operation that shifts bits of individual data elements catenated in an operand register to the right and fills empty high order bits of the individual data elements with a value equal to a value stored in a sign bit of the individual data element; and a second shift right operation that shifts bits of individual data elements catenated in an operand register to the right and clears empty high order bits of the individual data elements to zero.
25. The processor of claim 1 further comprising an external interface operable to receive data from an external source at a rate of at least 2 gigabits/second and communicate the received data over the data path.
26. The processor of claim 1 further comprising: an external interface operable to receive data from an external source and communicate the received data over the data path; a cache operable to retain data communicated between the external interface and the data path.
27. The processor of claim 1 wherein the execution unit is capable of executing a Galois field operation.
28. The processor of claim 1 wherein the execution unit is configurable to execute a plurality of instruction streams in parallel from a plurality of threads; and wherein the processor comprises a register file associated with each thread executing in parallel on the execution unit to support processing of the plurality of threads.
29. The processor of claim 28 wherein the execution unit executes instructions from said plurality of instruction streams in a round-robin manner.
30. The processor of claim 29 wherein the processor ensures only one thread from the plurality of threads can handle an exception at any given time.
31. The processor of claim 1 wherein the virtual memory addressing unit is capable of supporting a linear virtual address space, a segmented virtual address space and page mapping from virtual addresses to physical addresses.
32. The processor of claim 1 further comprising a plurality of memory management registers.
33. The processor of claim 1 wherein the virtual memory addressing unit is part of a general purpose processor architecture capable of generating and handling virtual memory exceptions.
34. The processor of claim 1 further comprising an instruction pipeline that has a front stage and a back stage that is decoupled from the front stage by a memory buffer.
35. The processor of claim 34 wherein the front stage handles address calculation, memory load and branch operations and the back stage handles data calculation and memory store operations.
36. The processor of claim 1 further comprising an instruction pipeline having an address calculation stage, an execution stage and a memory buffer between the address calculation stage and execution stage to delay execution of instructions not ready.
37. The processor of claim 1 wherein the register file comprises a plurality of registers configurable to receive and store 128 bits of catenated data from the data path and communicate the 128 bits of catenated data to the data path, wherein the elemental width of the catenated data may be anyone of the following types: I-bit Boolean type; 8-bit, 16-bit, 32-bit and 64-bit integer type; and 32-bit floating-point type.
38. The processor of claim 1 wherein the execution unit is capable of performing parallel operations on catenated data of a total aggregate width of 128 bits.
39. A programmable processor capable of operation independent of another host processor, the programmable processor comprising: a virtual memory addressing unit; a data path; an external interface operable to receive data from an external source and communicate the received data over the data path; a cache operable to retain data communicated between the external interface and the data path; a register file comprising a plurality of registers coupled to the data path; a multi-precision execution unit coupled to the data path, the multi-precision execution unit capable of executing group integer and group floating-point operations in which multiple operands stored in partitioned fields of one or more of the plurality of registers are operated on to produce catenated results that are returned to a register in the plurality of registers, wherein an elemental width of the operands is equal to or less than a width of the data path and wherein the multi-precision execution unit is capable of performing group integer operations on integer data of more than one precision.
40. The processor of claim 39 wherein the multi-precision execution unit comprises a plurality of functional units.
41. The processor of claim 40 wherein the plurality of functional units further comprises a first functional unit that performs arithmetic operations and a second functional unit that performs data handling operations.
42. The processor of claim 41 wherein the first functional unit performs floating point arithmetic operations.
43. The processor of claim 42 wherein the first functional unit further performs integer arithmetic operations.
44. The processor of claim 40 wherein the multi-precision execution unit is capable of performing group floating-point operations on floating-point data of more than one precision.
45. The processor of claim 40 wherein the multi-precision execution unit is capable of performing group data handling operations on integer data of more than one precision.
46. The processor of claim 40 wherein the register file comprises a plurality of registers that can be used as operand and result registers for group floating-point and group integer operations.
47. The processor of claim 40 wherein the group floating-point operations involve operating on at least two of the multiple floating-point operands in parallel.
48. The processor of claim 39 wherein the multi-precision execution unit is capable of performing parallel operations on catenated data of a total aggregate width of 128 bits.
49. The processor of claim 39 wherein for at least some group integer and group floating point operations the catenated results are returned to a register in the plurality of registers that is an operand register used for the operation.
50. A programmable processor capable of operation independent of another host processor, the programmable processor comprising: a virtual memory addressing unit; a data path; an external interface operable to receive data from an external source at a rate of at least 2 gigabits/second and communicate the received data over the data path; a cache operable to retain data communicated between the external interface and the data path; a register file comprising a plurality of registers coupled to the data path, the plurality of registers configurable to receive and store catenated data from the data path and communicate the catenated data to the data path, wherein the elemental width of the catenated data may be anyone of the following types: 8-bit, 16-bit, 32-bit and 64-bit integer type and 32-bit floating-point type; a multi-precision execution unit coupled to the data path, the multi-precision execution unit capable of executing group integer, group floating-point and group data handling operations in which multiple operands stored in partitioned fields of one or more of the plurality of registers are operated on in parallel to produce catenated results that are returned to a register in the plurality of registers, wherein an elemental width of the operands is equal to or less than a width of the data path and wherein the multi-precision execution unit is capable of performing group integer operations on integer data of more than one precision.
51. The processor of claim 50 wherein the multi-precision execution unit is capable of performing group floating-point operations on floating-point data of more than one precision.
52. The processor of claim 50 wherein the register file comprises a plurality of registers that can be used as operand and result registers for group floating-point and group integer operations.
53. The processor of claim 52 wherein the multi-precision execution unit comprises a plurality of functional units.
54. The processor of claim 53 wherein: the group floating-point operations include group add, group subtract, group compare and group multiply arithmetic operations that operate on catenated floating-point data; the group integer operations include group add, group subtract, group compare and group multiply arithmetic operations that operate on catenated integer data; and the group data handling operations include operations that copy, operations that shift and operations that resize catenated integer data.
US11/842,006 1995-08-16 2007-10-29 Method and Apparatus for Improved Programmable Processor Abandoned US20080059766A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/842,006 US20080059766A1 (en) 1995-08-16 2007-10-29 Method and Apparatus for Improved Programmable Processor

Applications Claiming Priority (11)

Application Number Priority Date Filing Date Title
US08/516,036 US5742840A (en) 1995-08-16 1995-08-16 General purpose, multiple precision parallel operation, programmable media processor
US08/754,827 US5822603A (en) 1995-08-16 1996-11-22 High bandwidth media processor interface for transmitting data in the form of packets with requests linked to associated responses by identification data
US9763598P 1998-08-24 1998-08-24
US09/169,963 US6006318A (en) 1995-08-16 1998-10-13 General purpose, dynamic partitioning, programmable media processor
US09/382,402 US6295599B1 (en) 1995-08-16 1999-08-24 System and method for providing a wide operand architecture
US09/534,745 US6643765B1 (en) 1995-08-16 2000-03-24 Programmable processor with group floating point operations
US09/922,319 US6725356B2 (en) 1995-08-16 2001-08-02 System with wide operand architecture, and method
US10/436,340 US7516308B2 (en) 1995-08-16 2003-05-13 Processor for performing group floating-point operations
US10/646,787 US7216217B2 (en) 1995-08-16 2003-08-25 Programmable processor with group floating-point operations
US11/511,466 US20080222398A1 (en) 1995-08-16 2006-08-29 Programmable processor with group floating-point operations
US11/842,006 US20080059766A1 (en) 1995-08-16 2007-10-29 Method and Apparatus for Improved Programmable Processor

Related Parent Applications (2)

Application Number Title Priority Date Filing Date
US10/436,340 Continuation US7516308B2 (en) 1995-08-16 2003-05-13 Processor for performing group floating-point operations
US11/511,466 Continuation US20080222398A1 (en) 1995-08-16 2006-08-29 Programmable processor with group floating-point operations

Publications (1)

Publication Number Publication Date
US20080059766A1 true US20080059766A1 (en) 2008-03-06

Family

ID=29273786

Family Applications (29)

Application Number Title Priority Date Filing Date
US09/534,745 Expired - Fee Related US6643765B1 (en) 1995-08-16 2000-03-24 Programmable processor with group floating point operations
US10/436,340 Expired - Fee Related US7516308B2 (en) 1995-08-16 2003-05-13 Processor for performing group floating-point operations
US10/705,946 Expired - Fee Related US7260708B2 (en) 1995-08-16 2003-11-13 Programmable processor and method for partitioned group shift
US10/712,430 Expired - Fee Related US7353367B2 (en) 1995-08-16 2003-11-14 System and software for catenated group shift instruction
US10/716,568 Expired - Fee Related US7386706B2 (en) 1995-08-16 2003-11-20 System and software for matched aligned and unaligned storage instructions
US10/716,561 Expired - Fee Related US7222225B2 (en) 1995-08-16 2003-11-20 Programmable processor and method for matched aligned and unaligned storage instructions
US10/757,516 Expired - Fee Related US7526635B2 (en) 1995-08-16 2004-01-15 Programmable processor and system for store multiplex operation
US10/757,524 Expired - Fee Related US7213131B2 (en) 1995-08-16 2004-01-15 Programmable processor and method for partitioned group element selection operation
US10/757,515 Expired - Fee Related US7430655B2 (en) 1995-08-16 2004-01-15 Method and software for multithreaded processor with partitioned operations
US10/757,851 Expired - Fee Related US7660972B2 (en) 1995-08-16 2004-01-16 Method and software for partitioned floating-point multiply-add operation
US10/757,866 Expired - Fee Related US7565515B2 (en) 1995-08-16 2004-01-16 Method and software for store multiplex operation
US10/757,925 Expired - Fee Related US8001360B2 (en) 1995-08-16 2004-01-16 Method and software for partitioned group element selection operation
US10/757,939 Expired - Fee Related US7987344B2 (en) 1995-08-16 2004-01-16 Multithreaded programmable processor and system with partitioned operations
US10/757,836 Expired - Fee Related US7464252B2 (en) 1995-08-16 2004-01-16 Programmable processor and system for partitioned floating-point multiply-add operation
US11/878,805 Expired - Fee Related US7660973B2 (en) 1995-08-16 2007-07-27 System and apparatus for group data operations
US11/878,803 Expired - Fee Related US8117426B2 (en) 1995-08-16 2007-07-27 System and apparatus for group floating-point arithmetic operations
US11/878,814 Expired - Fee Related US7730287B2 (en) 1995-08-16 2007-07-27 Method and software for group floating-point arithmetic operations
US11/878,804 Expired - Fee Related US7818548B2 (en) 1995-08-16 2007-07-27 Method and software for group data operations
US11/841,964 Abandoned US20080072020A1 (en) 1995-08-16 2007-08-20 Method and Apparatus for Programmable Processor
US11/842,025 Abandoned US20080104376A1 (en) 1995-08-16 2007-10-29 Method and Apparatus for Performing Group Instructions
US11/842,098 Abandoned US20080065862A1 (en) 1995-08-16 2007-10-29 Method and Apparatus for Performing Data Handling Operations
US11/842,077 Expired - Fee Related US7653806B2 (en) 1995-08-16 2007-10-29 Method and apparatus for performing improved group floating-point operations
US11/842,119 Abandoned US20080065860A1 (en) 1995-08-16 2007-10-29 Method and Apparatus for Performing Improved Data Handling Operations
US11/842,006 Abandoned US20080059766A1 (en) 1995-08-16 2007-10-29 Method and Apparatus for Improved Programmable Processor
US11/842,055 Abandoned US20080040584A1 (en) 1995-08-16 2007-10-29 Method and Apparatus for Performing Group Floating-Point Operations
US13/310,508 Abandoned US20120204013A1 (en) 1995-08-16 2011-12-02 System and apparatus for group floating-point arithmetic operations
US13/493,750 Expired - Fee Related US8683182B2 (en) 1995-08-16 2012-06-11 System and apparatus for group floating-point inflate and deflate operations
US13/493,738 Expired - Fee Related US8769248B2 (en) 1995-08-16 2012-06-11 System and apparatus for group floating-point inflate and deflate operations
US14/223,741 Abandoned US20140351565A1 (en) 1995-08-16 2014-03-24 System and apparatus for group floating-point inflate and deflate operations

Family Applications Before (23)

Application Number Title Priority Date Filing Date
US09/534,745 Expired - Fee Related US6643765B1 (en) 1995-08-16 2000-03-24 Programmable processor with group floating point operations
US10/436,340 Expired - Fee Related US7516308B2 (en) 1995-08-16 2003-05-13 Processor for performing group floating-point operations
US10/705,946 Expired - Fee Related US7260708B2 (en) 1995-08-16 2003-11-13 Programmable processor and method for partitioned group shift
US10/712,430 Expired - Fee Related US7353367B2 (en) 1995-08-16 2003-11-14 System and software for catenated group shift instruction
US10/716,568 Expired - Fee Related US7386706B2 (en) 1995-08-16 2003-11-20 System and software for matched aligned and unaligned storage instructions
US10/716,561 Expired - Fee Related US7222225B2 (en) 1995-08-16 2003-11-20 Programmable processor and method for matched aligned and unaligned storage instructions
US10/757,516 Expired - Fee Related US7526635B2 (en) 1995-08-16 2004-01-15 Programmable processor and system for store multiplex operation
US10/757,524 Expired - Fee Related US7213131B2 (en) 1995-08-16 2004-01-15 Programmable processor and method for partitioned group element selection operation
US10/757,515 Expired - Fee Related US7430655B2 (en) 1995-08-16 2004-01-15 Method and software for multithreaded processor with partitioned operations
US10/757,851 Expired - Fee Related US7660972B2 (en) 1995-08-16 2004-01-16 Method and software for partitioned floating-point multiply-add operation
US10/757,866 Expired - Fee Related US7565515B2 (en) 1995-08-16 2004-01-16 Method and software for store multiplex operation
US10/757,925 Expired - Fee Related US8001360B2 (en) 1995-08-16 2004-01-16 Method and software for partitioned group element selection operation
US10/757,939 Expired - Fee Related US7987344B2 (en) 1995-08-16 2004-01-16 Multithreaded programmable processor and system with partitioned operations
US10/757,836 Expired - Fee Related US7464252B2 (en) 1995-08-16 2004-01-16 Programmable processor and system for partitioned floating-point multiply-add operation
US11/878,805 Expired - Fee Related US7660973B2 (en) 1995-08-16 2007-07-27 System and apparatus for group data operations
US11/878,803 Expired - Fee Related US8117426B2 (en) 1995-08-16 2007-07-27 System and apparatus for group floating-point arithmetic operations
US11/878,814 Expired - Fee Related US7730287B2 (en) 1995-08-16 2007-07-27 Method and software for group floating-point arithmetic operations
US11/878,804 Expired - Fee Related US7818548B2 (en) 1995-08-16 2007-07-27 Method and software for group data operations
US11/841,964 Abandoned US20080072020A1 (en) 1995-08-16 2007-08-20 Method and Apparatus for Programmable Processor
US11/842,025 Abandoned US20080104376A1 (en) 1995-08-16 2007-10-29 Method and Apparatus for Performing Group Instructions
US11/842,098 Abandoned US20080065862A1 (en) 1995-08-16 2007-10-29 Method and Apparatus for Performing Data Handling Operations
US11/842,077 Expired - Fee Related US7653806B2 (en) 1995-08-16 2007-10-29 Method and apparatus for performing improved group floating-point operations
US11/842,119 Abandoned US20080065860A1 (en) 1995-08-16 2007-10-29 Method and Apparatus for Performing Improved Data Handling Operations

Family Applications After (5)

Application Number Title Priority Date Filing Date
US11/842,055 Abandoned US20080040584A1 (en) 1995-08-16 2007-10-29 Method and Apparatus for Performing Group Floating-Point Operations
US13/310,508 Abandoned US20120204013A1 (en) 1995-08-16 2011-12-02 System and apparatus for group floating-point arithmetic operations
US13/493,750 Expired - Fee Related US8683182B2 (en) 1995-08-16 2012-06-11 System and apparatus for group floating-point inflate and deflate operations
US13/493,738 Expired - Fee Related US8769248B2 (en) 1995-08-16 2012-06-11 System and apparatus for group floating-point inflate and deflate operations
US14/223,741 Abandoned US20140351565A1 (en) 1995-08-16 2014-03-24 System and apparatus for group floating-point inflate and deflate operations

Country Status (1)

Country Link
US (29) US6643765B1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100146022A1 (en) * 2008-12-05 2010-06-10 Crossfield Technology LLC Floating-point fused add-subtract unit
US10120819B2 (en) * 2017-03-20 2018-11-06 Nxp Usa, Inc. System and method for cache memory line fill using interrupt indication
US10869108B1 (en) 2008-09-29 2020-12-15 Calltrol Corporation Parallel signal processing system and method

Families Citing this family (228)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5742840A (en) 1995-08-16 1998-04-21 Microunity Systems Engineering, Inc. General purpose, multiple precision parallel operation, programmable media processor
US7301541B2 (en) 1995-08-16 2007-11-27 Microunity Systems Engineering, Inc. Programmable processor and method with wide operations
US7483935B2 (en) * 1995-08-16 2009-01-27 Microunity Systems Engineering, Inc. System and method to implement a matrix multiply unit of a broadband processor
US6643765B1 (en) * 1995-08-16 2003-11-04 Microunity Systems Engineering, Inc. Programmable processor with group floating point operations
US6295599B1 (en) * 1995-08-16 2001-09-25 Microunity Systems Engineering System and method for providing a wide operand architecture
US6385634B1 (en) 1995-08-31 2002-05-07 Intel Corporation Method for performing multiply-add operations on packed data
US6792523B1 (en) * 1995-12-19 2004-09-14 Intel Corporation Processor with instructions that operate on different data types stored in the same single logical register file
US5940859A (en) * 1995-12-19 1999-08-17 Intel Corporation Emptying packed data state during execution of packed data instructions
US6230253B1 (en) * 1998-03-31 2001-05-08 Intel Corporation Executing partial-width packed data instructions
US6230257B1 (en) 1998-03-31 2001-05-08 Intel Corporation Method and apparatus for staggering execution of a single packed data instruction using the same circuit
US6650327B1 (en) 1998-06-16 2003-11-18 Silicon Graphics, Inc. Display system having floating point rasterization and floating point framebuffering
US7242414B1 (en) * 1999-07-30 2007-07-10 Mips Technologies, Inc. Processor having a compare extension of an instruction set architecture
US7346643B1 (en) * 1999-07-30 2008-03-18 Mips Technologies, Inc. Processor with improved accuracy for multiply-add operations
US7102999B1 (en) 1999-11-24 2006-09-05 Juniper Networks, Inc. Switching device
US6725360B1 (en) * 2000-03-31 2004-04-20 Intel Corporation Selectively processing different size data in multiplier and ALU paths in parallel
US6857061B1 (en) 2000-04-07 2005-02-15 Nintendo Co., Ltd. Method and apparatus for obtaining a scalar value directly from a vector register
US7013302B2 (en) * 2000-12-22 2006-03-14 Nortel Networks Limited Bit field manipulation
AU2002339867A1 (en) * 2001-09-04 2003-03-18 Microunity Systems Engineering, Inc. System and method for performing multiplication
US7340495B2 (en) * 2001-10-29 2008-03-04 Intel Corporation Superior misaligned memory load and copy using merge hardware
US7272622B2 (en) * 2001-10-29 2007-09-18 Intel Corporation Method and apparatus for parallel shift right merge of data
US7685212B2 (en) * 2001-10-29 2010-03-23 Intel Corporation Fast full search motion estimation with SIMD merge instruction
US7818356B2 (en) 2001-10-29 2010-10-19 Intel Corporation Bitstream buffer manipulation with a SIMD merge instruction
US7724898B2 (en) * 2002-10-17 2010-05-25 Telefonaktiebolaget L M Ericsson (Publ) Cryptography using finite fields of odd characteristic on binary hardware
US7139900B2 (en) 2003-06-23 2006-11-21 Intel Corporation Data packet arithmetic logic devices and methods
GB2411974C (en) * 2003-12-09 2009-09-23 Advanced Risc Mach Ltd Data shift operations
GB2409065B (en) * 2003-12-09 2006-10-25 Advanced Risc Mach Ltd Multiplexing operations in SIMD processing
US7416371B2 (en) * 2004-05-04 2008-08-26 Irwin Industrial Tool Company Wood boring bit with increased speed, efficiency and ease of use
US7647024B2 (en) 2005-10-03 2010-01-12 Sellerbid, Inc. Method and system for improving client server transmission over fading channel with wireless location and authentication technology via electromagnetic radiation
EP1622009A1 (en) * 2004-07-27 2006-02-01 Texas Instruments Incorporated JSM architecture and systems
US7437537B2 (en) * 2005-02-17 2008-10-14 Qualcomm Incorporated Methods and apparatus for predicting unaligned memory access
JP4855710B2 (en) * 2005-04-28 2012-01-18 株式会社東芝 Software plug-in method and application program
US7743378B1 (en) 2005-05-13 2010-06-22 Oracle America, Inc. Method and apparatus for multi-dimensional priority determination for job scheduling
US8214836B1 (en) 2005-05-13 2012-07-03 Oracle America, Inc. Method and apparatus for job assignment and scheduling using advance reservation, backfilling, and preemption
US7752622B1 (en) 2005-05-13 2010-07-06 Oracle America, Inc. Method and apparatus for flexible job pre-emption
US7844968B1 (en) * 2005-05-13 2010-11-30 Oracle America, Inc. System for predicting earliest completion time and using static priority having initial priority and static urgency for job scheduling
US7984447B1 (en) 2005-05-13 2011-07-19 Oracle America, Inc. Method and apparatus for balancing project shares within job assignment and scheduling
WO2007003231A1 (en) * 2005-06-30 2007-01-11 Freescale Semiconductor, Inc Vector crc computation on dsp
JP2007058731A (en) * 2005-08-26 2007-03-08 Matsushita Electric Ind Co Ltd Processor and debugging apparatus corresponding to parallel instruction execution
US7577868B2 (en) * 2005-09-30 2009-08-18 Lockheed Martin Corporation No data loss IT disaster recovery over extended distances
US20070106883A1 (en) * 2005-11-07 2007-05-10 Choquette Jack H Efficient Streaming of Un-Aligned Load/Store Instructions that Save Unused Non-Aligned Data in a Scratch Register for the Next Instruction
CN103646009B (en) 2006-04-12 2016-08-17 索夫特机械公司 The apparatus and method that the instruction matrix of specifying parallel and dependent operations is processed
US20100306209A1 (en) * 2006-07-22 2010-12-02 Tien-Fu Chen Pattern matcher and its matching method
CN101627365B (en) 2006-11-14 2017-03-29 索夫特机械公司 Multi-threaded architecture
US8060728B2 (en) * 2007-05-14 2011-11-15 Apple Inc. Generating stop indicators during vector processing
US8078847B2 (en) * 2007-05-14 2011-12-13 Apple Inc. Detecting memory-hazard conflicts during vector processing
US8019976B2 (en) 2007-05-14 2011-09-13 Apple, Inc. Memory-hazard detection and avoidance instructions for vector processing
US8019977B2 (en) * 2007-05-14 2011-09-13 Apple Inc. Generating predicate values during vector processing
US8015385B2 (en) * 2007-06-05 2011-09-06 International Business Machines Corporation Arrangements for memory allocation
US8176355B2 (en) * 2007-06-07 2012-05-08 International Business Machines Corporation Recovery from hardware access errors
TWI337486B (en) * 2007-06-12 2011-02-11 Princeton Technology Corp Decoder for 4qam-nr and related method
US8078836B2 (en) 2007-12-30 2011-12-13 Intel Corporation Vector shuffle instructions operating on multiple lanes each having a plurality of data elements using a common set of per-lane control bits
US20090182985A1 (en) * 2008-01-11 2009-07-16 International Business Machines Corporation Move Facility and Instructions Therefore
EP2253092B1 (en) * 2008-03-07 2012-12-26 Imec Method for determining a data format for processing data and device employing the same
JP4629750B2 (en) * 2008-03-31 2011-02-09 日立オートモティブシステムズ株式会社 Embedded control unit
WO2009136401A2 (en) * 2008-05-07 2009-11-12 Cosmologic Ltd. Improved processing unit implementing both a local register file system and spread register file system, and a method thereof
US8255886B2 (en) * 2008-06-30 2012-08-28 Intel Corporation Methods and apparatus for analyzing SIMD code
US8271832B2 (en) * 2008-08-15 2012-09-18 Apple Inc. Non-faulting and first-faulting instructions for processing vectors
US9009528B2 (en) 2008-08-15 2015-04-14 Apple Inc. Scalar readXF instruction for processing vectors
US8484443B2 (en) 2008-08-15 2013-07-09 Apple Inc. Running multiply-accumulate instructions for processing vectors
US8793472B2 (en) 2008-08-15 2014-07-29 Apple Inc. Vector index instruction for generating a result vector with incremental values based on a start value and an increment value
US8417921B2 (en) * 2008-08-15 2013-04-09 Apple Inc. Running-min and running-max instructions for processing vectors using a base value from a key element of an input vector
US8984262B2 (en) * 2008-08-15 2015-03-17 Apple Inc. Generate predicates instruction for processing vectors
US8938642B2 (en) 2008-08-15 2015-01-20 Apple Inc. Confirm instruction for processing vectors
US20110035568A1 (en) * 2008-08-15 2011-02-10 Apple Inc. Select first and select last instructions for processing vectors
US20100325399A1 (en) * 2008-08-15 2010-12-23 Apple Inc. Vector test instruction for processing vectors
US9317283B2 (en) 2008-08-15 2016-04-19 Apple Inc. Running shift for divide instructions for processing vectors
US9335980B2 (en) 2008-08-15 2016-05-10 Apple Inc. Processing vectors using wrapping propagate instructions in the macroscalar architecture
US8504806B2 (en) 2008-08-15 2013-08-06 Apple Inc. Instruction for comparing active vector elements to preceding active elements to determine value differences
US8650383B2 (en) * 2008-08-15 2014-02-11 Apple Inc. Vector processing with predicate vector for setting element values based on key element position by executing remaining instruction
US9335997B2 (en) 2008-08-15 2016-05-10 Apple Inc. Processing vectors using a wrapping rotate previous instruction in the macroscalar architecture
US9342304B2 (en) 2008-08-15 2016-05-17 Apple Inc. Processing vectors using wrapping increment and decrement instructions in the macroscalar architecture
US9110683B2 (en) 2008-08-15 2015-08-18 Apple Inc. Predicting branches for vector partitioning loops when processing vector instructions
US8464031B2 (en) 2008-08-15 2013-06-11 Apple Inc. Running unary operation instructions for processing vectors
US8959316B2 (en) * 2008-08-15 2015-02-17 Apple Inc. Actual instruction and actual-fault instructions for processing vectors
US8862932B2 (en) 2008-08-15 2014-10-14 Apple Inc. Read XF instruction for processing vectors
US8447956B2 (en) 2008-08-15 2013-05-21 Apple Inc. Running subtract and running divide instructions for processing vectors
US9182959B2 (en) 2008-08-15 2015-11-10 Apple Inc. Predicate count and segment count instructions for processing vectors
US8356159B2 (en) * 2008-08-15 2013-01-15 Apple Inc. Break, pre-break, and remaining instructions for processing vectors
US8745360B2 (en) * 2008-09-24 2014-06-03 Apple Inc. Generating predicate values based on conditional data dependency in vector processors
US8176299B2 (en) * 2008-09-24 2012-05-08 Apple Inc. Generating stop indicators based on conditional data dependency in vector processors
US8181001B2 (en) * 2008-09-24 2012-05-15 Apple Inc. Conditional data-dependency resolution in vector processors
JP4888839B2 (en) * 2008-10-03 2012-02-29 日本電気株式会社 Vector computer system having cache memory and method of operating the same
US8045472B2 (en) 2008-12-29 2011-10-25 Apple Inc. Credit management when resource granularity is larger than credit granularity
US8365057B2 (en) * 2009-07-30 2013-01-29 Mellanox Technologies Ltd Processing of data integrity field
US8743128B2 (en) 2009-09-01 2014-06-03 Blackberry Limited Mobile wireless communications device with reset functions and related methods
US8615646B2 (en) * 2009-09-24 2013-12-24 Nvidia Corporation Unanimous branch instructions in a parallel thread processor
US8225182B2 (en) 2009-10-04 2012-07-17 Mellanox Technologies Ltd. Processing of block and transaction signatures
US8695002B2 (en) * 2009-10-20 2014-04-08 Lantiq Deutschland Gmbh Multi-threaded processors and multi-processor systems comprising shared resources
US8902912B2 (en) 2009-11-04 2014-12-02 New Jersey Institute Of Technology Differential frame based scheduling for input queued switches
US9003170B2 (en) * 2009-12-22 2015-04-07 Intel Corporation Bit range isolation instructions, methods, and apparatus
US8732437B2 (en) * 2010-01-26 2014-05-20 Oracle America, Inc. Low-overhead misalignment and reformatting support for SIMD
US8495341B2 (en) * 2010-02-17 2013-07-23 International Business Machines Corporation Instruction length based cracking for instruction of variable length storage operands
EP3156896B1 (en) 2010-09-17 2020-04-08 Soft Machines, Inc. Single cycle multi-branch prediction including shadow cache for early far branch prediction
US8577948B2 (en) * 2010-09-20 2013-11-05 Intel Corporation Split path multiply accumulate unit
KR20120066305A (en) * 2010-12-14 2012-06-22 한국전자통신연구원 Caching apparatus and method for video motion estimation and motion compensation
CN103210320B (en) 2010-12-21 2016-01-13 英派尔科技开发有限公司 For the virtual information of the location privacy in location Based service
US20120246407A1 (en) * 2011-03-21 2012-09-27 Hasenplaugh William C Method and system to improve unaligned cache memory accesses
EP2689327B1 (en) 2011-03-25 2021-07-28 Intel Corporation Executing instruction sequence code blocks by using virtual cores instantiated by partitionable engines
WO2012135041A2 (en) 2011-03-25 2012-10-04 Soft Machines, Inc. Register file segments for supporting code block execution by using virtual cores instantiated by partitionable engines
CN103635875B (en) 2011-03-25 2018-02-16 英特尔公司 For by using by can subregion engine instance the memory segment that is performed come support code block of virtual core
TWI478065B (en) * 2011-04-07 2015-03-21 Via Tech Inc Emulation of execution mode banked registers
US8880851B2 (en) 2011-04-07 2014-11-04 Via Technologies, Inc. Microprocessor that performs X86 ISA and arm ISA machine language program instructions by hardware translation into microinstructions executed by common execution pipeline
US9128701B2 (en) 2011-04-07 2015-09-08 Via Technologies, Inc. Generating constant for microinstructions from modified immediate field during instruction translation
US9645822B2 (en) 2011-04-07 2017-05-09 Via Technologies, Inc Conditional store instructions in an out-of-order execution microprocessor
US9317288B2 (en) 2011-04-07 2016-04-19 Via Technologies, Inc. Multi-core microprocessor that performs x86 ISA and ARM ISA machine language program instructions by hardware translation into microinstructions executed by common execution pipeline
US8880857B2 (en) 2011-04-07 2014-11-04 Via Technologies, Inc. Conditional ALU instruction pre-shift-generated carry flag propagation between microinstructions in read-port limited register file microprocessor
US9244686B2 (en) 2011-04-07 2016-01-26 Via Technologies, Inc. Microprocessor that translates conditional load/store instructions into variable number of microinstructions
US9141389B2 (en) 2011-04-07 2015-09-22 Via Technologies, Inc. Heterogeneous ISA microprocessor with shared hardware ISA registers
US8924695B2 (en) 2011-04-07 2014-12-30 Via Technologies, Inc. Conditional ALU instruction condition satisfaction propagation between microinstructions in read-port limited register file microprocessor
CN102707988B (en) * 2011-04-07 2015-09-09 威盛电子股份有限公司 Microprocessor and method of operating thereof
US9043580B2 (en) 2011-04-07 2015-05-26 Via Technologies, Inc. Accessing model specific registers (MSR) with different sets of distinct microinstructions for instructions of different instruction set architecture (ISA)
US9378019B2 (en) 2011-04-07 2016-06-28 Via Technologies, Inc. Conditional load instructions in an out-of-order execution microprocessor
US9898291B2 (en) 2011-04-07 2018-02-20 Via Technologies, Inc. Microprocessor with arm and X86 instruction length decoders
US9176733B2 (en) 2011-04-07 2015-11-03 Via Technologies, Inc. Load multiple and store multiple instructions in a microprocessor that emulates banked registers
US9336180B2 (en) 2011-04-07 2016-05-10 Via Technologies, Inc. Microprocessor that makes 64-bit general purpose registers available in MSR address space while operating in non-64-bit mode
US9274795B2 (en) 2011-04-07 2016-03-01 Via Technologies, Inc. Conditional non-branch instruction prediction
US9032189B2 (en) 2011-04-07 2015-05-12 Via Technologies, Inc. Efficient conditional ALU instruction in read-port limited register file microprocessor
US9146742B2 (en) 2011-04-07 2015-09-29 Via Technologies, Inc. Heterogeneous ISA microprocessor that preserves non-ISA-specific configuration state when reset to different ISA
WO2012138950A2 (en) * 2011-04-07 2012-10-11 Via Technologies, Inc. Conditional load instructions in an out-of-order execution microprocessor
US9292470B2 (en) 2011-04-07 2016-03-22 Via Technologies, Inc. Microprocessor that enables ARM ISA program to access 64-bit general purpose registers written by x86 ISA program
US8850417B2 (en) * 2011-04-15 2014-09-30 International Business Machines Corporation Method and framework for invisible code rewriting
US8943330B2 (en) 2011-05-10 2015-01-27 Qualcomm Incorporated Apparatus and method for hardware-based secure data processing using buffer memory address range rules
TWI603198B (en) 2011-05-20 2017-10-21 英特爾股份有限公司 Decentralized allocation of resources and interconnect structures to support the execution of instruction sequences by a plurality of engines
KR101639854B1 (en) 2011-05-20 2016-07-14 소프트 머신즈, 인크. An interconnect structure to support the execution of instruction sequences by a plurality of engines
DE102011079271A1 (en) * 2011-07-15 2013-01-17 Siemens Aktiengesellschaft Method for loading a computer unit of a subcomponent of an arrangement consisting of several components with different subcomponents
CN104991518B (en) 2011-09-28 2018-10-09 Ur机器人有限公司 The calibration and programming of robot
US20150039859A1 (en) 2011-11-22 2015-02-05 Soft Machines, Inc. Microprocessor accelerated code optimizer
KR101703401B1 (en) 2011-11-22 2017-02-06 소프트 머신즈, 인크. An accelerated code optimizer for a multiengine microprocessor
JP2013125355A (en) * 2011-12-13 2013-06-24 Fujitsu Ltd Arithmetic processing device and method of controlling arithmetic processing device
CN104081337B (en) * 2011-12-23 2017-11-07 英特尔公司 Systems, devices and methods for performing lateral part summation in response to single instruction
US9454367B2 (en) * 2012-03-15 2016-09-27 International Business Machines Corporation Finding the length of a set of character data having a termination character
US9389860B2 (en) 2012-04-02 2016-07-12 Apple Inc. Prediction optimizations for Macroscalar vector partitioning loops
KR101667772B1 (en) 2012-08-18 2016-10-19 퀄컴 테크놀로지스, 인크. Translation look-aside buffer with prefetching
JP6011194B2 (en) * 2012-09-21 2016-10-19 富士通株式会社 Arithmetic processing device and control method of arithmetic processing device
US9448837B2 (en) * 2012-12-27 2016-09-20 Nvidia Corporation Cooperative thread array granularity context switch during trap handling
US10289418B2 (en) 2012-12-27 2019-05-14 Nvidia Corporation Cooperative thread array granularity context switch during trap handling
US20140258667A1 (en) * 2013-03-07 2014-09-11 Mips Technologies, Inc. Apparatus and Method for Memory Operation Bonding
US9569216B2 (en) 2013-03-15 2017-02-14 Soft Machines, Inc. Method for populating a source view data structure by using register template snapshots
US9710469B2 (en) * 2013-03-15 2017-07-18 Comcast Cable Communications, Llc Efficient data distribution to multiple devices
US9811342B2 (en) * 2013-03-15 2017-11-07 Intel Corporation Method for performing dual dispatch of blocks and half blocks
WO2014150991A1 (en) 2013-03-15 2014-09-25 Soft Machines, Inc. A method for implementing a reduced size register view data structure in a microprocessor
US9632825B2 (en) 2013-03-15 2017-04-25 Intel Corporation Method and apparatus for efficient scheduling for asymmetrical execution units
KR102083390B1 (en) * 2013-03-15 2020-03-02 인텔 코포레이션 A method for emulating a guest centralized flag architecture by using a native distributed flag architecture
US9886279B2 (en) 2013-03-15 2018-02-06 Intel Corporation Method for populating and instruction view data structure by using register template snapshots
WO2014150806A1 (en) 2013-03-15 2014-09-25 Soft Machines, Inc. A method for populating register view data structure by using register template snapshots
KR101708591B1 (en) 2013-03-15 2017-02-20 소프트 머신즈, 인크. A method for executing multithreaded instructions grouped onto blocks
WO2014150971A1 (en) 2013-03-15 2014-09-25 Soft Machines, Inc. A method for dependency broadcasting through a block organized source view data structure
US9891924B2 (en) 2013-03-15 2018-02-13 Intel Corporation Method for implementing a reduced size register view data structure in a microprocessor
US9904625B2 (en) 2013-03-15 2018-02-27 Intel Corporation Methods, systems and apparatus for predicting the way of a set associative cache
US10140138B2 (en) 2013-03-15 2018-11-27 Intel Corporation Methods, systems and apparatus for supporting wide and efficient front-end operation with guest-architecture emulation
US10275255B2 (en) 2013-03-15 2019-04-30 Intel Corporation Method for dependency broadcasting through a source organized source view data structure
US9817663B2 (en) 2013-03-19 2017-11-14 Apple Inc. Enhanced Macroscalar predicate operations
US9348589B2 (en) 2013-03-19 2016-05-24 Apple Inc. Enhanced predicate registers having predicates corresponding to element widths
US9619552B2 (en) 2013-09-06 2017-04-11 Sap Se Core data services extensibility for entity-relationship models
US9176801B2 (en) 2013-09-06 2015-11-03 Sap Se Advanced data models containing declarative and programmatic constraints
US9442977B2 (en) 2013-09-06 2016-09-13 Sap Se Database language extended to accommodate entity-relationship models
US9639572B2 (en) 2013-09-06 2017-05-02 Sap Se SQL enhancements simplifying database querying
US9575819B2 (en) 2013-09-06 2017-02-21 Sap Se Local buffers for event handlers
US9430523B2 (en) 2013-09-06 2016-08-30 Sap Se Entity-relationship model extensions using annotations
US9354948B2 (en) 2013-09-06 2016-05-31 Sap Se Data models containing host language embedded constraints
US9361407B2 (en) 2013-09-06 2016-06-07 Sap Se SQL extended with transient fields for calculation expressions in enhanced data models
US9823966B1 (en) * 2013-11-11 2017-11-21 Rambus Inc. Memory component with error-detect-correct code interface
US9558192B2 (en) * 2013-11-13 2017-01-31 Datadirect Networks, Inc. Centralized parallel burst engine for high performance computing
US9355061B2 (en) * 2014-01-28 2016-05-31 Arm Limited Data processing apparatus and method for performing scan operations
US9921848B2 (en) * 2014-03-27 2018-03-20 International Business Machines Corporation Address expansion and contraction in a multithreading computer system
US10102004B2 (en) 2014-03-27 2018-10-16 International Business Machines Corporation Hardware counters to track utilization in a multithreading computer system
US9672043B2 (en) 2014-05-12 2017-06-06 International Business Machines Corporation Processing of multiple instruction streams in a parallel slice processor
US9665372B2 (en) 2014-05-12 2017-05-30 International Business Machines Corporation Parallel slice processor with dynamic instruction stream mapping
US9760375B2 (en) 2014-09-09 2017-09-12 International Business Machines Corporation Register files for storing data operated on by instructions of multiple widths
US9715386B2 (en) 2014-09-29 2017-07-25 Apple Inc. Conditional stop instruction with accurate dependency detection
US9720696B2 (en) 2014-09-30 2017-08-01 International Business Machines Corporation Independent mapping of threads
GB2530989B (en) 2014-10-06 2016-08-17 Ibm Decimal and binary floating point rounding
US9898289B2 (en) 2014-10-20 2018-02-20 International Business Machines Corporation Coordinated start interpretive execution exit for a multithreaded processor
US9916130B2 (en) * 2014-11-03 2018-03-13 Arm Limited Apparatus and method for vector processing
US9864518B2 (en) 2014-11-10 2018-01-09 International Business Machines Corporation Assigning home memory addresses to function call parameters
US9557917B2 (en) 2014-11-10 2017-01-31 International Business Machines Corporation Conditional stack frame allocation
US9977678B2 (en) 2015-01-12 2018-05-22 International Business Machines Corporation Reconfigurable parallel execution and load-store slice processor
US10133581B2 (en) 2015-01-13 2018-11-20 International Business Machines Corporation Linkable issue queue parallel execution slice for a processor
US10133576B2 (en) 2015-01-13 2018-11-20 International Business Machines Corporation Parallel slice processor having a recirculating load-store queue for fast deallocation of issue queue entries
US10084593B2 (en) * 2015-01-20 2018-09-25 Ternarylogic Llc Apparatus for unconventional non-linear feedback shift registers (NLFSRs)
US9792098B2 (en) * 2015-03-25 2017-10-17 International Business Machines Corporation Unaligned instruction relocation
US9588952B2 (en) * 2015-06-22 2017-03-07 International Business Machines Corporation Collaboratively reconstituting tables
GB2540939B (en) * 2015-07-31 2019-01-23 Advanced Risc Mach Ltd An apparatus and method for performing a splice operation
GB2543511B (en) * 2015-10-19 2018-07-25 Imagination Tech Ltd Rounding reciprocal square root results
EP3374369B1 (en) * 2015-11-12 2020-03-18 Board of Regents of the University of Nebraska Polyethylene glycol-conjugated glucocorticoid prodrugs and compositions and methods thereof
US10268521B2 (en) 2016-01-22 2019-04-23 Samsung Electronics Co., Ltd. Electronic system with data exchange mechanism and method of operation thereof
US9983875B2 (en) 2016-03-04 2018-05-29 International Business Machines Corporation Operation of a multi-slice processor preventing early dependent instruction wakeup
US10037211B2 (en) 2016-03-22 2018-07-31 International Business Machines Corporation Operation of a multi-slice processor with an expanded merge fetching queue
US10346174B2 (en) 2016-03-24 2019-07-09 International Business Machines Corporation Operation of a multi-slice processor with dynamic canceling of partial loads
US10331601B2 (en) * 2016-04-15 2019-06-25 Infinera Corporation Systems, apparatus, and methods for efficient space to time conversion of OTU multiplexed signal
US10218601B2 (en) 2016-04-18 2019-02-26 International Business Machines Corporation Method, system, and computer program product for configuring an attribute for propagating management datagrams in a switchless network
US10225185B2 (en) 2016-04-18 2019-03-05 International Business Machines Corporation Configuration mechanisms in a switchless network
US10193758B2 (en) 2016-04-18 2019-01-29 International Business Machines Corporation Communication via a connection management message that uses an attribute having information on queue pair objects of a proxy node in a switchless network
US10761854B2 (en) 2016-04-19 2020-09-01 International Business Machines Corporation Preventing hazard flushes in an instruction sequencing unit of a multi-slice processor
US10037229B2 (en) 2016-05-11 2018-07-31 International Business Machines Corporation Operation of a multi-slice processor implementing a load/store unit maintaining rejected instructions
US9934033B2 (en) 2016-06-13 2018-04-03 International Business Machines Corporation Operation of a multi-slice processor implementing simultaneous two-target loads and stores
US10042647B2 (en) 2016-06-27 2018-08-07 International Business Machines Corporation Managing a divided load reorder queue
US10318419B2 (en) 2016-08-08 2019-06-11 International Business Machines Corporation Flush avoidance in a load store unit
US10073676B2 (en) * 2016-09-21 2018-09-11 Altera Corporation Reduced floating-point precision arithmetic circuitry
EP3376371A1 (en) 2017-03-16 2018-09-19 Nxp B.V. Microprocessor system and method for load and unpack and store and pack instructions
WO2018174936A1 (en) 2017-03-20 2018-09-27 Intel Corporation Systems, methods, and apparatuses for tile matrix multiplication and accumulation
CN108628807B (en) * 2017-03-20 2022-11-25 北京百度网讯科技有限公司 Processing method, device and equipment of floating-point number matrix and computer readable storage medium
US10489877B2 (en) * 2017-04-24 2019-11-26 Intel Corporation Compute optimization mechanism
US10726514B2 (en) * 2017-04-28 2020-07-28 Intel Corporation Compute optimizations for low precision machine learning operations
US11036499B2 (en) * 2017-06-30 2021-06-15 Intel Corporation Systems, apparatuses, and methods for controllable sine and/or cosine operations
WO2019009870A1 (en) 2017-07-01 2019-01-10 Intel Corporation Context save with variable save state size
US20190138308A1 (en) * 2017-09-15 2019-05-09 MIPS Tech, LLC Unaligned memory accesses
US10318298B2 (en) * 2017-09-29 2019-06-11 Intel Corporation Apparatus and method for shifting quadwords and extracting packed words
US10474822B2 (en) * 2017-10-08 2019-11-12 Qsigma, Inc. Simultaneous multi-processor (SiMulPro) apparatus, simultaneous transmit and receive (STAR) apparatus, DRAM interface apparatus, and associated methods
US10915340B2 (en) * 2018-03-26 2021-02-09 Bank Of America Corporation Computer architecture for emulating a correlithm object processing system that places multiple correlithm objects in a distributed node network
US10860349B2 (en) * 2018-03-26 2020-12-08 Bank Of America Corporation Computer architecture for emulating a correlithm object processing system that uses portions of correlithm objects and portions of a mapping table in a distributed node network
US10915338B2 (en) * 2018-03-26 2021-02-09 Bank Of America Corporation Computer architecture for emulating a correlithm object processing system that places portions of correlithm objects in a distributed node network
US10860348B2 (en) * 2018-03-26 2020-12-08 Bank Of America Corporation Computer architecture for emulating a correlithm object processing system that places portions of correlithm objects and portions of a mapping table in a distributed node network
EP3776196A1 (en) * 2018-04-11 2021-02-17 ARM Limited Exception handling in transactions
US10929127B2 (en) * 2018-05-08 2021-02-23 Intel Corporation Systems, methods, and apparatuses utilizing an elastic floating-point number
US11171983B2 (en) * 2018-06-29 2021-11-09 Intel Corporation Techniques to provide function-level isolation with capability-based security
CN108984770A (en) * 2018-07-23 2018-12-11 北京百度网讯科技有限公司 Method and apparatus for handling data
US10776078B1 (en) * 2018-09-23 2020-09-15 Groq, Inc. Multimodal multiplier systems and methods
US10963256B2 (en) * 2018-09-28 2021-03-30 Intel Corporation Systems and methods for performing instructions to transform matrices into row-interleaved format
CN109308397B (en) * 2018-10-16 2022-05-10 武汉斗鱼网络科技有限公司 Gradient pressure testing method and device and electronic equipment
US11366663B2 (en) * 2018-11-09 2022-06-21 Intel Corporation Systems and methods for performing 16-bit floating-point vector dot product instructions
CN109947479A (en) * 2019-01-29 2019-06-28 安谋科技(中国)有限公司 Instruction executing method and its processor, medium and system
US11036545B2 (en) * 2019-03-15 2021-06-15 Intel Corporation Graphics systems and methods for accelerating synchronization using fine grain dependency check and scheduling optimizations based on available shared memory space
CN112540789A (en) * 2019-09-23 2021-03-23 阿里巴巴集团控股有限公司 Instruction processing device, processor and processing method thereof
US11188303B2 (en) * 2019-10-02 2021-11-30 Facebook, Inc. Floating point multiply hardware using decomposed component numbers
US11275701B2 (en) * 2020-06-24 2022-03-15 Qualcomm Incorporated Secure timer synchronization between function block and external SOC
US11069422B1 (en) 2020-07-07 2021-07-20 International Business Machines Corporation Testing multi-port array in integrated circuits
US20230205528A1 (en) * 2021-12-23 2023-06-29 Intel Corporation Apparatus and method for vector packed concatenate and shift of specific portions of quadwords

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5058003A (en) * 1988-12-15 1991-10-15 International Business Machines Corporation Virtual storage dynamic address translation mechanism for multiple-sized pages
US5938756A (en) * 1994-04-29 1999-08-17 Sun Microsystems, Inc. Central processing unit with integrated graphics functions
US5960012A (en) * 1997-06-23 1999-09-28 Sun Microsystems, Inc. Checksum determination using parallel computations on multiple packed data elements
US6094668A (en) * 1997-10-23 2000-07-25 Advanced Micro Devices, Inc. Floating point arithmetic unit including an efficient close data path
US6154831A (en) * 1996-12-02 2000-11-28 Advanced Micro Devices, Inc. Decoding operands for multimedia applications instruction coded with less number of bits than combination of register slots and selectable specific values
US6279099B1 (en) * 1994-04-29 2001-08-21 Sun Microsystems, Inc. Central processing unit with integrated graphics functions
US6377970B1 (en) * 1998-03-31 2002-04-23 Intel Corporation Method and apparatus for computing a sum of packed data elements using SIMD multiply circuitry

Family Cites Families (342)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US566298A (en) * 1896-08-25 Harry william charles cox and richard joseph crowley
US487660A (en) * 1892-12-06 Holder for cartridge-packs
US489393A (en) * 1893-01-03 Car-coupling
US6A (en) * 1836-08-10 Thomas blanghard
NL290823A (en) 1962-03-29
US3541516A (en) 1965-06-30 1970-11-17 Ibm Vector arithmetic multiprocessor computing system
US3462744A (en) 1966-09-28 1969-08-19 Ibm Execution unit with a common operand and resulting bussing system
US3817924A (en) * 1969-08-11 1974-06-18 Monsanto Co Polymeric ultraviolet light stabilizers prepared from phenol formaldehyde condensates
US3739352A (en) 1971-06-28 1973-06-12 Burroughs Corp Variable word width processor control
US3828175A (en) 1972-10-30 1974-08-06 Amdahl Corp Method and apparatus for division employing table-lookup and functional iteration
US3814924A (en) * 1973-03-12 1974-06-04 Control Data Corp Pipeline binary multiplier
US3831012A (en) 1973-03-28 1974-08-20 Control Data Corp Normalize shift count network
US3970993A (en) 1974-01-02 1976-07-20 Hughes Aircraft Company Cooperative-word linear array parallel processor
US4025772A (en) 1974-03-13 1977-05-24 James Nickolas Constant Digital convolver matched filter and correlator
US3934132A (en) 1974-06-10 1976-01-20 Control Data Corporation Shift network for dual width operands
US3980992A (en) 1974-11-26 1976-09-14 Burroughs Corporation Multi-microprocessing unit on a single semiconductor chip
US3987291A (en) 1975-05-01 1976-10-19 International Business Machines Corporation Parallel digital arithmetic device having a variable number of independent arithmetic zones of variable width and location
US4128880A (en) * 1976-06-30 1978-12-05 Cray Research, Inc. Computer vector register processing
US4161784A (en) 1978-01-05 1979-07-17 Honeywell Information Systems, Inc. Microprogrammable floating point arithmetic unit capable of performing arithmetic operations on long and short operands
US4333161A (en) 1978-12-29 1982-06-01 Ivor Catt Data processing apparatus operative on data passing along a serial, segmented store
EP0039227A3 (en) 1980-04-25 1982-09-01 Data General Corporation Data processing system
US4386399A (en) 1980-04-25 1983-05-31 Data General Corporation Data processing system
JPS5717049A (en) 1980-07-04 1982-01-28 Hitachi Ltd Direct memory access controlling circuit and data processing system
US4370709A (en) * 1980-08-01 1983-01-25 Tracor, Inc. Computer emulator with three segment microcode memory and two separate microcontrollers for operand derivation and execution phases
US4654781A (en) 1981-10-02 1987-03-31 Raytheon Company Byte addressable memory for variable length instructions and data
US4489393A (en) 1981-12-02 1984-12-18 Trw Inc. Monolithic discrete-time digital convolution circuit
JPS58102381A (en) 1981-12-15 1983-06-17 Nec Corp Buffer memory
US4509119A (en) * 1982-06-24 1985-04-02 International Business Machines Corporation Method for managing a buffer pool referenced by batch and interactive processes
JPH0652530B2 (en) * 1982-10-25 1994-07-06 株式会社日立製作所 Vector processor
US4661900A (en) * 1983-04-25 1987-04-28 Cray Research, Inc. Flexible chaining in vector processor with selective use of vector registers as operand and result registers
US4569016A (en) * 1983-06-30 1986-02-04 International Business Machines Corporation Mechanism for implementing one machine cycle executable mask and rotate instructions in a primitive instruction set computing system
US4595911A (en) * 1983-07-14 1986-06-17 Sperry Corporation Programmable data reformat system
JPS6057438A (en) * 1983-09-08 1985-04-03 Hitachi Ltd Virtual computer system controller
US4888682A (en) * 1983-09-09 1989-12-19 International Business Machines Corp. Parallel vector processor using multiple dedicated processors and vector registers divided into smaller registers
JPS6083176A (en) 1983-10-03 1985-05-11 インタ−ナショナル ビジネス マシ−ンズ コ−ポレ−ション Vector processor
US4701875A (en) 1983-11-26 1987-10-20 Kabushiki Kaisha Toshiba High speed convolution arithmetic circuit with multiple counters
EP0156648B1 (en) 1984-03-29 1992-09-30 Kabushiki Kaisha Toshiba Convolution arithmetic circuit for digital signal processing
US4727505A (en) 1984-03-29 1988-02-23 Kabushiki Kaisha Toshiba Convolution arithmetic circuit for digital signal processing
JPS60217435A (en) 1984-04-12 1985-10-31 Toshiba Corp Multiple accuracy floating point adding circuit
US4562537A (en) 1984-04-13 1985-12-31 Texas Instruments Incorporated High speed processor
US4785393A (en) 1984-07-09 1988-11-15 Advanced Micro Devices, Inc. 32-Bit extended function arithmetic-logic unit on a single chip
DE3577242D1 (en) 1984-08-14 1990-05-23 Trt Telecom Radio Electr PROCESSOR FOR PROCESSING DATA OF DIFFERENT PRESENTATION PRESENTATIONS AND SUITABLE MULTIPLIER FOR SUCH A PROCESSOR.
FR2569285B1 (en) 1984-08-14 1989-02-03 Trt Telecom Radio Electr PROCESSOR FOR PERFORMING DIFFERENT MODES OF DATA PROCESSING AND MULTIPLICATION DEVICE SUITABLE FOR SUCH A PROCESSOR
FR2573888B1 (en) 1984-11-23 1987-01-16 Sintra SYSTEM FOR THE SIMULTANEOUS TRANSMISSION OF DATA BLOCKS OR VECTORS BETWEEN A MEMORY AND ONE OR MORE DATA PROCESSING UNITS
US4794517A (en) 1985-04-15 1988-12-27 International Business Machines Corporation Three phased pipelined signal processor
JPS61288226A (en) 1985-06-17 1986-12-18 Panafacom Ltd External condition control system
US4809212A (en) 1985-06-19 1989-02-28 Advanced Micro Devices, Inc. High throughput extended-precision multiplier
GB8517376D0 (en) 1985-07-09 1985-08-14 Jesshope C R Processor array
US4706191A (en) * 1985-07-31 1987-11-10 Sperry Corporation Local store for scientific vector processor
EP0211613A3 (en) 1985-07-31 1989-05-10 Sperry Corporation Scientific processor vector file organization
US4875161A (en) 1985-07-31 1989-10-17 Unisys Corporation Scientific processor vector file organization
JPS6284335A (en) 1985-10-09 1987-04-17 Hitachi Ltd Multiplier circuit
US4748585A (en) 1985-12-26 1988-05-31 Chiarulli Donald M Processor utilizing reconfigurable process segments to accomodate data word length
US4953073A (en) 1986-02-06 1990-08-28 Mips Computer Systems, Inc. Cup chip having tag comparator and address translation unit on chip and connected to off-chip cache and main memories
DE3687124T2 (en) 1986-02-06 1993-03-18 Mips Computer Systems Inc FUNCTION UNIT FOR COMPUTER.
JPS62208167A (en) * 1986-03-10 1987-09-12 Hitachi Ltd Vector processor
JPS62229440A (en) * 1986-03-31 1987-10-08 Toshiba Corp Array multiplier
US4983276A (en) 1988-10-06 1991-01-08 Mobil Oil Corp. Octane improvement in catalytic cracking and cracking catalyst composition therefor
US5091846A (en) 1986-10-03 1992-02-25 Intergraph Corporation Cache providing caching/non-caching write-through and copyback modes for virtual addresses and including bus snooping to maintain coherency
FR2605769B1 (en) 1986-10-22 1988-12-09 Thomson Csf POLYNOMIAL OPERATOR IN THE GALOIS BODIES AND DIGITAL SIGNAL PROCESSING PROCESSOR COMPRISING SUCH AN OPERATOR
US4814976C1 (en) 1986-12-23 2002-06-04 Mips Tech Inc Risc computer with unaligned reference handling and method for the same
US4876660A (en) 1987-03-20 1989-10-24 Bipolar Integrated Technology, Inc. Fixed-point multiplier-accumulator architecture
US4833599A (en) 1987-04-20 1989-05-23 Multiflow Computer, Inc. Hierarchical priority branch handling for parallel execution in a parallel processor
US4920477A (en) 1987-04-20 1990-04-24 Multiflow Computer, Inc. Virtual address table look aside buffer miss recovery method and apparatus
DE3852432T2 (en) 1987-07-01 1995-07-13 Ibm Command control device for a computer system.
JP2613223B2 (en) 1987-09-10 1997-05-21 株式会社日立製作所 Arithmetic unit
US5132898A (en) 1987-09-30 1992-07-21 Mitsubishi Denki Kabushiki Kaisha System for processing data having different formats
FR2622713A1 (en) 1987-10-30 1989-05-05 Thomson Csf CALCULATION CIRCUIT USING RESIDUAL ARITHMETICS
US4866637A (en) 1987-10-30 1989-09-12 International Business Machines Corporation Pipelined lighting model processing system for a graphics workstation's shading function
US5081575A (en) 1987-11-06 1992-01-14 Oryx Corporation Highly parallel computer architecture employing crossbar switch with selectable pipeline delay
US5189636A (en) 1987-11-16 1993-02-23 Intel Corporation Dual mode combining circuitry
US5032865A (en) 1987-12-14 1991-07-16 General Dynamics Corporation Air Defense Systems Div. Calculating the dot product of large dimensional vectors in two's complement representation
US5261113A (en) 1988-01-25 1993-11-09 Digital Equipment Corporation Apparatus and method for single operand register array for vector and scalar data processing operations
US4878190A (en) 1988-01-29 1989-10-31 Texas Instruments Incorporated Floating point/integer processor with divide and square root functions
US5222230A (en) 1988-01-29 1993-06-22 Texas Instruments Incorporated Circuitry for transferring data from a data bus and temporary register into a plurality of input registers on clock edges
US4949194A (en) * 1988-02-26 1990-08-14 Quest Technology Corporation Ceramic support arm for movably positioning transducers
JP2527458B2 (en) 1988-03-04 1996-08-21 富士通株式会社 Data transfer control device
US5113508A (en) * 1988-03-08 1992-05-12 International Business Machines Corporation Data cache initialization
US4901267A (en) 1988-03-14 1990-02-13 Weitek Corporation Floating point circuit with configurable number of multiplier cycles and variable divide cycle ratio
GB2223609A (en) 1988-03-23 1990-04-11 Benchmark Technologies High-bandwidth numeric processing
US5187796A (en) * 1988-03-29 1993-02-16 Computer Motion, Inc. Three-dimensional vector co-processor having I, J, and K register files and I, J, and K execution units
US5148547A (en) 1988-04-08 1992-09-15 Thinking Machines Corporation Method and apparatus for interfacing bit-serial parallel processors to a coprocessor
DE68923901T2 (en) * 1988-04-28 1996-06-20 Mitsubishi Heavy Ind Ltd Carbon fiber reinforced carbon composites, processes for their production and their use as inner walls of nuclear fusion reactors.
US4858349A (en) * 1988-06-02 1989-08-22 Walsh Brendan R Anchoring member for a fabric stretcher
US5153848A (en) 1988-06-17 1992-10-06 Bipolar Integrated Technology, Inc. Floating point processor with internal free-running clock
GB8823077D0 (en) 1988-09-30 1988-11-09 Int Computers Ltd Data processing apparatus
US4943919A (en) * 1988-10-17 1990-07-24 The Boeing Company Central maintenance computer system and fault data handling method
US4983267A (en) * 1988-10-18 1991-01-08 Innova/Pure Water, Inc. Water deionization and contaminants removal or degradation
US4893267A (en) 1988-11-01 1990-01-09 Motorola, Inc. Method and apparatus for a data processor to support multi-mode, multi-precision integer arithmetic
WO1990005335A1 (en) 1988-11-04 1990-05-17 Hitachi, Ltd. Apparatus for multiplication, division and extraction of square root
US5046027A (en) 1988-11-08 1991-09-03 Massachusetts General Hospital Apparatus and method for processing and displaying images in a digital procesor based system
US5179651A (en) 1988-11-08 1993-01-12 Massachusetts General Hospital Apparatus for retrieval and processing of selected archived images for display at workstation terminals
CA1311063C (en) 1988-12-16 1992-12-01 Tokumichi Murakami Digital signal processor
JP2739487B2 (en) 1988-12-20 1998-04-15 株式会社日立製作所 Drawing processing device and image display device using the drawing processing device
US4969118A (en) 1989-01-13 1990-11-06 International Business Machines Corporation Floating point unit for calculating A=XY+Z having simultaneous multiply and add
US4999802A (en) 1989-01-13 1991-03-12 International Business Machines Corporation Floating point arithmetic two cycle data flow
US5253342A (en) * 1989-01-18 1993-10-12 International Business Machines Corporation Intermachine communication services
US4953119A (en) * 1989-01-27 1990-08-28 Hughes Aircraft Company Multiplier circuit with selectively interconnected pipelined multipliers for selectively multiplication of fixed and floating point numbers
US4985825A (en) 1989-02-03 1991-01-15 Digital Equipment Corporation System for delaying processing of memory access exceptions until the execution stage of an instruction pipeline of a virtual memory system based digital computer
US5222223A (en) 1989-02-03 1993-06-22 Digital Equipment Corporation Method and apparatus for ordering and queueing multiple memory requests
US5204828A (en) 1989-02-10 1993-04-20 Intel Corporation Bus apparatus having hold registers for parallel processing in a microprocessor
GB2228116B (en) 1989-02-10 1993-05-26 Intel Corp Pipelined floating-point load instruction for microprocessor
US5155816A (en) 1989-02-10 1992-10-13 Intel Corporation Pipelined apparatus and method for controlled loading of floating point data in a microprocessor
US5010508A (en) 1989-02-14 1991-04-23 Intel Corporation Prenormalization for a floating-point adder
US5157388A (en) 1989-02-14 1992-10-20 Intel Corporation Method and apparatus for graphics data interpolation
US5081698A (en) 1989-02-14 1992-01-14 Intel Corporation Method and apparatus for graphics display data manipulation
US5768575A (en) * 1989-02-24 1998-06-16 Advanced Micro Devices, Inc. Semi-Autonomous RISC pipelines for overlapped execution of RISC-like instructions within the multiple superscalar execution units of a processor having distributed pipeline control for sepculative and out-of-order execution of complex instructions
GB2229832B (en) 1989-03-30 1993-04-07 Intel Corp Byte swap instruction for memory format conversion within a microprocessor
US5067078A (en) 1989-04-17 1991-11-19 Motorola, Inc. Cache which provides status information
US4975868A (en) 1989-04-17 1990-12-04 International Business Machines Corporation Floating-point processor having pre-adjusted exponent bias for multiplication and division
CA1323451C (en) 1989-04-21 1993-10-19 Victor Jacques Menasce Cache-memory architecture
US5001662A (en) 1989-04-28 1991-03-19 Apple Computer, Inc. Method and apparatus for multi-gauge computation
US5031135A (en) * 1989-05-19 1991-07-09 Hitachi Micro Systems, Inc. Device for multi-precision and block arithmetic support in digital processors
US5233690A (en) 1989-07-28 1993-08-03 Texas Instruments Incorporated Video graphics display memory swizzle logic and expansion circuit and method
EP0410777B1 (en) 1989-07-28 1996-11-06 Texas Instruments Incorporated Video graphics display memory swizzle logic circuit and method
US5159566A (en) 1989-09-05 1992-10-27 Cyrix Corporation Method and apparatus for performing the square root function using a rectangular aspect ratio multiplier
JPH0398145A (en) 1989-09-11 1991-04-23 Hitachi Ltd Microprocessor
US4956801A (en) 1989-09-15 1990-09-11 Sun Microsystems, Inc. Matrix arithmetic circuit for processing matrix transformation operations
US5239654A (en) 1989-11-17 1993-08-24 Texas Instruments Incorporated Dual mode SIMD/MIMD processor providing reuse of MIMD instruction memories as data memories when operating in SIMD mode
US5212777A (en) 1989-11-17 1993-05-18 Texas Instruments Incorporated Multi-processor reconfigurable in single instruction multiple data (SIMD) and multiple instruction multiple data (MIMD) modes and method of operation
EP0429733B1 (en) 1989-11-17 1999-04-28 Texas Instruments Incorporated Multiprocessor with crossbar between processors and memories
JPH0774985B2 (en) 1989-11-22 1995-08-09 インターナショナル・ビジネス・マシーンズ・コーポレーション Data compression system
US5175862A (en) 1989-12-29 1992-12-29 Supercomputer Systems Limited Partnership Method and apparatus for a special purpose arithmetic boolean unit
US5208914A (en) 1989-12-29 1993-05-04 Superconductor Systems Limited Partnership Method and apparatus for non-sequential resource access
JPH0722050B2 (en) * 1990-02-09 1995-03-08 株式会社タムラ製作所 Thin transformer
US5241636A (en) 1990-02-14 1993-08-31 Intel Corporation Method for parallel instruction execution in a computer
US5247671A (en) 1990-02-14 1993-09-21 International Business Machines Corporation Scalable schedules for serial communications controller in data processing systems
KR930008050B1 (en) 1990-02-16 1993-08-25 가부시끼가이샤 히다찌세이사꾸쇼 One chip microprocessor and its bus system
US5442769A (en) 1990-03-13 1995-08-15 At&T Corp. Processor having general registers with subdivisions addressable in instructions by register number and subdivision type
JPH03268024A (en) 1990-03-19 1991-11-28 Hitachi Ltd Microprocessor, information processor and graphic display device using it
US5590365A (en) 1990-03-30 1996-12-31 Kabushiki Kaisha Toshiba Pipeline information processing circuit for floating point operations
US5053631A (en) 1990-04-02 1991-10-01 Advanced Micro Devices, Inc. Pipelined floating point processing unit
US5201056A (en) 1990-05-02 1993-04-06 Motorola, Inc. RISC microprocessor architecture with multi-bit tag extended instructions for selectively attaching tag from either instruction or input data to arithmetic operation output
CA2045705A1 (en) 1990-06-29 1991-12-30 Richard Lee Sites In-register data manipulation in reduced instruction set processor
JP2651267B2 (en) 1990-07-26 1997-09-10 富士通株式会社 Arithmetic processing device and arithmetic processing method
JPH0484253A (en) 1990-07-26 1992-03-17 Mitsubishi Electric Corp Bus width control circuit
EP0474246A2 (en) 1990-09-06 1992-03-11 Matsushita Electric Industrial Co., Ltd. Image signal processor
US5132927A (en) 1990-10-09 1992-07-21 Tandem Computers Incorporated System for cache space allocation using selective addressing
EP0483967A3 (en) 1990-10-29 1993-07-21 Sun Microsystems, Inc. Apparatus for increasing the number of registers available in a computer processor
JPH04167172A (en) 1990-10-31 1992-06-15 Nec Corp Vector processor
US5249132A (en) 1990-10-31 1993-09-28 Tektronix, Inc. Digital pulse generator
US5588152A (en) 1990-11-13 1996-12-24 International Business Machines Corporation Advanced parallel processor including advanced support hardware
US5268854A (en) 1990-11-13 1993-12-07 Kabushiki Kaisha Toshiba Microprocessor with a function for three-dimensional graphic processing
US5809292A (en) 1990-11-13 1998-09-15 International Business Machines Corporation Floating point for simid array machine
JP3100622B2 (en) * 1990-11-20 2000-10-16 沖電気工業株式会社 Synchronous dynamic RAM
US5268995A (en) 1990-11-21 1993-12-07 Motorola, Inc. Method for executing graphics Z-compare and pixel merge instructions in a data processor
WO1992009968A1 (en) 1990-11-27 1992-06-11 Cray Research, Inc. VECTOR WORD SHIFT BY Vo SHIFT COUNT IN VECTOR SUPERCOMPUTER PROCESSOR
US5157624A (en) 1990-12-13 1992-10-20 Micron Technology, Inc. Machine method to perform newton iterations for reciprocal square roots
EP0913777B1 (en) 1991-03-01 2005-05-11 Advanced Micro Devices, Inc. Output buffer for microprocessor
US5408581A (en) 1991-03-14 1995-04-18 Technology Research Association Of Medical And Welfare Apparatus Apparatus and method for speech signal processing
JP2816624B2 (en) 1991-04-01 1998-10-27 モトローラ・インコーポレイテッド Speed improved data processing system for performing square operation and method thereof
US5363322A (en) 1991-04-02 1994-11-08 Motorola, Inc. Data processor with an integer multiplication function on a fractional multiplier
JP2699223B2 (en) * 1991-04-18 1998-01-19 富士写真フイルム株式会社 Silver halide color photographic materials
FR2676845B1 (en) * 1991-05-23 1993-09-24 Sextant Avionique DEVICE FOR MANAGING MULTIPLE INDEPENDENT WAITING FILES IN A COMMON AND BANALIZED MEMORY SPACE.
FR2677200B1 (en) 1991-05-30 1993-09-17 Besnard Christian DEVICE FOR SECURING DIGITAL DATA.
US5644712A (en) 1991-06-05 1997-07-01 International Business Machines Corporation Indirect addressing of channels via logical channel groups
US5361367A (en) 1991-06-10 1994-11-01 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Highly parallel reconfigurable computer architecture for robotic computation having plural processor cells each having right and left ensembles of plural processors
US5325495A (en) 1991-06-28 1994-06-28 Digital Equipment Corporation Reducing stall delay in pipelined computer system using queue between pipeline stages
US5493687A (en) 1991-07-08 1996-02-20 Seiko Epson Corporation RISC microprocessor architecture implementing multiple typed register sets
DE69224887T2 (en) 1991-07-08 1998-07-23 Seiko Epson Corp SINGLE-CHIP SIDE PRINTER CONTROL CIRCUIT
US5327570A (en) * 1991-07-22 1994-07-05 International Business Machines Corporation Multiprocessor system having local write cache within each data processor node
GB9118312D0 (en) 1991-08-24 1991-10-09 Motorola Inc Real time cache implemented by dual purpose on-chip memory
US5222215A (en) * 1991-08-29 1993-06-22 International Business Machines Corporation Cpu expansive gradation of i/o interruption subclass recognition
US5231848A (en) * 1991-09-05 1993-08-03 Tecumseh Products Company Refrigerator cold control
US5392391A (en) 1991-10-18 1995-02-21 Lsi Logic Corporation High performance graphics applications controller
JP2836321B2 (en) 1991-11-05 1998-12-14 三菱電機株式会社 Data processing device
WO1993011500A1 (en) 1991-11-27 1993-06-10 Seiko Epson Corporation Pixel modification unit
EP0545581B1 (en) 1991-12-06 1999-04-21 National Semiconductor Corporation Integrated data processing system including CPU core and parallel, independently operating DSP module
US5473761A (en) 1991-12-17 1995-12-05 Dell Usa, L.P. Controller for receiving transfer requests for noncontiguous sectors and reading those sectors as a continuous block by interspersing no operation requests between transfer requests
US5530960A (en) * 1991-12-17 1996-06-25 Dell Usa, L.P. Disk drive controller accepting first commands for accessing composite drives and second commands for individual diagnostic drive control wherein commands are transparent to each other
GB2264359B (en) * 1992-02-20 1995-09-20 Optical Metrology Ltd Apparatus for measuring displacement by detecting phase of an optical signal
US5404469A (en) 1992-02-25 1995-04-04 Industrial Technology Research Institute Multi-threaded microprocessor architecture utilizing static interleaving
US5231646A (en) 1992-03-16 1993-07-27 Kyros Corporation Communications system
US5444853A (en) 1992-03-31 1995-08-22 Seiko Epson Corporation System and method for transferring data between a plurality of virtual FIFO's and a peripheral via a hardware FIFO and selectively updating control information associated with the virtual FIFO's
JP2786574B2 (en) * 1992-05-06 1998-08-13 インターナショナル・ビジネス・マシーンズ・コーポレイション Method and apparatus for improving the performance of out-of-order load operations in a computer system
US5669010A (en) 1992-05-18 1997-09-16 Silicon Engines Cascaded two-stage computational SIMD engine having multi-port memory and multiple arithmetic units
JP3242153B2 (en) * 1992-06-08 2001-12-25 本田技研工業株式会社 Battery module temperature control structure
US6453388B1 (en) * 1992-06-17 2002-09-17 Intel Corporation Computer system having a bus interface unit for prefetching data from system memory
US5640543A (en) 1992-06-19 1997-06-17 Intel Corporation Scalable multimedia platform architecture
US5471628A (en) 1992-06-30 1995-11-28 International Business Machines Corporation Multi-function permutation switch for rotating and manipulating an order of bits of an input data byte in either cyclic or non-cyclic mode
WO1994003638A1 (en) * 1992-07-30 1994-02-17 Applied Biosystems, Inc. Method of detecting aneuploidy by amplified short tandem repeats
US5315701A (en) 1992-08-07 1994-05-24 International Business Machines Corporation Method and system for processing graphics data streams utilizing scalable processing nodes
JP3268024B2 (en) 1992-08-25 2002-03-25 日本化学産業株式会社 Ceiling ventilation structure
DE4229847A1 (en) * 1992-09-07 1994-03-10 Siemens Ag Water-steam separator
US5268855A (en) 1992-09-14 1993-12-07 Hewlett-Packard Company Common format for encoding both single and double precision floating point numbers
US5256994A (en) * 1992-09-21 1993-10-26 Intel Corporation Programmable secondary clock generator
US5423051A (en) 1992-09-24 1995-06-06 International Business Machines Corporation Execution unit with an integrated vector operation capability
JP3369227B2 (en) 1992-11-09 2003-01-20 株式会社東芝 Processor
DE4237879A1 (en) * 1992-11-10 1994-05-11 Bosch Gmbh Robert Evaluation circuit for an inductive sensor
IE922813A1 (en) 1992-11-12 1994-05-18 Digital Equipment Internat Ltd Digital data storage system
US5650952A (en) 1992-12-18 1997-07-22 U.S. Philips Corporation Circuit arrangement for forming the sum of products
US5231846A (en) * 1993-01-26 1993-08-03 American Standard Inc. Method of compressor staging for multi-compressor multi-circuited refrigeration systems
US5519842A (en) * 1993-02-26 1996-05-21 Intel Corporation Method and apparatus for performing unaligned little endian and big endian data accesses in a processing system
US5448702A (en) 1993-03-02 1995-09-05 International Business Machines Corporation Adapters with descriptor queue management capability
US5327369A (en) 1993-03-31 1994-07-05 Intel Corporation Digital adder and method for adding 64-bit, 16-bit and 8-bit words
US5410669A (en) 1993-04-05 1995-04-25 Motorola, Inc. Data processor having a cache memory capable of being used as a linear ram bank
US5539449A (en) 1993-05-03 1996-07-23 At&T Corp. Integrated television services system
US5471828A (en) * 1993-05-04 1995-12-05 Wellman, Inc. Hot feed draw texturing for dark dyeing polyester
US5341321A (en) 1993-05-05 1994-08-23 Hewlett-Packard Company Floating point arithmetic unit using modified Newton-Raphson technique for division and square root
US5524256A (en) 1993-05-07 1996-06-04 Apple Computer, Inc. Method and system for reordering bytes in a data stream
US5450607A (en) * 1993-05-17 1995-09-12 Mips Technologies Inc. Unified floating point and integer datapath for a RISC processor
JP3287100B2 (en) * 1993-05-19 2002-05-27 株式会社デンソー Cooling unit and drain case for air conditioner
US5426736A (en) 1993-05-26 1995-06-20 Digital Equipment Corporation Method and apparatus for processing input/output commands in a storage system having a command queue
DE69418646T2 (en) 1993-06-04 2000-06-29 Sun Microsystems Inc Floating point processor for a high-performance three-dimensional graphics accelerator
JPH0765496A (en) * 1993-08-26 1995-03-10 Sony Corp Recording medium loading device and recording and/or reproducing device
US5522054A (en) * 1993-09-13 1996-05-28 Compaq Computer Corporation Dynamic control of outstanding hard disk read requests for sequential and random operations
US5371772A (en) 1993-09-14 1994-12-06 Intel Corporation Programmable divider exhibiting a 50/50 duty cycle
US5426600A (en) 1993-09-27 1995-06-20 Hitachi America, Ltd. Double precision division circuit and method for digital signal processor
EP0645699A1 (en) * 1993-09-29 1995-03-29 International Business Machines Corporation Fast multiply-add instruction sequence in a pipeline floating-point processor
US5557724A (en) 1993-10-12 1996-09-17 Intel Corporation User interface, method, and apparatus selecting and playing channels having video, audio, and/or text streams
JPH07114469A (en) * 1993-10-18 1995-05-02 Mitsubishi Electric Corp Data processing unit
EP0779577B1 (en) 1993-10-18 2002-05-22 VIA-Cyrix, Inc. Micoprocessor pipe control and register translation
JP3637073B2 (en) * 1993-10-21 2005-04-06 株式会社東芝 Multiplier capable of double precision, single precision, inner product operation and complex multiplication
EP0651321B1 (en) 1993-10-29 2001-11-14 Advanced Micro Devices, Inc. Superscalar microprocessors
US5409469A (en) 1993-11-04 1995-04-25 Medtronic, Inc. Introducer system having kink resistant splittable sheath
DE69430018T2 (en) * 1993-11-05 2002-11-21 Intergraph Corp Instruction cache with associative crossbar switch
US6128721A (en) 1993-11-17 2000-10-03 Sun Microsystems, Inc. Temporary pipeline register file for a superpipelined superscalar processor
EP0924601B1 (en) * 1993-11-23 2001-09-26 Hewlett-Packard Company, A Delaware Corporation Parallel data processing in a single processor
US5390135A (en) 1993-11-29 1995-02-14 Hewlett-Packard Parallel shift and add circuit and method
US5883824A (en) 1993-11-29 1999-03-16 Hewlett-Packard Company Parallel adding and averaging circuit and method
US5339266A (en) 1993-11-29 1994-08-16 Motorola, Inc. Parallel method and apparatus for detecting and completing floating point operations involving special operands
US6016538A (en) 1993-11-30 2000-01-18 Texas Instruments Incorporated Method, apparatus and system forming the sum of data in plural equal sections of a single data word
US5590350A (en) 1993-11-30 1996-12-31 Texas Instruments Incorporated Three input arithmetic logic unit with mask generator
US5640578A (en) 1993-11-30 1997-06-17 Texas Instruments Incorporated Arithmetic logic unit having plural independent sections and register storing resultant indicator bit from every section
US5448509A (en) 1993-12-08 1995-09-05 Hewlett-Packard Company Efficient hardware handling of positive and negative overflow resulting from arithmetic operations
US5583990A (en) 1993-12-10 1996-12-10 Cray Research, Inc. System for allocating messages between virtual channels to avoid deadlock and to optimize the amount of message traffic on each type of virtual channel
US5581705A (en) 1993-12-13 1996-12-03 Cray Research, Inc. Messaging facility with hardware tail pointer and software implemented head pointer message queue for distributed memory massively parallel processing system
US5574944A (en) 1993-12-15 1996-11-12 Convex Computer Corporation System for accessing distributed memory by breaking each accepted access request into series of instructions by using sets of parameters defined as logical channel context
EP0661703B1 (en) * 1993-12-28 1998-04-01 Fuji Photo Film Co., Ltd. Cassette accommodating case
US5541865A (en) 1993-12-30 1996-07-30 Intel Corporation Method and apparatus for performing a population count operation
US5467131A (en) 1993-12-30 1995-11-14 Hewlett-Packard Company Method and apparatus for fast digital signal decoding
US5781457A (en) * 1994-03-08 1998-07-14 Exponential Technology, Inc. Merge/mask, rotate/shift, and boolean operations from two instruction sets executed in a vectored mux on a dual-ALU
US5751614A (en) * 1994-03-08 1998-05-12 Exponential Technology, Inc. Sign-extension merge/mask, rotate/shift, and boolean operations executed in a vectored mux on an ALU
US5673407A (en) 1994-03-08 1997-09-30 Texas Instruments Incorporated Data processor having capability to perform both floating point operations and memory access in response to a single instruction
US5524265A (en) 1994-03-08 1996-06-04 Texas Instruments Incorporated Architecture of transfer processor
US5487022A (en) * 1994-03-08 1996-01-23 Texas Instruments Incorporated Normalization method for floating point numbers
JPH07271764A (en) 1994-03-24 1995-10-20 Internatl Business Mach Corp <Ibm> Computer processor and system
JP3547482B2 (en) 1994-04-15 2004-07-28 株式会社日立製作所 Information processing equipment
DE69519449T2 (en) 1994-05-05 2001-06-21 Conexant Systems Inc Space pointer data path
WO1995031767A1 (en) 1994-05-11 1995-11-23 Vlsi Technology, Inc. Floating-point processor with apparent-precision based selection of execution-precision
KR0141194B1 (en) * 1994-06-10 1998-07-15 김광호 Fabrication method of target for sputturing
US5536351A (en) * 1994-06-13 1996-07-16 Davidson Textron Method of manufacturing a trim panel having an air bag door
US5619673A (en) 1994-06-29 1997-04-08 Intel Corporation Virtual access cache protection bits handling method and apparatus
GB9414179D0 (en) * 1994-07-13 1994-08-31 Austin Taylor Communicat Ltd An electrical connector
US5642306A (en) 1994-07-27 1997-06-24 Intel Corporation Method and apparatus for a single instruction multiple data early-out zero-skip multiplier
US5477543A (en) * 1994-08-03 1995-12-19 Chromatic Research, Inc. Structure and method for shifting and reordering a plurality of data bytes
US5586070A (en) * 1994-08-03 1996-12-17 Chromatic Research, Inc. Structure and method for embedding two small multipliers in a larger multiplier
US5579253A (en) 1994-09-02 1996-11-26 Lee; Ruby B. Computer multiply instruction with a subresult selection option
US5481719A (en) * 1994-09-09 1996-01-02 International Business Machines Corporation Exception handling method and apparatus for a microkernel data processing system
US5758176A (en) 1994-09-28 1998-05-26 International Business Machines Corporation Method and system for providing a single-instruction, multiple-data execution unit for performing single-instruction, multiple-data operations within a superscalar data processing system
US5619647A (en) 1994-09-30 1997-04-08 Tandem Computers, Incorporated System for multiplexing prioritized virtual channels onto physical channels where higher priority virtual will pre-empt a lower priority virtual or a lower priority will wait
US5541912A (en) 1994-10-04 1996-07-30 At&T Corp. Dynamic queue length thresholds in a shared memory ATM switch
US5477181A (en) 1994-10-13 1995-12-19 National Semiconductor Corporation Programmable multiphase clock divider
US5502719A (en) 1994-10-27 1996-03-26 Hewlett-Packard Company Path allocation system and method having double link list queues implemented with a digital signal processor (DSP) for a high performance fiber optic switch
US5530663A (en) 1994-11-14 1996-06-25 International Business Machines Corporation Floating point unit for calculating a compound instruction A+B×C in two cycles
US6738793B2 (en) 1994-12-01 2004-05-18 Intel Corporation Processor capable of executing packed shift operations
US6275834B1 (en) * 1994-12-01 2001-08-14 Intel Corporation Apparatus for performing packed shift operations
ZA9510127B (en) 1994-12-01 1996-06-06 Intel Corp Novel processor having shift operations
EP1302848B1 (en) 1994-12-01 2006-11-02 Intel Corporation A microprocessor having a multiply operation
EP0795153A4 (en) * 1994-12-02 2001-11-14 Intel Corp Microprocessor with packing operation of composite operands
US5819101A (en) * 1994-12-02 1998-10-06 Intel Corporation Method for packing a plurality of packed data elements in response to a pack instruction
JP3724001B2 (en) 1994-12-12 2005-12-07 富士通株式会社 Information processing device
US5598362A (en) * 1994-12-22 1997-01-28 Motorola Inc. Apparatus and method for performing both 24 bit and 16 bit arithmetic
US5680338A (en) 1995-01-04 1997-10-21 International Business Machines Corporation Method and system for vector processing utilizing selected vector elements
US5887183A (en) 1995-01-04 1999-03-23 International Business Machines Corporation Method and system in a data processing system for loading and storing vectors in a plurality of modes
US5500811A (en) 1995-01-23 1996-03-19 Microunity Systems Engineering, Inc. Finite impulse response filter
US5630096A (en) 1995-05-10 1997-05-13 Microunity Systems Engineering, Inc. Controller for a synchronous DRAM that maximizes throughput by allowing memory requests and commands to be issued out of order
GB9509988D0 (en) 1995-05-17 1995-07-12 Sgs Thomson Microelectronics Matrix transposition
GB9509987D0 (en) * 1995-05-17 1995-07-12 Sgs Thomson Microelectronics Manipulation of data
US5826106A (en) * 1995-05-26 1998-10-20 National Semiconductor Corporation High performance multifunction direct memory access (DMA) controller
US5752001A (en) 1995-06-01 1998-05-12 Intel Corporation Method and apparatus employing Viterbi scoring using SIMD instructions for data recognition
US5737547A (en) 1995-06-07 1998-04-07 Microunity Systems Engineering, Inc. System for placing entries of an outstanding processor request into a free pool after the request is accepted by a corresponding peripheral device
US5812799A (en) 1995-06-07 1998-09-22 Microunity Systems Engineering, Inc. Non-blocking load buffer and a multiple-priority memory system for real-time multiprocessing
US5608003A (en) * 1995-06-23 1997-03-04 Minnesota Mining And Manufacturing Company Aqueous fluorochemical compositions and abrasion-resistant coatings therefrom
US5673321A (en) * 1995-06-29 1997-09-30 Hewlett-Packard Company Efficient selection and mixing of multiple sub-word items packed into two or more computer words
US6381690B1 (en) * 1995-08-01 2002-04-30 Hewlett-Packard Company Processor for performing subword permutations and combinations
US6643765B1 (en) 1995-08-16 2003-11-04 Microunity Systems Engineering, Inc. Programmable processor with group floating point operations
US5953241A (en) 1995-08-16 1999-09-14 Microunity Engeering Systems, Inc. Multiplier array processing system with enhanced utilization at lower precision for group multiply and sum instruction
US5742840A (en) 1995-08-16 1998-04-21 Microunity Systems Engineering, Inc. General purpose, multiple precision parallel operation, programmable media processor
US6006318A (en) * 1995-08-16 1999-12-21 Microunity Systems Engineering, Inc. General purpose, dynamic partitioning, programmable media processor
US6295599B1 (en) * 1995-08-16 2001-09-25 Microunity Systems Engineering System and method for providing a wide operand architecture
US5764558A (en) 1995-08-25 1998-06-09 International Business Machines Corporation Method and system for efficiently multiplying signed and unsigned variable width operands
US5721892A (en) 1995-08-31 1998-02-24 Intel Corporation Method and apparatus for performing multiply-subtract operations on packed data
DE19532243C2 (en) * 1995-08-31 2001-02-01 Battenfeld Gmbh Method and device for producing plastic objects with solid spots and hollow spots
US6470370B2 (en) * 1995-09-05 2002-10-22 Intel Corporation Method and apparatus for multiplying and accumulating complex numbers in a digital filter
US6058408A (en) * 1995-09-05 2000-05-02 Intel Corporation Method and apparatus for multiplying and accumulating complex numbers in a digital filter
US5778412A (en) 1995-09-29 1998-07-07 Intel Corporation Method and apparatus for interfacing a data bus to a plurality of memory devices
US5751622A (en) 1995-10-10 1998-05-12 Chromatic Research, Inc. Structure and method for signed multiplication using large multiplier having two embedded signed multipliers
US5886732A (en) 1995-11-22 1999-03-23 Samsung Information Systems America Set-top electronics and network interface unit arrangement
US5801719A (en) * 1995-11-27 1998-09-01 Sun Microsystems, Inc. Microprocessor with graphics capability for masking, aligning and expanding pixel bands
US5933160A (en) * 1995-11-27 1999-08-03 Sun Microsystems High-performance band combine function
DE19544323A1 (en) * 1995-11-28 1997-06-05 Magnet Motor Gmbh Gas diffusion electrode for polymer electrolyte membrane fuel cells
US5757432A (en) 1995-12-18 1998-05-26 Intel Corporation Manipulating video and audio signals using a processor which supports SIMD instructions
US5740093A (en) * 1995-12-20 1998-04-14 Intel Corporation 128-bit register file and 128-bit floating point load and store for quadruple precision compatibility
US6036350A (en) * 1995-12-20 2000-03-14 Intel Corporation Method of sorting signed numbers and solving absolute differences using packed instructions
US5907842A (en) * 1995-12-20 1999-05-25 Intel Corporation Method of sorting numbers to obtain maxima/minima values with ordering
KR0157924B1 (en) 1995-12-23 1998-12-15 문정환 Data transfer system and its method
US5983257A (en) 1995-12-26 1999-11-09 Intel Corporation System for signal processing using multiply-add operations
US5835782A (en) 1996-03-04 1998-11-10 Intel Corporation Packed/add and packed subtract operations
US6092094A (en) 1996-04-17 2000-07-18 Advanced Micro Devices, Inc. Execute unit configured to selectably interpret an operand as multiple operands or as a single operand
US5828889A (en) * 1996-05-31 1998-10-27 Sun Microsystems, Inc. Quorum mechanism in a two-node distributed computer system
DE19622758A1 (en) * 1996-06-07 1997-12-11 Philips Patentverwaltung Enclosed body detection method especially for land mines
US6058465A (en) * 1996-08-19 2000-05-02 Nguyen; Le Trong Single-instruction-multiple-data processing in a multimedia signal processor
US5838984A (en) 1996-08-19 1998-11-17 Samsung Electronics Co., Ltd. Single-instruction-multiple-data processing using multiple banks of vector registers
US5996066A (en) * 1996-10-10 1999-11-30 Sun Microsystems, Inc. Partitioned multiply and add/subtract instruction for CPU with integrated graphics functions
US5801975A (en) * 1996-12-02 1998-09-01 Compaq Computer Corporation And Advanced Micro Devices, Inc. Computer modified to perform inverse discrete cosine transform operations on a one-dimensional matrix of numbers within a minimal number of instruction cycles
US6073159A (en) 1996-12-31 2000-06-06 Compaq Computer Corporation Thread properties attribute vector based thread selection in multithreading processor
US6401194B1 (en) 1997-01-28 2002-06-04 Samsung Electronics Co., Ltd. Execution unit for processing a data stream independently and in parallel
US5922066A (en) 1997-02-24 1999-07-13 Samsung Electronics Co., Ltd. Multifunction data aligner in wide data width processor
US5991531A (en) * 1997-02-24 1999-11-23 Samsung Electronics Co., Ltd. Scalable width vector processor architecture for efficient emulation
US5898849A (en) * 1997-04-04 1999-04-27 Advanced Micro Devices, Inc. Microprocessor employing local caches for functional units to store memory operands used by the functional units
JP3602293B2 (en) 1997-04-22 2004-12-15 株式会社ソニー・コンピュータエンタテインメント Data transfer method and device
US6269426B1 (en) * 1997-06-24 2001-07-31 Sun Microsystems, Inc. Method for operating a non-blocking hierarchical cache throttle
US6144982A (en) 1997-06-25 2000-11-07 Sun Microsystems, Inc. Pipeline processor and computing system including an apparatus for tracking pipeline resources
US5872965A (en) * 1997-06-30 1999-02-16 Sun Microsystems, Inc. System and method for performing multiway branches using a visual instruction set
US6170051B1 (en) 1997-08-01 2001-01-02 Micron Technology, Inc. Apparatus and method for program level parallelism in a VLIW processor
US5933650A (en) * 1997-10-09 1999-08-03 Mips Technologies, Inc. Alignment and ordering of vector elements for single instruction multiple data processing
SE513534C2 (en) 1997-10-17 2000-09-25 Kapman Ab Holder for hand saws
US5999959A (en) 1998-02-18 1999-12-07 Quantum Corporation Galois field multiplier
US6041404A (en) 1998-03-31 2000-03-21 Intel Corporation Dual function system and method for shuffling packed data elements
US6052769A (en) 1998-03-31 2000-04-18 Intel Corporation Method and apparatus for moving select non-contiguous bytes of packed data in a single instruction
US6230257B1 (en) 1998-03-31 2001-05-08 Intel Corporation Method and apparatus for staggering execution of a single packed data instruction using the same circuit
US6211892B1 (en) 1998-03-31 2001-04-03 Intel Corporation System and method for performing an intra-add operation
US6173393B1 (en) 1998-03-31 2001-01-09 Intel Corporation System for writing select non-contiguous bytes of data with single instruction having operand identifying byte mask corresponding to respective blocks of packed data
US6115812A (en) * 1998-04-01 2000-09-05 Intel Corporation Method and apparatus for efficient vertical SIMD computations
US5996057A (en) 1998-04-17 1999-11-30 Apple Data processing system and method of permutation with replication within a vector register file
US6286023B1 (en) 1998-06-19 2001-09-04 Ati International Srl Partitioned adder tree supported by a multiplexer configuration
JP3803196B2 (en) 1998-07-03 2006-08-02 株式会社ソニー・コンピュータエンタテインメント Information processing apparatus, information processing method, and recording medium
US6052766A (en) * 1998-07-07 2000-04-18 Lucent Technologies Inc. Pointer register indirectly addressing a second register in the processor core of a digital processor
US6272512B1 (en) * 1998-10-12 2001-08-07 Intel Corporation Data manipulation instruction for enhancing value and efficiency of complex arithmetic
US6421698B1 (en) 1998-11-04 2002-07-16 Teleman Multimedia, Inc. Multipurpose processor for motion estimation, pixel processing, and general processing
US7242414B1 (en) 1999-07-30 2007-07-10 Mips Technologies, Inc. Processor having a compare extension of an instruction set architecture
US6732259B1 (en) * 1999-07-30 2004-05-04 Mips Technologies, Inc. Processor having a conditional branch extension of an instruction set architecture
US6401094B1 (en) * 1999-05-27 2002-06-04 Ma'at System and method for presenting information in accordance with user preference
JP2001100869A (en) * 1999-09-27 2001-04-13 Toshiba Lsi System Support Kk Current consumption reducing circuit for standby state of equipment with installed microcomputer
US6539467B1 (en) * 1999-11-15 2003-03-25 Texas Instruments Incorporated Microprocessor with non-aligned memory access
KR100333710B1 (en) * 1999-12-28 2002-04-22 박종섭 DDR SDRAM for stable read operation
US6569908B2 (en) 2000-01-19 2003-05-27 Oji Paper Co., Ltd. Dispersion of silica particle agglomerates and process for producing the same
US6574724B1 (en) * 2000-02-18 2003-06-03 Texas Instruments Incorporated Microprocessor with non-aligned scaled and unscaled addressing
US6816961B2 (en) * 2000-03-08 2004-11-09 Sun Microsystems, Inc. Processing architecture having field swapping capability
US6725358B1 (en) * 2000-06-22 2004-04-20 International Business Machines Corporation Processor and method having a load reorder queue that supports reservations
US7481746B2 (en) 2006-07-21 2009-01-27 Wingroup, S. Coop Static pedalling fitness apparatus with lateral swinging

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5058003A (en) * 1988-12-15 1991-10-15 International Business Machines Corporation Virtual storage dynamic address translation mechanism for multiple-sized pages
US5938756A (en) * 1994-04-29 1999-08-17 Sun Microsystems, Inc. Central processing unit with integrated graphics functions
US6279099B1 (en) * 1994-04-29 2001-08-21 Sun Microsystems, Inc. Central processing unit with integrated graphics functions
US6154831A (en) * 1996-12-02 2000-11-28 Advanced Micro Devices, Inc. Decoding operands for multimedia applications instruction coded with less number of bits than combination of register slots and selectable specific values
US5960012A (en) * 1997-06-23 1999-09-28 Sun Microsystems, Inc. Checksum determination using parallel computations on multiple packed data elements
US6094668A (en) * 1997-10-23 2000-07-25 Advanced Micro Devices, Inc. Floating point arithmetic unit including an efficient close data path
US6377970B1 (en) * 1998-03-31 2002-04-23 Intel Corporation Method and apparatus for computing a sum of packed data elements using SIMD multiply circuitry

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10869108B1 (en) 2008-09-29 2020-12-15 Calltrol Corporation Parallel signal processing system and method
US20100146022A1 (en) * 2008-12-05 2010-06-10 Crossfield Technology LLC Floating-point fused add-subtract unit
US8161090B2 (en) 2008-12-05 2012-04-17 Crossfield Technology LLC Floating-point fused add-subtract unit
US10120819B2 (en) * 2017-03-20 2018-11-06 Nxp Usa, Inc. System and method for cache memory line fill using interrupt indication

Also Published As

Publication number Publication date
US20040158689A1 (en) 2004-08-12
US20040210745A1 (en) 2004-10-21
US8683182B2 (en) 2014-03-25
US20040205323A1 (en) 2004-10-14
US20080065862A1 (en) 2008-03-13
US20080091758A1 (en) 2008-04-17
US7353367B2 (en) 2008-04-01
US20080177986A1 (en) 2008-07-24
US6643765B1 (en) 2003-11-04
US7660972B2 (en) 2010-02-09
US20040049663A1 (en) 2004-03-11
US20080091925A1 (en) 2008-04-17
US8001360B2 (en) 2011-08-16
US7222225B2 (en) 2007-05-22
US7430655B2 (en) 2008-09-30
US20080065860A1 (en) 2008-03-13
US20040205325A1 (en) 2004-10-14
US20040098567A1 (en) 2004-05-20
US20080059767A1 (en) 2008-03-06
US7464252B2 (en) 2008-12-09
US7526635B2 (en) 2009-04-28
US7818548B2 (en) 2010-10-19
US7653806B2 (en) 2010-01-26
US7987344B2 (en) 2011-07-26
US20080104376A1 (en) 2008-05-01
US7516308B2 (en) 2009-04-07
US20040210746A1 (en) 2004-10-21
US7213131B2 (en) 2007-05-01
US20040153632A1 (en) 2004-08-05
US7660973B2 (en) 2010-02-09
US20080072020A1 (en) 2008-03-20
US20140351565A1 (en) 2014-11-27
US8769248B2 (en) 2014-07-01
US7386706B2 (en) 2008-06-10
US7730287B2 (en) 2010-06-01
US20040205324A1 (en) 2004-10-14
US20080162882A1 (en) 2008-07-03
US20080040584A1 (en) 2008-02-14
US20040205096A1 (en) 2004-10-14
US20040215942A1 (en) 2004-10-28
US20120317400A1 (en) 2012-12-13
US20040103266A1 (en) 2004-05-27
US20120204013A1 (en) 2012-08-09
US8117426B2 (en) 2012-02-14
US20040156248A1 (en) 2004-08-12
US20130013901A1 (en) 2013-01-10
US7565515B2 (en) 2009-07-21
US7260708B2 (en) 2007-08-21

Similar Documents

Publication Publication Date Title
US7660973B2 (en) System and apparatus for group data operations
US7849291B2 (en) Method and apparatus for performing improved group instructions
US10365926B2 (en) Processor and method for executing wide operand multiply matrix operations
US8812821B2 (en) Processor for performing operations with two wide operands
US7932911B2 (en) Processor for executing switch and translate instructions requiring wide operands
EP2309383B1 (en) A processor for and method of executing a single wide switch instruction using a wide operand

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION