US20080046688A1 - Processor executing SIMD instructions - Google Patents

Processor executing SIMD instructions Download PDF

Info

Publication number
US20080046688A1
US20080046688A1 US11/896,369 US89636907A US2008046688A1 US 20080046688 A1 US20080046688 A1 US 20080046688A1 US 89636907 A US89636907 A US 89636907A US 2008046688 A1 US2008046688 A1 US 2008046688A1
Authority
US
United States
Prior art keywords
instruction
data
register
simd
flag
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/896,369
Inventor
Tetsuya Tanaka
Hazuki Okabayashi
Taketo Heishi
Hajime Ogawa
Tsuneyuki Suzuki
Tokuzo Kiyohara
Takeshi Tanaka
Hideshi Nishida
Masaki Maeda
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US11/896,369 priority Critical patent/US20080046688A1/en
Publication of US20080046688A1 publication Critical patent/US20080046688A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30003Arrangements for executing specific machine instructions
    • G06F9/30007Arrangements for executing specific machine instructions to perform operations on data operands
    • G06F9/30025Format conversion instructions, e.g. Floating-Point to Integer, decimal conversion
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30003Arrangements for executing specific machine instructions
    • G06F9/30007Arrangements for executing specific machine instructions to perform operations on data operands
    • G06F9/3001Arithmetic instructions
    • G06F9/30014Arithmetic instructions with variable precision
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30003Arrangements for executing specific machine instructions
    • G06F9/30007Arrangements for executing specific machine instructions to perform operations on data operands
    • G06F9/30021Compare instructions, e.g. Greater-Than, Equal-To, MINMAX
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30003Arrangements for executing specific machine instructions
    • G06F9/30007Arrangements for executing specific machine instructions to perform operations on data operands
    • G06F9/30036Instructions to perform operations on packed data, e.g. vector, tile or matrix operations
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30094Condition code generation, e.g. Carry, Zero flag
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30145Instruction analysis, e.g. decoding, instruction word fields
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30145Instruction analysis, e.g. decoding, instruction word fields
    • G06F9/3016Decoding the operand specifier, e.g. specifier format
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30145Instruction analysis, e.g. decoding, instruction word fields
    • G06F9/3016Decoding the operand specifier, e.g. specifier format
    • G06F9/30167Decoding the operand specifier, e.g. specifier format of immediate specifier, e.g. constants

Definitions

  • the present invention relates to a processor such as a DSP and a CPU, and more particularly to a processor suitable for performing signal processing for sounds, images and others.
  • processors are increasingly required to be capable of high-speed media processing represented by sound and image signal processing.
  • processors responding to such requirement, there exist Pentium (R)/Pentium (R) III/Pentium 4 (R) MMX/SSE/SSE2 and others produced by the Intel Corporation of the United States supporting SIMD (Single Instruction Multiple Data) instructions.
  • MMX for example, is capable of performing the same operations in one instruction on a maximum of eight integers stored in a 64-bit MMX register.
  • an existing processor is capable of comparing two data elements stored in 32-bit registers on a byte-by-byte basis, and setting comparison results to four flags.
  • the processor needs to read out all four flags and execute more than one instruction for judging whether all such values are zero or not. This requires a plurality of instructions for evaluating results every time a comparison is made against another set of pixel values when four pixel values are used as a unit of comparison, resulting in an increased number of instructions and therefore a decreased speed of image processing.
  • the present invention has been conceived in view of the above problem, and it is an object of this invention to provide a processor capable of executing sophisticated SIMD operations and a processor capable of high-speed digital signal processing suited for multimedia purposes.
  • the processor according to the present invention is capable of executing a characteristic SIMD instruction for judging whether or not results of operations performed under a SIMD compare instruction are all zero and setting such results to condition flags. This allows a faster extraction of results of SIMD compare instructions (especially, agreement/disagreement of results), as well as a faster comparison processing to be performed on more than one pixel value as a processing unit and a faster detection of the EOF (End Of File) of a file.
  • EOF End Of File
  • the processor according to the present invention is capable of executing a characteristic instruction for storing, into a memory and the like, two pieces of byte data stored in one register (byte data stored in the higher 16 bits and byte data stored in the lower 16 bits). This eliminates the need for data type conversions when byte data is handled in 16-bit SIMD, making a speed of processing faster.
  • the processor according to the present invention is capable of executing a characteristic instruction for storing an immediate value into the higher 16 bits of a register without changing the lower 16 bits of the register.
  • This instruction when combined with Instruction “mov Rb, I 16 ”, makes it possible for a 32-bit immediate value to be set in a register.
  • the processor according to the present invention is capable of executing a characteristic instruction for making a switch of objects to be added, depending on the value of a vector condition flag. This makes it possible for a single program to support half-pel motion compensation (motion compensation performed on a per-half-pixel basis) regardless of whether pixels are integer pixels or half pixels.
  • the processor according to the present invention is capable of executing a characteristic instruction for generating a value depending on the sign (positive/negative) of the value held in a register and whether a value held in a register is zero or not. This makes inverse quantization faster in image processing, since 1 is outputted when a certain value is positive, ⁇ 1 when negative, and 0 when 0.
  • the processor according to the present invention is capable of executing a characteristic instruction for aligning word data and extracting different word data depending on a vector condition flag.
  • This instruction makes it possible for a single program to support half-pel motion compensation (motion compensation performed on a per-half-pixel basis) regardless of whether pixels are integer pixels or half pixels.
  • the processor according to the present invention is capable of executing a characteristic instruction for adding two values and further adding 1 when one of the two values is positive. This realizes a faster rounding of an absolute value in image processing.
  • the processor according to the present invention is capable of executing a characteristic instruction for moving values held in arbitrary two registers to two consecutive registers. Since values held in independent two registers are moved in one cycle under this instruction, an effect of reducing the number of cycles in a loop can be achieved. Also, this instruction, which does not involve register renaming (destruction of a register value), is effective when data is moved between loop generations (iterations).
  • the processor according to the present invention is capable of executing a characteristic instruction for performing branches and setting condition flags (predicates, here) in a loop. This enables a loop to be executed faster by means of PROLOG/EPILOG removal software pipelining.
  • the processor according to the present invention is capable of executing a characteristic instruction for determining a sum of absolute value differences. This makes the speed faster for summing up absolute value differences in motion prediction as part of image processing.
  • the processor according to the present invention is capable of executing a characteristic instruction for converting a signed value into a saturated signed value at an arbitrary position (digit). This facilitates programming since there is no need for setting a position where saturation is performed to a specific position at the time of assembler programming.
  • the processor according to the present invention is capable of executing a characteristic instruction for selecting one of the values held in two registers on a word-by-word basis. This allows word data to be stored at an arbitrary position in a register, and therefore enables faster repetitions of data reshuffling. Moreover, this instruction has an effect of increasing the flexibility of SIMD operations.
  • the processor according to the present invention is capable of executing a characteristic instruction for extending results of a SIMD operation. This allows processing for making data size all the same by performing sign extension or zero extension to be performed in one cycle, after performing the SIMD operations.
  • the processor according to the present invention is capable of executing a characteristic instruction for executing SIMD operations specified by condition flags and the like. This makes it possible for a single program to perform such dynamic processing as one in which the types of operations to be performed are determined depending on results of other processing.
  • the processor according to the present invention is capable of performing sophisticated SIMD operations and a wide range of digital signal processing required for multimedia processing at a high speed, and is capable of being employed as a core processor to be commonly used in mobile phone, mobile AV device, digital television, DVD and other devices, the processor according to the present invention is extremely useful in the present age in which the advent of high-performance and cost effective multimedia apparatuses is desired.
  • FIG. 1 is a schematic block diagram showing a processor according to the present invention.
  • FIG. 2 is a schematic diagram showing arithmetic and logic/comparison operation units of the processor.
  • FIG. 3 is a block diagram showing a configuration of a barrel shifter of the processor.
  • FIG. 4 is a block diagram showing a configuration of a converter of the processor.
  • FIG. 5 is a block diagram showing a configuration of a divider of the processor.
  • FIG. 6 is a block diagram showing a configuration of a multiplication/sum of products operation unit of the processor.
  • FIG. 7 is a block diagram showing a configuration of an instruction control unit of the processor.
  • FIG. 8 is a diagram showing a configuration of general-purpose registers (R 0 ⁇ R 31 ) of the processor.
  • FIG. 9 is a diagram showing a configuration of a link register (LR) of the processor.
  • FIG. 10 is a diagram showing a configuration of a branch register (TAR) of the processor.
  • FIG. 11 is a diagram showing a configuration of a program status register (PSR) of the processor.
  • PSR program status register
  • FIG. 12 is a diagram showing a configuration of a condition flag register (CFR) of the processor.
  • CFR condition flag register
  • FIGS. 13A and 13B are diagrams showing configurations of accumulators (M 0 , M 1 ) of the processor.
  • FIG. 14 is a diagram showing a configuration of a program counter (PC) of the processor.
  • PC program counter
  • FIG. 15 is a diagram showing a configuration of a PC save register (IPC) of the processor.
  • IPC PC save register
  • FIG. 16 is a diagram showing a configuration of a PSR save register (IPSR) of the processor.
  • PSR PSR save register
  • FIG. 17 is a timing diagram showing a pipeline behavior of the processor.
  • FIG. 18 is a timing diagram showing each stage of the pipeline behavior of the processor at the time of executing an instruction.
  • FIG. 19 is a diagram showing a parallel behavior of the processor.
  • FIG. 20 is a diagram showing format of instructions executed by the processor.
  • FIG. 21 is a diagram explaining an instruction belonging to a category “ALUadd (addition) system)”.
  • FIG. 22 is a diagram explaining an instruction belonging to a category “ALUsub (subtraction) system)”.
  • FIG. 23 is a diagram explaining an instruction belonging to a category “ALUlogic (logical operation) system and others”.
  • FIG. 24 is a diagram explaining an instruction belonging to a category “CMP (comparison operation) system”.
  • FIG. 25 is a diagram explaining an instruction belonging to a category “mul (multiplication) system”.
  • FIG. 26 is a diagram explaining an instruction belonging to a category “mac (sum of products operation) system”.
  • FIG. 27 is a diagram explaining an instruction belonging to a category “msu (difference of products) system”.
  • FIG. 28 is a diagram explaining an instruction belonging to a category “MEMId (load from memory) system”.
  • FIG. 29 is a diagram explaining an instruction belonging to a category “MEMstore (store in memory) system”.
  • FIG. 30 is a diagram explaining an instruction belonging to a category “BRA (branch) system”.
  • FIG. 31 is a diagram explaining an instruction belonging to a category “BSasl (arithmetic barrel shift) system and others”.
  • FIG. 32 is a diagram explaining an instruction belonging to a category “BSlsr (logical barrel shift) system and others”.
  • FIG. 33 is a diagram explaining an instruction belonging to a category “CNVvaln (arithmetic conversion) system”.
  • FIG. 34 is a diagram explaining an instruction belonging to a category “CNV (general conversion) system”.
  • FIG. 35 is a diagram explaining an instruction belonging to a category “SATvlpk (saturation processing) system”.
  • FIG. 36 is a diagram explaining an instruction belonging to a category “ETC (et cetera) system”.
  • FIG. 37 is a diagram showing a behavior of the processor when executing Instruction “vcchk”.
  • FIG. 38 is a diagram showing a detailed behavior when executing Instruction “vcchk”.
  • FIG. 39 is a diagram showing a behavior of the processor when executing Instruction “stbh (Ra),Rb”.
  • FIG. 40 is a diagram showing a detailed behavior when executing Instruction “stbh (Ra),Rb”.
  • FIG. 41 is a diagram showing a behavior of the processor when executing Instruction “stbhp (Ra),Rb:Rb+1”.
  • FIG. 42 is a diagram showing a detailed behavior when executing Instruction “stbhp (Ra),Rb:Rb+1”.
  • FIG. 43 is a diagram showing a behavior of the processor when executing Instruction “sethi Ra,I 16 ”.
  • FIG. 44 is a diagram showing a detailed behavior when executing Instruction “sethi Ra,I 16 ”.
  • FIG. 45 is a diagram showing a behavior of the processor when executing Instruction “vaddhvc Rc,Ra,Rb”.
  • FIG. 46 is a diagram showing a detailed behavior wherein executing Instruction “vaddhvc Rc,Ra,Rb”.
  • FIG. 47 is a diagram explaining motion estimation in image processing.
  • FIG. 48 is a diagram showing a behavior of the processor when executing Instruction “vaddrhvc Rc,Ra,Rb”.
  • FIG. 49 is a diagram showing a detailed behavior when executing Instruction “vaddrhvc Rc,Ra,Rb”.
  • FIG. 50 is a diagram showing a behavior of the processor when executing Instruction “vsgnh Ra,Rb”.
  • FIG. 51 is a diagram showing a detailed behavior when executing Instruction “vsgnh Ra,Rb”.
  • FIG. 52 is a diagram showing a behavior of the processor when executing Instruction “valnvc 1 Rc,Ra,Rb”.
  • FIG. 53 is a diagram showing a detailed behavior when executing Instruction “valnvc 1 Rc,Ra,Rb”.
  • FIG. 54 is a diagram showing a detailed behavior when executing Instruction “valnvc 2 Rc,Ra,Rb”.
  • FIG. 55 is a diagram showing a detailed behavior when executing Instruction “valnvc 3 Rc,Ra,Rb”.
  • FIG. 56 is a diagram showing a detailed behavior when executing Instruction “valnvc 4 Rc,Ra,Rb”.
  • FIG. 57 is a diagram showing a behavior of the processor when executing Instruction “addarvw Rc,Rb,Ra”.
  • FIG. 58 is a diagram showing a detailed behavior when executing Instruction “addarvw Rc,Rb,Ra”.
  • FIG. 59 is a diagram showing a behavior when performing “rounding of absolute values (away from zero)”.
  • FIG. 60 is a diagram showing a behavior of the processor when executing Instruction “movp Rc:Rc+1,Ra,Rb”.
  • FIG. 61 is a diagram showing a detailed behavior when executing Instruction “movp Rc:Rc+1,Ra,Rb”.
  • FIG. 62 is a diagram showing a detailed behavior when executing Instruction “jloop C 6 ,Cm,TAR,Ra”.
  • FIG. 63 is a diagram showing a detailed behavior when executing Instruction “settar C 6 ,Cm,D 9 ”.
  • FIG. 64 is a diagram showing PROLOG/EPILOG removal 2-stage software pipelining.
  • FIG. 65 is a diagram showing a list of a source program written in the C language.
  • FIG. 66 is a diagram showing an example machine language program created using ordinary instructions “jloop” and “settar”.
  • FIG. 68 is a diagram showing a detailed behavior when executing Instruction “jloop C 6 ,C 2 :C 4 ,TAR,Ra”.
  • FIG. 69 is a diagram showing a detailed behavior when executing Instruction “settar C 6 ,C 2 :C 4 ,D 9 ”.
  • FIGS. 70A and 70B are diagrams showing PROLOG/EPILOG removal 3-stage software pipelining.
  • FIG. 71 is a diagram showing a list of a source program written in the C language.
  • FIG. 72 is a diagram showing an example machine language program created using ordinary instructions “jloop” and “settar”.
  • FIG. 73 is a diagram showing an example machine language program created using Instructions “jloop” and “settar” according to the preferred embodiment of the present invention.
  • FIG. 74 is a diagram showing a behavior of the processor when executing Instruction “vsada Rc,Ra,Rb,Rx”.
  • FIG. 75A is a diagram showing Instruction “vsada Rc,Ra,Rb,Rx”, and FIG. 75B is a diagram showing Instruction “vsada Rc,Ra,Rb”.
  • FIG. 76 is a diagram showing a behavior of the processor when executing Instruction “satss Rc,Ra,Rb”.
  • FIG. 77A is a diagram showing Instruction “satss Rc,Ra,Rb” and FIG. 77B is a diagram showing Instruction “satsu Rc,Ra,Rb”.
  • FIG. 78 is a diagram showing a behavior of the processor when executing Instruction “bytesel Rc,Ra,Rb,Rx”.
  • FIG. 79A is a diagram showing a detailed behavior when executing Instruction “bytesel Rc,Ra,Rb,Rx”
  • FIG. 79B is a diagram showing a relationship between the register Rx and byte data to be selected
  • FIG. 79C is a diagram showing a detailed behavior when executing Instruction “bytesel Rc,Ra,Rb,I 12 ”
  • FIG. 79D is a diagram showing a relationship between an immediate value I 12 and byte data to be selected.
  • FIGS. 80A and 80B are diagrams showing a part of SIMD operation results being performed of bit extension (sign-extension or zero-extension).
  • FIG. 81 is a diagram showing all of SIMD operation results being performed of bit-extension.
  • FIG. 82 is a diagram showing a SIMD operation specified by condition flags and the like being performed.
  • the processor of the present invention is a general-purpose processor which has been developed targeting at the field of AV media signal processing technology, and instructions issued in this processor offer a higher degree of parallelism than ordinary microcomputers. Used as a core common to mobile phones, mobile AV devices, digital televisions, DVDs and other devices, the processor can improve software usability. Furthermore, the present processor allows multiple high-performance media processes to be performed with high cost effectiveness, and provides a development environment for high-level languages intended for improving development efficiency.
  • FIG. 1 is a schematic block diagram showing the present processor.
  • the processor 1 is comprised of an instruction control unit 10 , a decoding unit 20 , a register file 30 , an operation unit 40 , an I/F unit 50 , an instruction memory unit 60 , a data memory unit 70 , an extended register unit 80 , and an I/O interface unit 90 .
  • the operation unit 40 includes arithmetic and logic/comparison operation units 41 ⁇ 43 , a multiplication/sum of products operation unit 44 , a barrel shifter 45 , a divider 46 , and a converter 47 for performing SIMD instructions.
  • the multiplication/sum of products operation unit 44 is capable of handling maximum of 65-bit accumulation so as not to decrease bit precision.
  • the multiplication/sum of products operation unit 44 is also capable of executing SIMD instructions as in the case of the arithmetic and logic/comparison operation units 41 ⁇ 43 . Furthermore, the processor 1 is capable of parallel execution of an arithmetic and logic/comparison operation instruction on a maximum of three data elements.
  • FIG. 2 is a schematic diagram showing the arithmetic and logic/comparison operation units 41 ⁇ 43 .
  • Each of the arithmetic and logic/comparison operation units 41 ⁇ 43 is made up of an ALU unit 41 a , a saturation processing unit 41 b , and a flag unit 41 c .
  • the ALU unit 41 a includes an arithmetic operation unit, a logical operation unit, a comparator, and a TST.
  • the bit widths of operation data to be supported are 8 bits (use four operation units in parallel), 16 bits (use two operation units in parallel) and 32 bits (process 32-bit data using all operation units).
  • the flag unit 41 c and the like detects an overflow and generates a condition flag.
  • the comparator and the TST For a result of each of the operation units, the comparator and the TST, an arithmetic shift right, saturation by the saturation processing unit 41 b , the detection of maximum/minimum values, absolute value generation processing are performed.
  • FIG. 3 is a block diagram showing the configuration of the barrel shifter 45 .
  • the barrel shifter 45 which is made up of selectors 45 a and 45 b , a higher bit shifter 45 c , a lower bit shifter 45 d , and a saturation processing unit 45 e , executes an arithmetic shift of data (shift in the 2's complement number system) or a logical shift of data (unsigned shift).
  • arithmetic shift of data shift in the 2's complement number system
  • a logical shift of data unsigned shift.
  • 32-bit or 64-bit data are inputted to and outputted from the barrel shifter 45 .
  • the amount of shift of target data stored in the registers 30 a and 30 b are specified by another register or according to its immediate value.
  • An arithmetic or logical shift in the range of left 63 bits and right 63 bits is performed for the data, which is then outputted in an input bit length.
  • the barrel shifter 45 is capable of shifting 8-, 16-, 32-, and 64-bit data in response to a SIMD instruction.
  • the barrel shifter 45 can shift four pieces of 8-bit data in parallel.
  • Arithmetic shift which is a shift in the 2's complement number system, is performed for aligning decimal points at the time of addition and subtraction, for multiplying a power of 2 (2, the 2 nd power of 2, the ⁇ 1 st power of 2) and other purposes.
  • FIG. 4 is a block diagram showing the configuration of the converter 47 .
  • the converter 47 is made up of a saturation block (SAT) 47 a , a BSEQ block 47 b , an MSKGEN block 47 c , a VSUMB block 47 d , a BCNT block 47 e , and an IL block 47 f.
  • SAT saturation block
  • the saturation block (SAT) 47 a performs saturation processing for input data. Having two blocks for the saturation processing of 32-bit data makes it possible to support a SIMD instruction executed for two data elements in parallel.
  • the BSEQ block 47 b counts consecutive 0s or 1s from the MSB.
  • the MSKGEN block 47 c outputs a specified bit segment as 1, while outputting the others as 0.
  • the VSUMB block 47 d divides the input data into specified bit widths, and outputs their total sum.
  • the BCNT block 47 e counts the number of bits in the input data specified as 1.
  • the IL block 47 f divides the input data into specified bit widths, and outputs a value which resulted from exchanging the position of each data block.
  • FIG. 5 is a block diagram showing the configuration of the divider 46 .
  • a dividend be 64 bits and a divisor be 32 bits
  • the divider 46 outputs 32 bits as a quotient and a modulo, respectively. 34 cycles are involved for obtaining a quotient and a modulo.
  • the divider 46 can handle both singed and unsigned data. Note, however, that an identical setting is made concerning the presence/absence of signs of data serving as a dividend and a divisor. Also, the divider 46 has the capability of outputting an overflow flag, and a 0 division flag.
  • FIG. 6 is a block diagram showing the configuration of the multiplication/sum of products operation unit 44 .
  • the multiplication/sum of products operation unit 44 which is made up of two 32-bit multipliers (MUL) 44 a and 44 b , three 64-bit adders (Adder) 44 c ⁇ 44 e , a selector 44 f and a saturation processing unit (Saturation) 44 g , performs the following multiplications and sums of products:
  • FIG. 7 is a block diagram showing the configuration of the instruction control unit 10 .
  • the instruction control unit 10 which is made up of an instruction cache 10 a , an address management unit 10 b , instruction buffers 10 c ⁇ 10 e , a jump buffer 10 f , and a rotation unit (rotation) 10 g , issues instructions at ordinary times and at branch points. Having three 128-bit instruction buffers (the instruction buffers 10 c ⁇ 10 e ) makes it possible to support the maximum number of parallel instruction execution.
  • the instruction control unit 10 stores in advance a branch target instruction into the jump buffer 10 f and stores a branch target address into the below-described TAR register before performing a branch (settar instruction).
  • the instruction control unit 10 performs the branch using the branch target address stored in the TAR register and the branch target instruction stored in the jump buffer 10 f.
  • the processor 1 is a processor employing the VLIW architecture.
  • the VLIW architecture is an architecture allowing a plurality of instructions (e.g. load, store, operation, and branch) to be stored in a single instruction word, and such instructions are to be executed all at once.
  • programmers describing a set of instructions which can be executed in parallel as a single issue group it is possible for such issue group to be processed in parallel.
  • the delimiter of an issue group is indicated by “;;”. Notational examples are described below.
  • This instruction description indicates that only an instruction “mov” shall be executed.
  • the instruction control unit 10 identifies an issue group and sends it to the decoding unit 20 .
  • the decoding unit 20 decodes the instructions in the issue group, and controls resources required for executing such instructions.
  • TABLE 1 Register Bit No. of name width registers Usage R0 ⁇ R31 32 bits 32 General-purpose registers. Used as data memory pointer, data storage and the like when operation instruction is executed. TAR 32 bits 1 Branch register. Used as branch address storage at branch point. LR 32 bits 1 Link register. SVR 16 bits 2 Save register. Used for saving condition flag (CFR) and various modes.
  • Table 2 below lists a set of flags (flags managed in a condition flag register and the like described later) of the processor 1 .
  • FIG. 8 is a diagram showing the configuration of the general-purpose registers (R 0 ⁇ R 31 ) 30 a .
  • the general-purpose registers (R 0 ⁇ R 31 ) 30 a are a group of 32-bit registers that constitute an integral part of the context of a task to be executed and that store data or addresses. Note that the general-purpose registers R 30 and R 31 are used by hardware as a global pointer and a stack pointer, respectively.
  • FIG. 9 is a diagram showing the configuration of a link register (LR) 30 c .
  • the processor 1 also has a save register (SVR) not illustrated in the diagram.
  • the link register (LR) 30 c is a 32-bit register for storing a return address at the time of a function call.
  • the save register (SVR) is a 16-bit register for saving a condition flag (CFR.CF) of the condition flag register at the time of a function call.
  • the link register (LR) 30 c is used also for the purpose of increasing the speed of loops, as in the case of a branch register (TAR) to be explained later. 0 is always read out as the lower 1 bit, but 0 must be written at the time of writing.
  • the processor 1 when “call (brl, jmpl)” instructions are executed, the processor 1 saves a return address in the link register (LR) 30 c and saves a condition flag (CFR.CF) in the save register (SVR).
  • the processor 1 fetches the return address (branch target address) from the link register (LR) 30 c , and restores a program counter (PC).
  • the processor 1 fetches the branch target address (return address) from the link register (LR) 30 c , and stores (restores) it in/to the program counter (PC).
  • the processor 1 fetches the condition flag from the save register (SVR) so as to store (restore) it in/to a condition flag area CFR.CF in the condition flag register (CFR) 32 .
  • FIG. 10 is a diagram showing the configuration of the branch register (TAR) 30 d .
  • the branch register (TAR) 30 d is a 32-bit register for storing a branch target address, and is used mainly for the purpose of increasing the speed of loops. 0 is always read out as the lower 1 bit, but 0 must be written at the time of writing.
  • the processor 1 fetches a branch target address from the branch register (TAR) 30 d , and stores it in the program counter (PC).
  • a branch penalty will be 0.
  • An increased loop speed can be achieved by storing the top address of a loop in the branch register (TAR) 30 d.
  • FIG. 11 is a diagram showing the configuration of a program status register (PSR) 31 .
  • the program status register (PSR) 31 which constitutes an integral part of the context of a task to be executed, is a 32-bit register for storing the following processor status information:
  • Bit SWE indicates whether the switching of VMP (Virtual Multi-Processor) to LP (Logical Processor) is enabled or disabled.
  • Bit FXP indicates a fixed point mode. “0” indicates the mode and “1” indicates the mode 1 .
  • Bit IH is an interrupt processing flag indicating that maskable interrupt processing is ongoing or not. “1” indicates that there is an ongoing interrupt processing and “0” indicates that there is no ongoing interrupt processing. This flag is automatically set on the occurrence of an interrupt. This flag is used to make a distinction of whether interrupt processing or program processing is taking place at a point in the program to which the processor returns in response to “rti” instruction.
  • Bit LPIE 3 indicates whether LP-specific interrupt 3 is enabled or disabled. “1” indicates that an interrupt is enabled and “0” indicates that an interrupt is disabled.
  • Bit LPIE 2 indicates whether LP-specific interrupt 2 is enabled or disabled. “1” indicates that an interrupt is enabled and “0” indicates that an interrupt is disabled.
  • Bit LPIE 1 indicates whether LP-specific interrupt 1 is enabled or disabled. “1” indicates that an interrupt is enabled and “0” indicates that an interrupt is disabled.
  • Bit LPIE 0 indicates whether LP-specific interrupt 0 is enabled or disabled. “1” indicates that an interrupt is enabled and “0” indicates that an interrupt is disabled.
  • Bit AEE indicates whether a misalignment exception is enabled or disabled. “1” indicates that a misalignment exception is enabled and “0” indicates that a misalignment exception is disabled.
  • Bit IE indicates whether a level interrupt is enabled or disabled. “1” indicates that a level interrupt is enabled and “0” indicates a level interrupt is disabled.
  • Bit IM [7:0] indicates an interrupt mask, and ranges from levels 0 ⁇ 7, each being able to be masked at its own level.
  • Level 0 is the highest level. Of interrupt requests which are not masked by any IMs, only the interrupt request with the highest level is accepted by the processor 1 . When an interrupt request is accepted, levels below the accepted level are automatically masked by hardware.
  • IM[0] denotes a mask of level 0, IM[1] a mask of level 1, IM[2] a mask of level 2, IM[3] a mask of level 3, IM[4] a mask of level 4, IM[5] a mask of level 5, IM[6] a mask of level 6, and IM[7] a mask of level 7.
  • reserved indicates a reserved bit. 0 is always read out. 0 must be written at the time of writing.
  • FIG. 12 is a diagram showing the configuration of the condition flag register (CFR) 32 .
  • the condition flag register (CFR) 32 which constitutes an integral part of the context of a task to be executed, is a 32-bit register made up of condition flags, operation flags, vector condition flags, an operation instruction bit position specification field, and a SIMD data alignment information field.
  • Bit BPO [4:0] indicates a bit position. It is used in an instruction that requires a bit position specification.
  • Bit VC 0 ⁇ VC 3 are vector condition flags. Starting from a byte on the LSB side or a half word through to the MSB side, each corresponds to a flag ranging from VC 0 through to VC 3 .
  • Bit OVS is an overflow flag (summary). It is set on the detection of saturation and overflow. If not detected, a value before the instruction is executed is retained. Clearing of this flag needs to be carried out by software.
  • Bit CAS is a carry flag (summary). It is set when a carry occurs under “addc” instruction, or when a borrow occurs under “subc” instruction. If there is no occurrence of a carry under “addc” instruction, or a borrow under “subc” instruction, a value before the instruction is executed is retained. Clearing of this flag needs to be carried out by software.
  • Bit C 0 ⁇ C 7 are condition flags.
  • the value of the flag C 7 is always 1. A reflection of a FALSE condition (writing of 0) made to the flag C 7 is ignored.
  • reserved indicates a reserved bit. 0 is always read out. 0 must be written at the time of writing.
  • FIGS. 13A and 13B are diagrams showing the configurations of accumulators (M 0 , M 1 ) 30 b .
  • Such accumulators (M 0 , M 1 ) 30 b which constitute an integral part of the context of a task to be executed, are made up of a 32-bit register MHO-MH 1 (register for multiply and divide/sum of products (the higher 32 bits)) shown in FIG. 13A and a 32-bit register MLO-ML 1 (register for multiply and divide/sum of products (the lower 32 bits)) shown in FIG. 13B .
  • the register MHO-MH 1 is used for storing the higher 32 bits of operation results at the time of a multiply instruction, while used as the higher 32 bits of the accumulators at the time of a sum of products instruction. Moreover, the register MHO-MH 1 can be used in combination with the general-purpose registers in the case where a bit stream is handled. Meanwhile, the register MLO-ML 1 is used for storing the lower 32 bits of operation results at the time of a multiply instruction, while used as the lower 32 bits of the accumulators at the time of a sum of products instruction.
  • FIG. 14 is a diagram showing the configuration of a program counter (PC) 33 .
  • This program counter (PC) 33 which constitutes an integral part of the context of a task to be executed, is a 32-bit counter that holds the address of an instruction being executed.
  • FIG. 15 is a diagram showing the configuration of a PC save register (IPC) 34 .
  • This PC save register (IPC) 34 which constitutes an integral part of the context of a task to be executed is a 32-bit register.
  • FIG. 16 is a diagram showing the configuration of a PSR save register (IPSR) 35 .
  • This PSR save register (IPSR) 35 which constitutes an integral part of the context of a task to be executed, is a 32-bit register for saving the program status register (PSR) 31 . 0 is always read out as a part corresponding to a reserved bit, but 0 must be written at the time of writing.
  • a linear memory space with a capacity of 4 GB is divided into 32 segments, and an instruction SRAM (Static RAM) and a data SRAM are allocated to 128-MB segments.
  • a target block to be accessed is set in a SAR (SRAM Area Register).
  • a direct access is made to the instruction SRAM/data SRAM when the accessed address is a segment set in the SAR, but an access request shall be issued to a bus controller (BCU) when such address is not a segment set in the SAR.
  • An on chip memory (OCM), an external memory, an external device, an I/O port and others are connected to the BUC. Data reading/writing from and to these devices is possible.
  • FIG. 17 is a timing diagram showing the pipeline behavior of the processor 1 .
  • the pipeline of the processor 1 basically consists of the following five stages: instruction fetch; instruction assignment (dispatch); decode; execution; and writing.
  • FIG. 18 is a timing diagram showing each stage of the pipeline behavior of the processor 1 at the time of executing an instruction.
  • the instruction fetch stage an access is made to an instruction memory which is indicated by an address specified by the program counter (PC) 33 , and the instruction is transferred to the instruction buffers 10 c ⁇ 10 e and the like.
  • the instruction assignment stage the output of branch target address information in response to a branch instruction, the output of an input register control signal, the assignment of a variable length instruction are carried out, which is followed by the transfer of the instruction to an instruction register (IR).
  • the IR is inputted to the decoding unit 20 , and an operation unit control signal and a memory access signal are outputted.
  • an operation is executed and the result of the operation is outputted either to the data memory or the general-purpose registers (R 0 ⁇ R 31 ) 30 a .
  • the writing stage a value obtained as a result of data transfer, and the operation results are stored in the general-purpose registers.
  • the VLIW architecture of the processor 1 allows parallel execution of the above processing on a maximum of three data elements. Therefore, the processor 1 performs the behavior shown in FIG. 18 in parallel at the timing shown in FIG. 19 .
  • Tables 3 ⁇ 5 list categorized instructions to be executed by the processor 1 .
  • TABLE 3 Category Operation unit Instruction operation code Memory transfer M ld, ldh, ldhu, ldb, ldbu, ldp, ldhp, ldbp, ldbh, instruction (load) ldbuh, ldbhp, ldbuhp Memory transfer M st, sth, stb, stp, sthp, stbp, stbh, stbhp instruction (store) Memory transfer M dpref, ldstb instruction (others) External register M rd, rde, wt, wte transfer instruction Branch instruction B br, brl, call, jmp, jmpl, jmpr, ret, jmpf, jloop, setbb, setlr, settar Software interrupt B rti, pi0, pi0l
  • Operaation units in the above tables refer to operation units used in the respective instructions. More specifically, “A” denotes ALU instruction, “B” branch instruction, “C” conversion instruction, “DIV” divide instruction, “DBGM” debug instruction, “M” memory access instruction, “S1” and “S2” shift instruction, and “X1” and “X2” multiply instruction.
  • FIG. 20 is a diagram showing the format of the instructions executed by the processor 1 .
  • P is predicate (execution condition: one of the eight condition flags C 0 ⁇ C 7 is specified); “OP” is operation code field; “R” is register field; “I” is immediate field; and “D” is displacement field.
  • predicates which are flags for controlling whether or not an instruction is executed based on values of the condition flags C 0 ⁇ C 7 , serve as a technique that allows instructions to be selectively executed without using a branch instruction and therefore that accelerates the speed of processing.
  • FIGS. 21 ⁇ 36 are diagrams explaining outlined functionality of the instructions executed by the processor 1 . More specifically, FIG. 21 explains an instruction belonging to the category “ALUadd (addition) system)”; FIG. 22 explains an instruction belonging to the category “ALUsub (subtraction) system)”; FIG. 23 explains an instruction belonging to the category “ALUlogic (logical operation) system and others”; FIG. 24 explains an instruction belonging to the category “CMP (comparison operation) system”; FIG. 25 explains an instruction belonging to the category “mul (multiplication) system”; FIG. 26 explains an instruction belonging to the category “mac (sum of products operation) system”; FIG. 27 explains an instruction belonging to the category “msu (difference of products) system”; FIG.
  • FIG. 28 explains an instruction belonging to the category “MEMId (load from memory) system”;
  • FIG. 29 explains an instruction belonging to the category “MEMstore (store in memory) system”;
  • FIG. 30 explains an instruction belonging to the category “BRA (branch) system”;
  • FIG. 31 explains an instruction belonging to the category “BSasl (arithmetic barrel shift) system and others”;
  • FIG. 32 explains an instruction belonging to the category “BSlsr (logical barrel shift) system and others”;
  • FIG. 33 explains an instruction belonging to the category “CNVvaln (arithmetic conversion) system”;
  • FIG. 34 explains an instruction belonging to the category “CNV (general conversion) system”;
  • FIG. 35 explains an instruction belonging to the category “SATvlpk (saturation processing) system”;
  • FIG. 36 explains an instruction belonging to the category “ETC (et cetera) system”.
  • SIMD indicates the type of an instruction (distinction between SISD (SINGLE) and SIMD); “Size” indicates the size of individual operand to be an operation target; “Instruction” indicates the operation code of an operation; “Operand” indicates the operands of an instruction; “CFR” indicates a change in the condition flag register; “PSR” indicates a change in the processor status register; “Typical behavior” indicates the overview of a behavior; “Operation unit” indicates a operation unit to be used; and “3116” indicates the size of an instruction.
  • FIGS. 37 ⁇ 748 are diagrams explaining the detailed functionality of the instructions executed by the processor 1 . Note that the meaning of each symbol used for explaining the instructions is as described in Tables 6 ⁇ 10 below. TABLE 6 Symbol Meaning X[i] Bit number i of X X[i:j] Bit number j to bit number i of X X:Y Concatenated X and Y ⁇ n ⁇ X ⁇ n repetitions of X sextM(X,N) Sign-extend X from N bit width to M bit width. Default of M is 32. Default of N is all possible bit widths of X. uextM(X,N) Zero-extend X from N bit width to M bit width. Default of M is 32.
  • N Default of N is all possible bit widths of X. smul(X,Y) Signed multiplication X * Y umul(X,Y) Unsigned multiplication X * Y sdiv(X,Y) Integer part in quotient of signed division X/Y smod(X,Y) Modulo with the same sign as dividend.
  • RH and RL are defined as follows: straight Operation is performed between RHa and RHb cross Operation is performed between RHa and RLb, and RLa and RHb high Operation is performed between RHa and RHb, and RLa and RHb low Operation is performed between RHa and RLb, and RLa and RLb pair Operation is performed between RH and RHb, and RH and RLb (RH is 32-bit data)
  • Instruction vcchk is a SIMD instruction for judging whether results of a SIMD compare instruction (e.g. vcmpCCb) are all zero or not, and setting the results to the condition flag register (CFR) 32 . For example, when a SIMD compare instruction (e.g. vcmpCCb) are all zero or not, and setting the results to the condition flag register (CFR) 32 . For example, when vcmpCCb) are all zero or not, and setting the results to the condition flag register (CFR) 32 . For example, when vcmpCCb) are all zero or not, and setting the results to the condition flag register (CFR) 32 . For example, when vcmpCCb are all zero or not, and setting the results to the condition flag register (CFR) 32 . For example, when vcmpCCb) are all zero or not, and setting the results to the condition flag register (CFR) 32 . For example, when vcmpCCb) are all zero or not, and setting the results
  • the processor judges, as illustrated in FIG. 37 , whether the vector condition flags VC 0 ⁇ VC 3 ( 110 ) in the condition flag register (CFR) 32 are all zero or not, and sets the condition flags C 4 and C 5 in the condition flag resister (CFR) 32 to 1 and 0 respectively when all of the vector condition flags VC 0 ⁇ VC 3 ( 110 ) are zero, while setting the condition flags C 4 and C 5 in the condition flag resister (CFR) 32 to 0 and 1 respectively when not all the vector condition flags VC 0 ⁇ VC 3 ( 110 ) are zero. Then, the vector condition flags VC 0 ⁇ VC 3 are stored in the condition flags C 0 ⁇ C 3 . A detailed behavior is as shown in FIG. 38 .
  • This instruction allows a faster extraction of results of SIMD compare instructions (especially, agreement/disagreement of results), and is effective when detecting the EOF (End Of File) of a file and other purposes.
  • Instruction stbh is an instruction for storing, into a memory and the like, two pieces of byte data stored in one register (byte data stored in the higher 16 bits and byte data stored in the lower 16 bits). This instruction is paired with Instruction Idbh (for moving data in the opposite direction). For example, when
  • the processor 1 uses the I/F unit 50 and others, stores two pieces of byte data stored in the register Rb (the 16 ⁇ 23th bits and the 0 ⁇ 7th bits in the register Rb) into storage locations indicated by addresses specified by the register Ra, as illustrated in FIG. 39 .
  • a detailed behavior is as shown in FIG. 40 .
  • Instruction stbhp is an instruction for storing, into a memory and the like, four pieces of byte data stored in two registers (pair registers) (two pieces of byte data stored in the higher 16 bits of the respective registers and two pieces of byte data stored in the lower 16 bits of the respective registers).
  • This instruction is paired with Instruction Idbhp (for moving data in the opposite direction). For example, when
  • the processor 1 uses the I/F unit 50 and others, stores four pieces of byte data stored in the registers Rb and Rb+1 (the 16 ⁇ 23th bits and the 0 ⁇ 7th bits in the respective registers) into storage locations indicated by addresses specified by the register Ra, as illustrated in FIG. 41 .
  • a detailed behavior is as shown in FIG. 42 .
  • Instruction sethi is an instruction for storing an immediate value in the higher 16 bits of a register without changing the lower 16 bits of the register. For example, when
  • the processor 1 stores a 16-bit immediate value (I 16 ) in the higher 16 bits of the register Ra, as shown in FIG. 43 . When this is done, there is no change in the lower 16 bits of the register Ra. A detailed behavior is as shown in FIG. 44 .
  • This instruction when combined with Instruction “mov Rb, I 16 ”, makes it possible for a 32-bit immediate value to be set in a register.
  • Instruction vaddhvc is a SIMD instruction for making a switch of objects to be added, depending on the value of a vector condition flag. For example, when
  • the processor 1 uses the operation unit 40 and others, adds the value held in the register Ra with the value held in the register Ra or Rb in the half word vector format, and stores the result into the register Rc, as shown in FIG. 45 .
  • This instruction is effective when used for motion compensation in image processing. Since a value which resulted from dividing the value held in the addition result register Rc by 2 serves as the average value of Ra or the average value of Ra and Rb, there is an advantage that a single program can support half-pel motion compensation (motion compensation performed on a per-half-pixel basis) regardless of whether pixels are integer pixels or half pixels, as shown in FIG. 47 .
  • Instruction vaddrhvc is equivalent to an instruction in which rounding is performed in addition to processing of the above-explained Instruction vaddhvc.
  • the processor 1 uses the arithmetic and logic/comparison operation unit 41 and others, adds the value held in the register Ra with the value held in the register Ra or Rb in the half word vector format and further adds 1 for rounding, and stores the result into the register Rc, as shown in FIG. 48 .
  • Other behavior is equivalent to that of Instruction vaddhvc. A detailed behavior is as shown in FIG. 49 .
  • This instruction is also effective when used for motion compensation in image processing.
  • vaddhvc and vaddrhvc 1-bit shift right (processing to perform a division by 2) may be added. Such functionality enables a processor to directly determine pixel values of integer pixels and half pixels.
  • an instruction having functionalities of both Instruction vaddhvc and Instruction vaddrhvc may also be possible to define an instruction having functionalities of both Instruction vaddhvc and Instruction vaddrhvc.
  • An example of such instruction is one which is capable of behaving either as Instruction vaddhvc or Instruction vaddhrvc depending on a value of a condition flag. Such an instruction allows a single program to perform processing regardless of whether rounding is performed or not.
  • Instruction vsgnh is a SIMD instruction for generating a value depending on the sign (positive/negative) of the value held in a register and whether a value held in a register is zero or not. For example, when
  • the processor 1 stores one of the following values into the register Rb in half word vector format, as shown in FIG. 50 :(i) 1 when the value held in the register Ra is positive, (ii) ⁇ 1 when the value held in the register Ra is negative, and (iii) 0 when the value held in the register Ra is 0.
  • a detailed behavior is as shown in FIG. 51 .
  • This instruction is effective when used for inverse quantization in image processing since 1 is outputted when a certain value is positive, ⁇ 1 when negative, and 0 when 0.
  • values on which SIMD operations are difficult to be performed can be calculated at an increased speed.
  • Instruction valnvc 1 is a SIMD instruction for byte-aligning data and extracting different byte data depending on a vector condition flag. For example, when
  • the processor 1 performs byte-alignment by shifting a bit string resulted from concatenating the registers Ra and Rb according to a value indicated by Bit ALN[1:0] of the condition flag register (CFR) 32 , and stores four pieces of byte data which have been extracted depending on a value of the vector condition flag VC 0 , as shown in FIG. 52 .
  • a detailed behavior is as shown in FIG. 53 .
  • This instruction is effective when used for motion compensation in image processing. Since a value resulted from dividing the value held in the addition result register Rc by 2 on a per-half word vector basis equals to “a” and “b”, or (a+b)/2 and (b+c)/2, there is an advantage that a single program can support half-pel motion compensation (motion compensation performed on a per-half-pixel basis) regardless of whether pixels are integer pixels or half pixels, as shown in FIG. 47 .
  • the present invention is not limited to byte as a unit of alignment, and therefore that half word and half byte may also serve as a unit of alignment.
  • Instruction addarvw is an instruction for adding two values and further adding 1 when one of such values is positive. For example, when
  • the processor 1 uses the arithmetic and logic/comparison operation unit 41 and others, adds the value held in the register Ra and the value held in the register Rb, as shown in FIG. 57 . When this is done, the processor 1 further adds 1 when the value held in the register Ra is positive. A detailed behavior is as shown in FIG. 58 .
  • This instruction is effective when used for “rounding of an absolute value (away from zero)”.
  • a value to be rounded is stored in the register Ra, and a value resulted from filling, with 1, a bit corresponding to one lower than the bit to be rounded shall be stored in the register Rb.
  • a result generated by rounding the absolute value of the value held in the register Ra (here, the most significant bit is a sign bit, and therefore the value held in Ra is fixed point data which has a point between the second bit and the third bit from the most significant bit) is to be stored in the register Rc.
  • FIG. 58 by masking bits other than the higher 2 bits of the register Ra, +1 is obtained for +0.5, and ⁇ 1 is obtained for ⁇ 0.5, and absolute value rounding is realized.
  • this instruction is effective when used for rounding absolute values in image processing.
  • Instruction movp is an instruction for moving values held in arbitrary two registers to two consecutive registers. For example, when
  • the processor 1 uses the I/F unit 50 and others, moves the value held in the register Ra to the register Rc, and moves the value held in the register Rb to the register Rc+1, as shown in FIG. 60 .
  • a detailed behavior is as shown in FIG. 61 .
  • this instruction Since values held in independent two registers are moved in one cycle under this instruction, an effect of reducing the number of cycles in a loop can be achieved. Also, this instruction, which does not involve register renaming (destruction of a register value), is effective when data is moved between loop generations (iterations).
  • move is not an exclusive type of operations, and therefore unary operations (e.g. “neg”) and binary operations (“add”) are also in the scope of the present invention.
  • add binary operations
  • an add instruction in which arbitrary two registers (R 0 and R 6 ) and two consecutive registers (R 2 and R 3 ) are specified, two add operations, i.e. “R 0 +R 2 ⁇ R 2 ” and “R 6 +R 3 ⁇ R 3 ” are performed in a single instruction (in one cycle).
  • Instruction jloop is an instruction for performing branches and setting condition flags (predicates, here) in a loop. For example, when
  • the processor 1 behaves as follows, using the address management unit 10 b and others: (i) sets 1 to the condition flag Cm; (i) sets 0 to the condition flag C 6 when the value held in the register Ra is smaller than 0; (iii) adds ⁇ 1 to the value held in the register Ra and stores the result into the register Ra; and (iv) branches to an address specified by the branch register (TAR) 30 d .
  • the jump buffer 10 f (branch instruction buffer) is filled with a branch target instruction.
  • a detailed behavior is as shown in FIG. 62 .
  • Instruction settar is an instruction for storing a branch target address in the branch register (TAR) 30 d , and setting condition flags (predicates, here). For example, when
  • the processor 1 behaves as follows, using the address management unit 10 b and others: (i) stores an address resulted from adding the value held in the program counter (PC) 33 and a displacement value (D 9 ) into the branch register (TAR) 30 d ; (ii) fetches the instruction corresponding to such address and stores it in the jump buffer 10 f (branch instruction buffer); and (iii) sets the condition flag C 6 to 1 and the condition flag Cm to 0.
  • a detailed behavior is as shown in FIG. 63 .
  • PROLOG/EPILOG removal is intended to visually remove a PROLOG portion and an EPILOG portion by using the PROLOG portion and the EPILOG portion as condition execution instructions to be performed according to predicates, as shown in FIG. 64 .
  • the condition flags C 6 and C 4 are illustrated as predicates for an EPILOG instruction (Stage 2) and a PROLOG instruction (Stage 1), respectively.
  • a compiler when the ordinary jloop and settar instructions are used in a source program written in the C language shown in FIG. 65 , a compiler generates a machine language program shown in FIG. 66 by means of PROLOG/EPILOG removal software pipelining. As indicated by the loop part in such machine language program (Label L00023 ⁇ Instruction jloop), 3 cycles are involved in loop execution since an instruction for setting the condition flag C 4 (Instruction cmpeq) is required. Furthermore, two instructions are required for the setting and resetting of the condition flag C 4 , reducing the effect of PROLOG/EPILOG removal.
  • Instruction jloop and Instruction settar are included in an instruction set
  • a compiler when Instruction jloop and Instruction settar according to the present embodiment are included in an instruction set, a compiler generates a machine language program shown in FIG. 67 . As indicated by the loop part in such machine language program (Label L00023 ⁇ Instruction jloop), the setting and resetting of the condition flag C 4 are conducted under Instructions jloop and settar, respectively. This reduces the need for any special instructions, allowing loop execution to complete in 2 cycles.
  • Instruction “jloop C 6 , Cm, TAR, Ra” and Instruction “settar C 6 , Cm, D 9 ” are effective for reducing the number of execution cycles in 2-stage PROLOG/EPILOG removal software pipelining.
  • the processor 1 supports instructions which are applicable not only to 2-stage software pipelining, but also to 3-stage software pipelining: Instruction “jloop C 6 , C 2 : C 4 , TAR, Ra” and Instruction “settar C 6 , C 2 : C 4 , D 9 ”.
  • These instructions “jloop C 6 , C 2 : C 4 , TAR, Ra” and “settar C 6 , C 2 : C 4 , D 9 ” are equivalent to instructions in which the register Cm in the above-described 2-stage instructions “jloop C 6 , Cm, TAR, Ra” and “settar C 6 , Cm, D 9 ” are extended to the registers C 2 , C 3 and C 4 .
  • the processor 1 behaves as follows, using the address management unit 10 b and others: (i) sets the condition flag C 4 to 0 when the value held in the register Ra is smaller than 0; (ii) moves the value of the condition flag C 3 to the condition flag C 2 and moves the value of the condition flag C 4 to the condition flags C 3 and C 6 ; (iii) adds ⁇ 1 to the register Ra and stores the result into the register Ra; and (iv) branches to an address specified by the branch register (TAR) 30 d .
  • the jump buffer 10 f branch instruction buffer
  • a detailed behavior is as shown in FIG. 68 .
  • the processor 1 behaves as follows, using the address management unit 10 b and others: (i) stores an address resulted from adding the value held in the program counter (PC) 33 and a displacement value (D 9 ) into the branch register (TAR) 30 d ; (ii) fetches the instruction corresponding to such address and stores it in the jump buffer 10 f (branch instruction buffer); and (iii) sets the condition flags C 4 and C 6 to 1 and the condition flags C 2 and C 3 to 0. A detailed behavior is as shown in FIG. 69 .
  • FIGS. 70A and 70B show the role of the condition flags in the above 3-stage instructions “jloop C 6 , C 2 : C 4 , TAR, Ra” and “settar C 6 , C 2 : C 4 , D 9 ”.
  • the condition flags C 2 , C 3 and C 4 are predicates intended for Stage 3, Stage 2 and Stage 1, respectively.
  • FIG. 70B is a diagram showing how instruction execution is going on when moving flags in such a case.
  • FIGS. 71 ⁇ 73 show program examples illustrating the significance of moving flags in the above instructions “jloop C 6 , C 2 : C 4 , TAR, Ra” and “settar C 6 , C 2 : C 4 , D 9 ”.
  • FIG. 71 shows an example of a source program
  • FIG. 72 shows an example of a machine language program created by using ordinary instructions jloop and settar without the functionality of moving flags
  • FIG. 73 shows an example of a machine language program created by using Instruction jloop and Instruction settar according to the present embodiment that have the functionality of moving flags.
  • the use of Instruction jloop and Instruction settar according to the present embodiment that have the functionality of moving flags reduces the number of instructions by five as well as the number of times a loop is executed by one cycle.
  • the processor 1 is also capable of executing the following characteristic instructions which are not shown in FIGS. 21 ⁇ 36 .
  • Instruction vsada is a SIMD instruction for determining a sum of absolute value differences. For example, when
  • the processor 1 uses the arithmetic and logic/comparison operation unit 41 and others, performs SIMD operations for determining differences between the values held in the register Ra and the values held in the register Rb on a byte-by-byte basis (determines the difference between the respective four byte pairs), as shown in FIG. 74 , determines the absolute value of each of the four results so as to add them, adds the value held in the register Rx to this addition result, and stores the final result into the register Rc.
  • FIG. 75A A detailed behavior is as shown in FIG. 75A .
  • processor 1 is also capable of executing an instruction which does not include the last operand (Rx) in the format of the above Instruction vsada. For example, when
  • the processor 1 uses the arithmetic and logic/comparison operation unit 41 and others, performs SIMD operations for determining differences between the values held in the register Ra and the values held in the register Rb on a byte-by-byte basis (determines the difference between the respective four byte pairs), determines the absolute value of each of the four results so as to add them, and stores the result into the register Rc.
  • SIMD operations for determining differences between the values held in the register Ra and the values held in the register Rb on a byte-by-byte basis (determines the difference between the respective four byte pairs), determines the absolute value of each of the four results so as to add them, and stores the result into the register Rc.
  • FIG. 75B A detailed behavior is as shown in FIG. 75B .
  • Instruction vasubb is a SIMD instruction for performing subtractions on four pairs of SIMD data on a byte-by-byte basis, and storing the resulting four signs in the condition flag register.
  • Instruction vabssumb is a SIMD instruction for adding the absolute values of four pairs of SIMD data on a byte-by-byte basis according to the condition flag register, and adding this addition result to another 4-byte data.
  • Instruction vsada makes it possible for a sum of absolute value differences to be determined in one cycle and therefore makes the speed of operations faster, as compared with the case where Instruction vasubb and Instruction vabssumb are used in succession.
  • Instruction vasada is effective when used for summing up absolute value differences in motion prediction as part of image processing.
  • Instruction satss is an instruction for converting a signed value into a saturated signed value at an arbitrary position (digit). For example, when
  • the processor 1 uses the saturation block (SAT) 47 a and others, stores, into the register Rc, a saturated value (complement on 1 of the register Rb) specified by the register Rb when the value held in the register Ra is larger than such saturated value, and stores the value held in the register Ra into the register Rc when the value held in the register Ra is equal to or smaller than the saturated value, as illustrated in FIG. 76 .
  • a detailed behavior is as shown in FIG. 77A .
  • Instruction satsu is an instruction for converting an unsigned value into a saturated signed value at an arbitrary position (digit). For example, when
  • the processor 1 using the saturation block (SAT) 47 a and others, stores a saturated value specified by the register Rb into the register Rc when the value held in the register Ra is larger than such saturated value, and stores the value held in the register Ra into the register Rc when the value held in the register Ra is equal to or smaller than the saturated value.
  • SAT saturation block
  • Instruction satss and Instruction satsu allow saturation processing to be performed at an arbitrary position. This facilitates programming since there is no need for setting a position where saturation is performed to a specific position at the time of assembler programming.
  • Instruction bytesel is an instruction for selecting one of the values held in two registers on a byte-by-byte basis. For example, when
  • the processor 1 uses the operation unit 40 and others, stores one of eight pieces of byte data held in the register Ra and the register Rb into the register Rc, on the basis of a value indicated by the register Rx, as illustrated in FIG. 78 .
  • This behavior is performed on four pieces of bytes in the register Rc in parallel. A detailed behavior is shown in FIG. 79A , and a relationship between the register Rx and byte data to be selected is shown in FIG. 79B .
  • processor 1 behaves in an equivalent manner also for Instruction bytesel in the following format:
  • the processor 1 uses the operation unit 40 and others, stores one of eight pieces of byte data held in the register Ra and the register Rb into the register Rc, on the basis of a 12-bit immediate value. This behavior is performed on four pieces of bytes in the register Rc in parallel. A detailed behavior is shown in FIG. 79C , and a relationship between an immediate value I 12 and byte data to be selected is shown in FIG. 79D .
  • Instruction bytesel allows byte data to be stored at an arbitrary position in a register, and therefore makes repetitions of data reshuffling faster. Moreover, this instruction has an effect of increasing the flexibility of SIMD operations.
  • the processor 1 is also capable of executing SIMD operation-related complementary processing, in addition to the above-explained instructions.
  • the processor 1 when a certain instruction is issued, performs complementary processing for extending a part of results of SIMD operations (sign extension or zero extension), as illustrated in FIGS. 80A and 80B , which show the processor 1 performing SIMD operations on data at the same positions in respective registers (to be referred to also as “straight positions” hereinafter) or on data at diagonally crossed positions, on a per-half word basis.
  • FIG. 80A illustrates processing for extending the lower half word of a required result to a word
  • FIG. 80B illustrates processing for extending the higher half word of a required result to a word.
  • Instruction vaddh is an example instruction for performing SIMD operations on data at straight positions on a per-half word basis
  • Instruction vxaddh is an example instruction for performing SIMD operations on data at diagonally crossed positions on a per-half word basis.
  • FIG. 81 illustrates the processor 1 performing SIMD operations on pieces of data stored at straight positions or diagonally crossed positions in two registers on a per-half word basis, as well as extending each of resulting two half words to a word.
  • Such an instruction for extending results of SIMD operation as above is effective when making data size all the same by performing sign extension or zero extension after performing the SIMD operations, enabling SIMD operations and extension processing to be performed in one cycle.
  • the processor 1 is also capable of executing SIMD operations specified by condition flags and the like, as SIMD operation-related complementary instructions.
  • condition flags specify that the first and the second operations should be “addition” and “subtraction” respectively, performs additions and subtractions on each of data pairs in two registers at straight positions or diagonally crossed positions on a per-half word basis, as illustrated in FIG. 82 .
  • the processor 1 behaves as follows, using the arithmetic and logic/comparison operation unit 41 and others:
  • Such an instruction in which types of SIMD operations are specifiable is effective for processing in which types of operations to be performed are not fixed, and therefore in which an operation shall be determined depending on a result of other processing.
  • the processor 1 may:

Abstract

A processor according to the present invention includes a decoding unit 20, an operation unit 40 and others. When the decoding unit 20 decodes Instruction vcchk, the operation unit 40 and the like judges whether vector condition flags VC0˜VC3 (110) of a condition flag register (CFR) 32 are all zero or not, and (i) sets condition flags C4 and C5 of the condition flag register (CFR) 32 to 1 and 0, respectively, when all of the vector condition flags VC0˜VC3 are zero, and (ii) sets the condition flags C4 and C5 to 0 and 1, respectively, when not all the vector condition flags are zero. Then, the vector condition flags VC0˜VC3 are stored in the condition flags C0˜C3.

Description

  • This application is a divisional of application Ser. No. 10/668,358, filed Sep. 24, 2003.
  • BACKGROUND OF THE INVENTION
  • (1) Field of the Invention
  • The present invention relates to a processor such as a DSP and a CPU, and more particularly to a processor suitable for performing signal processing for sounds, images and others.
  • (2) Description of the Related Art
  • With the development in multimedia technologies, processors are increasingly required to be capable of high-speed media processing represented by sound and image signal processing. As existing processors responding to such requirement, there exist Pentium (R)/Pentium (R) III/Pentium 4 (R) MMX/SSE/SSE2 and others produced by the Intel Corporation of the United States supporting SIMD (Single Instruction Multiple Data) instructions. Of them, MMX, for example, is capable of performing the same operations in one instruction on a maximum of eight integers stored in a 64-bit MMX register.
  • However, there is a problem that such existing processors do not fully satisfy a wide range of requirements concerning media processing.
  • For example, although capable of operating on multiple data elements in a single instruction and comparing multiple data elements in a single instruction, the existing processors cannot evaluate the results of such comparisons in a single instruction. For example, an existing processor is capable of comparing two data elements stored in 32-bit registers on a byte-by-byte basis, and setting comparison results to four flags. However, it cannot make a judgment on whether all values of these four flags are zero or not in one instruction. For this reason, the processor needs to read out all four flags and execute more than one instruction for judging whether all such values are zero or not. This requires a plurality of instructions for evaluating results every time a comparison is made against another set of pixel values when four pixel values are used as a unit of comparison, resulting in an increased number of instructions and therefore a decreased speed of image processing.
  • SUMMARY OF THE INVENTION
  • The present invention has been conceived in view of the above problem, and it is an object of this invention to provide a processor capable of executing sophisticated SIMD operations and a processor capable of high-speed digital signal processing suited for multimedia purposes.
  • As is obvious from the above explanation, the processor according to the present invention is capable of executing a characteristic SIMD instruction for judging whether or not results of operations performed under a SIMD compare instruction are all zero and setting such results to condition flags. This allows a faster extraction of results of SIMD compare instructions (especially, agreement/disagreement of results), as well as a faster comparison processing to be performed on more than one pixel value as a processing unit and a faster detection of the EOF (End Of File) of a file.
  • Moreover, the processor according to the present invention is capable of executing a characteristic instruction for storing, into a memory and the like, two pieces of byte data stored in one register (byte data stored in the higher 16 bits and byte data stored in the lower 16 bits). This eliminates the need for data type conversions when byte data is handled in 16-bit SIMD, making a speed of processing faster.
  • Furthermore, the processor according to the present invention is capable of executing a characteristic instruction for storing an immediate value into the higher 16 bits of a register without changing the lower 16 bits of the register. This instruction, when combined with Instruction “mov Rb, I16”, makes it possible for a 32-bit immediate value to be set in a register.
  • Also, the processor according to the present invention is capable of executing a characteristic instruction for making a switch of objects to be added, depending on the value of a vector condition flag. This makes it possible for a single program to support half-pel motion compensation (motion compensation performed on a per-half-pixel basis) regardless of whether pixels are integer pixels or half pixels.
  • Moreover, the processor according to the present invention is capable of executing a characteristic instruction for generating a value depending on the sign (positive/negative) of the value held in a register and whether a value held in a register is zero or not. This makes inverse quantization faster in image processing, since 1 is outputted when a certain value is positive, −1 when negative, and 0 when 0.
  • Furthermore, the processor according to the present invention is capable of executing a characteristic instruction for aligning word data and extracting different word data depending on a vector condition flag. This instruction makes it possible for a single program to support half-pel motion compensation (motion compensation performed on a per-half-pixel basis) regardless of whether pixels are integer pixels or half pixels.
  • Also, the processor according to the present invention is capable of executing a characteristic instruction for adding two values and further adding 1 when one of the two values is positive. This realizes a faster rounding of an absolute value in image processing.
  • Moreover, the processor according to the present invention is capable of executing a characteristic instruction for moving values held in arbitrary two registers to two consecutive registers. Since values held in independent two registers are moved in one cycle under this instruction, an effect of reducing the number of cycles in a loop can be achieved. Also, this instruction, which does not involve register renaming (destruction of a register value), is effective when data is moved between loop generations (iterations).
  • Furthermore, the processor according to the present invention is capable of executing a characteristic instruction for performing branches and setting condition flags (predicates, here) in a loop. This enables a loop to be executed faster by means of PROLOG/EPILOG removal software pipelining.
  • Also, the processor according to the present invention is capable of executing a characteristic instruction for determining a sum of absolute value differences. This makes the speed faster for summing up absolute value differences in motion prediction as part of image processing.
  • Moreover, the processor according to the present invention is capable of executing a characteristic instruction for converting a signed value into a saturated signed value at an arbitrary position (digit). This facilitates programming since there is no need for setting a position where saturation is performed to a specific position at the time of assembler programming.
  • Furthermore, the processor according to the present invention is capable of executing a characteristic instruction for selecting one of the values held in two registers on a word-by-word basis. This allows word data to be stored at an arbitrary position in a register, and therefore enables faster repetitions of data reshuffling. Moreover, this instruction has an effect of increasing the flexibility of SIMD operations.
  • Also, the processor according to the present invention is capable of executing a characteristic instruction for extending results of a SIMD operation. This allows processing for making data size all the same by performing sign extension or zero extension to be performed in one cycle, after performing the SIMD operations.
  • Moreover, the processor according to the present invention is capable of executing a characteristic instruction for executing SIMD operations specified by condition flags and the like. This makes it possible for a single program to perform such dynamic processing as one in which the types of operations to be performed are determined depending on results of other processing.
  • As described above, the processor according to the present invention is capable of performing sophisticated SIMD operations and a wide range of digital signal processing required for multimedia processing at a high speed, and is capable of being employed as a core processor to be commonly used in mobile phone, mobile AV device, digital television, DVD and other devices, the processor according to the present invention is extremely useful in the present age in which the advent of high-performance and cost effective multimedia apparatuses is desired.
  • Note that it possible to embody the present invention not only as a processor executing the above-mentioned characteristic instructions, but also as an operation processing method intended for a plurality of data elements and the like, and as a program including such characteristic instructions. Also, it should be understood that such a program can be distributed via a recording medium including a CD-ROM and the like as well as via a transmission medium including the internet and the like.
  • For further information about the technical background to this application, Japanese patent application No. 2002-280077 filed Sep. 25, 2002, is incorporated herein by reference.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • These and other subjects, advantages and features of the invention will become apparent from the following description thereof taken in conjunction with the accompanying drawings that illustrate a specific embodiment of the invention. In the Drawings:
  • FIG. 1 is a schematic block diagram showing a processor according to the present invention.
  • FIG. 2 is a schematic diagram showing arithmetic and logic/comparison operation units of the processor.
  • FIG. 3 is a block diagram showing a configuration of a barrel shifter of the processor.
  • FIG. 4 is a block diagram showing a configuration of a converter of the processor.
  • FIG. 5 is a block diagram showing a configuration of a divider of the processor.
  • FIG. 6 is a block diagram showing a configuration of a multiplication/sum of products operation unit of the processor.
  • FIG. 7 is a block diagram showing a configuration of an instruction control unit of the processor.
  • FIG. 8 is a diagram showing a configuration of general-purpose registers (R0˜R31) of the processor.
  • FIG. 9 is a diagram showing a configuration of a link register (LR) of the processor.
  • FIG. 10 is a diagram showing a configuration of a branch register (TAR) of the processor.
  • FIG. 11 is a diagram showing a configuration of a program status register (PSR) of the processor.
  • FIG. 12 is a diagram showing a configuration of a condition flag register (CFR) of the processor.
  • FIGS. 13A and 13B are diagrams showing configurations of accumulators (M0, M1) of the processor.
  • FIG. 14 is a diagram showing a configuration of a program counter (PC) of the processor.
  • FIG. 15 is a diagram showing a configuration of a PC save register (IPC) of the processor.
  • FIG. 16 is a diagram showing a configuration of a PSR save register (IPSR) of the processor.
  • FIG. 17 is a timing diagram showing a pipeline behavior of the processor.
  • FIG. 18 is a timing diagram showing each stage of the pipeline behavior of the processor at the time of executing an instruction.
  • FIG. 19 is a diagram showing a parallel behavior of the processor.
  • FIG. 20 is a diagram showing format of instructions executed by the processor.
  • FIG. 21 is a diagram explaining an instruction belonging to a category “ALUadd (addition) system)”.
  • FIG. 22 is a diagram explaining an instruction belonging to a category “ALUsub (subtraction) system)”.
  • FIG. 23 is a diagram explaining an instruction belonging to a category “ALUlogic (logical operation) system and others”.
  • FIG. 24 is a diagram explaining an instruction belonging to a category “CMP (comparison operation) system”.
  • FIG. 25 is a diagram explaining an instruction belonging to a category “mul (multiplication) system”.
  • FIG. 26 is a diagram explaining an instruction belonging to a category “mac (sum of products operation) system”.
  • FIG. 27 is a diagram explaining an instruction belonging to a category “msu (difference of products) system”.
  • FIG. 28 is a diagram explaining an instruction belonging to a category “MEMId (load from memory) system”.
  • FIG. 29 is a diagram explaining an instruction belonging to a category “MEMstore (store in memory) system”.
  • FIG. 30 is a diagram explaining an instruction belonging to a category “BRA (branch) system”.
  • FIG. 31 is a diagram explaining an instruction belonging to a category “BSasl (arithmetic barrel shift) system and others”.
  • FIG. 32 is a diagram explaining an instruction belonging to a category “BSlsr (logical barrel shift) system and others”.
  • FIG. 33 is a diagram explaining an instruction belonging to a category “CNVvaln (arithmetic conversion) system”.
  • FIG. 34 is a diagram explaining an instruction belonging to a category “CNV (general conversion) system”.
  • FIG. 35 is a diagram explaining an instruction belonging to a category “SATvlpk (saturation processing) system”.
  • FIG. 36 is a diagram explaining an instruction belonging to a category “ETC (et cetera) system”.
  • FIG. 37 is a diagram showing a behavior of the processor when executing Instruction “vcchk”.
  • FIG. 38 is a diagram showing a detailed behavior when executing Instruction “vcchk”.
  • FIG. 39 is a diagram showing a behavior of the processor when executing Instruction “stbh (Ra),Rb”.
  • FIG. 40 is a diagram showing a detailed behavior when executing Instruction “stbh (Ra),Rb”.
  • FIG. 41 is a diagram showing a behavior of the processor when executing Instruction “stbhp (Ra),Rb:Rb+1”.
  • FIG. 42 is a diagram showing a detailed behavior when executing Instruction “stbhp (Ra),Rb:Rb+1”.
  • FIG. 43 is a diagram showing a behavior of the processor when executing Instruction “sethi Ra,I16”.
  • FIG. 44 is a diagram showing a detailed behavior when executing Instruction “sethi Ra,I16”.
  • FIG. 45 is a diagram showing a behavior of the processor when executing Instruction “vaddhvc Rc,Ra,Rb”.
  • FIG. 46 is a diagram showing a detailed behavior wherein executing Instruction “vaddhvc Rc,Ra,Rb”.
  • FIG. 47 is a diagram explaining motion estimation in image processing.
  • FIG. 48 is a diagram showing a behavior of the processor when executing Instruction “vaddrhvc Rc,Ra,Rb”.
  • FIG. 49 is a diagram showing a detailed behavior when executing Instruction “vaddrhvc Rc,Ra,Rb”.
  • FIG. 50 is a diagram showing a behavior of the processor when executing Instruction “vsgnh Ra,Rb”.
  • FIG. 51 is a diagram showing a detailed behavior when executing Instruction “vsgnh Ra,Rb”.
  • FIG. 52 is a diagram showing a behavior of the processor when executing Instruction “valnvc1 Rc,Ra,Rb”.
  • FIG. 53 is a diagram showing a detailed behavior when executing Instruction “valnvc1 Rc,Ra,Rb”.
  • FIG. 54 is a diagram showing a detailed behavior when executing Instruction “valnvc2 Rc,Ra,Rb”.
  • FIG. 55 is a diagram showing a detailed behavior when executing Instruction “valnvc3 Rc,Ra,Rb”.
  • FIG. 56 is a diagram showing a detailed behavior when executing Instruction “valnvc4 Rc,Ra,Rb”.
  • FIG. 57 is a diagram showing a behavior of the processor when executing Instruction “addarvw Rc,Rb,Ra”.
  • FIG. 58 is a diagram showing a detailed behavior when executing Instruction “addarvw Rc,Rb,Ra”.
  • FIG. 59 is a diagram showing a behavior when performing “rounding of absolute values (away from zero)”.
  • FIG. 60 is a diagram showing a behavior of the processor when executing Instruction “movp Rc:Rc+1,Ra,Rb”.
  • FIG. 61 is a diagram showing a detailed behavior when executing Instruction “movp Rc:Rc+1,Ra,Rb”.
  • FIG. 62 is a diagram showing a detailed behavior when executing Instruction “jloop C6,Cm,TAR,Ra”.
  • FIG. 63 is a diagram showing a detailed behavior when executing Instruction “settar C6,Cm,D9”.
  • FIG. 64 is a diagram showing PROLOG/EPILOG removal 2-stage software pipelining.
  • FIG. 65 is a diagram showing a list of a source program written in the C language.
  • FIG. 66 is a diagram showing an example machine language program created using ordinary instructions “jloop” and “settar”.
  • FIG. 67 is a diagram showing an example machine language program created using Instructions “jloop” and “settar” according to the preferred embodiment of the present invention.
  • FIG. 68 is a diagram showing a detailed behavior when executing Instruction “jloop C6,C2:C4,TAR,Ra”.
  • FIG. 69 is a diagram showing a detailed behavior when executing Instruction “settar C6,C2:C4,D9”.
  • FIGS. 70A and 70B are diagrams showing PROLOG/EPILOG removal 3-stage software pipelining.
  • FIG. 71 is a diagram showing a list of a source program written in the C language.
  • FIG. 72 is a diagram showing an example machine language program created using ordinary instructions “jloop” and “settar”.
  • FIG. 73 is a diagram showing an example machine language program created using Instructions “jloop” and “settar” according to the preferred embodiment of the present invention.
  • FIG. 74 is a diagram showing a behavior of the processor when executing Instruction “vsada Rc,Ra,Rb,Rx”.
  • FIG. 75A is a diagram showing Instruction “vsada Rc,Ra,Rb,Rx”, and FIG. 75B is a diagram showing Instruction “vsada Rc,Ra,Rb”.
  • FIG. 76 is a diagram showing a behavior of the processor when executing Instruction “satss Rc,Ra,Rb”.
  • FIG. 77A is a diagram showing Instruction “satss Rc,Ra,Rb” and FIG. 77B is a diagram showing Instruction “satsu Rc,Ra,Rb”.
  • FIG. 78 is a diagram showing a behavior of the processor when executing Instruction “bytesel Rc,Ra,Rb,Rx”.
  • FIG. 79A is a diagram showing a detailed behavior when executing Instruction “bytesel Rc,Ra,Rb,Rx”, FIG. 79B is a diagram showing a relationship between the register Rx and byte data to be selected, FIG. 79C is a diagram showing a detailed behavior when executing Instruction “bytesel Rc,Ra,Rb,I12”, and FIG. 79D is a diagram showing a relationship between an immediate value I12 and byte data to be selected.
  • FIGS. 80A and 80B are diagrams showing a part of SIMD operation results being performed of bit extension (sign-extension or zero-extension).
  • FIG. 81 is a diagram showing all of SIMD operation results being performed of bit-extension.
  • FIG. 82 is a diagram showing a SIMD operation specified by condition flags and the like being performed.
  • DESCRIPTION OF THE PREFERRED EMBODIMENT
  • An explanation is given for the architecture of the processor according to the present invention. The processor of the present invention is a general-purpose processor which has been developed targeting at the field of AV media signal processing technology, and instructions issued in this processor offer a higher degree of parallelism than ordinary microcomputers. Used as a core common to mobile phones, mobile AV devices, digital televisions, DVDs and other devices, the processor can improve software usability. Furthermore, the present processor allows multiple high-performance media processes to be performed with high cost effectiveness, and provides a development environment for high-level languages intended for improving development efficiency.
  • FIG. 1 is a schematic block diagram showing the present processor. The processor 1 is comprised of an instruction control unit 10, a decoding unit 20, a register file 30, an operation unit 40, an I/F unit 50, an instruction memory unit 60, a data memory unit 70, an extended register unit 80, and an I/O interface unit 90. The operation unit 40 includes arithmetic and logic/comparison operation units 41˜43, a multiplication/sum of products operation unit 44, a barrel shifter 45, a divider 46, and a converter 47 for performing SIMD instructions. The multiplication/sum of products operation unit 44 is capable of handling maximum of 65-bit accumulation so as not to decrease bit precision. The multiplication/sum of products operation unit 44 is also capable of executing SIMD instructions as in the case of the arithmetic and logic/comparison operation units 41˜43. Furthermore, the processor 1 is capable of parallel execution of an arithmetic and logic/comparison operation instruction on a maximum of three data elements.
  • FIG. 2 is a schematic diagram showing the arithmetic and logic/comparison operation units 41˜43. Each of the arithmetic and logic/comparison operation units 41˜43 is made up of an ALU unit 41 a, a saturation processing unit 41 b, and a flag unit 41 c. The ALU unit 41 a includes an arithmetic operation unit, a logical operation unit, a comparator, and a TST. The bit widths of operation data to be supported are 8 bits (use four operation units in parallel), 16 bits (use two operation units in parallel) and 32 bits (process 32-bit data using all operation units). For a result of an arithmetic operation, the flag unit 41 c and the like detects an overflow and generates a condition flag. For a result of each of the operation units, the comparator and the TST, an arithmetic shift right, saturation by the saturation processing unit 41 b, the detection of maximum/minimum values, absolute value generation processing are performed.
  • FIG. 3 is a block diagram showing the configuration of the barrel shifter 45. The barrel shifter 45, which is made up of selectors 45 a and 45 b, a higher bit shifter 45 c, a lower bit shifter 45 d, and a saturation processing unit 45 e, executes an arithmetic shift of data (shift in the 2's complement number system) or a logical shift of data (unsigned shift). Usually, 32-bit or 64-bit data are inputted to and outputted from the barrel shifter 45. The amount of shift of target data stored in the registers 30 a and 30 b are specified by another register or according to its immediate value. An arithmetic or logical shift in the range of left 63 bits and right 63 bits is performed for the data, which is then outputted in an input bit length.
  • The barrel shifter 45 is capable of shifting 8-, 16-, 32-, and 64-bit data in response to a SIMD instruction. For example, the barrel shifter 45 can shift four pieces of 8-bit data in parallel.
  • Arithmetic shift, which is a shift in the 2's complement number system, is performed for aligning decimal points at the time of addition and subtraction, for multiplying a power of 2 (2, the 2nd power of 2, the −1st power of 2) and other purposes.
  • FIG. 4 is a block diagram showing the configuration of the converter 47. The converter 47 is made up of a saturation block (SAT) 47 a, a BSEQ block 47 b, an MSKGEN block 47 c, a VSUMB block 47 d, a BCNT block 47 e, and an IL block 47 f.
  • The saturation block (SAT) 47 a performs saturation processing for input data. Having two blocks for the saturation processing of 32-bit data makes it possible to support a SIMD instruction executed for two data elements in parallel.
  • The BSEQ block 47 b counts consecutive 0s or 1s from the MSB.
  • The MSKGEN block 47 c outputs a specified bit segment as 1, while outputting the others as 0.
  • The VSUMB block 47 d divides the input data into specified bit widths, and outputs their total sum.
  • The BCNT block 47 e counts the number of bits in the input data specified as 1.
  • The IL block 47 f divides the input data into specified bit widths, and outputs a value which resulted from exchanging the position of each data block.
  • FIG. 5 is a block diagram showing the configuration of the divider 46. Letting a dividend be 64 bits and a divisor be 32 bits, the divider 46 outputs 32 bits as a quotient and a modulo, respectively. 34 cycles are involved for obtaining a quotient and a modulo. The divider 46 can handle both singed and unsigned data. Note, however, that an identical setting is made concerning the presence/absence of signs of data serving as a dividend and a divisor. Also, the divider 46 has the capability of outputting an overflow flag, and a 0 division flag.
  • FIG. 6 is a block diagram showing the configuration of the multiplication/sum of products operation unit 44. The multiplication/sum of products operation unit 44, which is made up of two 32-bit multipliers (MUL) 44 a and 44 b, three 64-bit adders (Adder) 44 c˜44 e, a selector 44 f and a saturation processing unit (Saturation) 44 g, performs the following multiplications and sums of products:
      • 32×32-bit signed multiplication, sum of products, and difference of products;
      • 32×32-bit unsigned multiplication;
      • 16×16-bit signed multiplication, sum of products, and difference of products performed on two data elements in parallel; and
      • 32×16-bit t signed multiplication, sum of products, and difference of products performed on two data elements in parallel;
  • The above operations are performed on data in integer and fixed point format (h1, h2, w1, and w2). Also, the results of these operations are rounded and saturated.
  • FIG. 7 is a block diagram showing the configuration of the instruction control unit 10. The instruction control unit 10, which is made up of an instruction cache 10 a, an address management unit 10 b, instruction buffers 10 c˜10 e, a jump buffer 10 f, and a rotation unit (rotation) 10 g, issues instructions at ordinary times and at branch points. Having three 128-bit instruction buffers (the instruction buffers 10 c˜10 e) makes it possible to support the maximum number of parallel instruction execution. Regarding branch processing, the instruction control unit 10 stores in advance a branch target instruction into the jump buffer 10 f and stores a branch target address into the below-described TAR register before performing a branch (settar instruction). Thus, the instruction control unit 10 performs the branch using the branch target address stored in the TAR register and the branch target instruction stored in the jump buffer 10 f.
  • Note that the processor 1 is a processor employing the VLIW architecture. The VLIW architecture is an architecture allowing a plurality of instructions (e.g. load, store, operation, and branch) to be stored in a single instruction word, and such instructions are to be executed all at once. By programmers describing a set of instructions which can be executed in parallel as a single issue group, it is possible for such issue group to be processed in parallel. In this specification, the delimiter of an issue group is indicated by “;;”. Notational examples are described below.
  • EXAMPLE 1
  • mov r1, 0x23;;
  • This instruction description indicates that only an instruction “mov” shall be executed.
  • EXAMPLE 2
  • mov r1, 0x38
  • add r0, r1, r2
  • sub r3, r1, r2;;
  • These instruction descriptions indicate that three instructions of “mov”, “add” and “sub” shall be executed in parallel.
  • The instruction control unit 10 identifies an issue group and sends it to the decoding unit 20. The decoding unit 20 decodes the instructions in the issue group, and controls resources required for executing such instructions.
  • Next, an explanation is given for registers included in the processor 1.
  • Table 1 below lists a set of registers of the processor 1.
    TABLE 1
    Register Bit No. of
    name width registers Usage
    R0˜R31 32 bits 32 General-purpose registers. Used as data
    memory pointer, data storage and the
    like when operation instruction is
    executed.
    TAR 32 bits 1 Branch register. Used as branch address
    storage at branch point.
    LR 32 bits 1 Link register.
    SVR 16 bits 2 Save register. Used for saving condition
    flag (CFR) and various modes.
    M0˜M1 64 bits 2 Operation registers. Used as data
    (MH0:ML0˜MH1˜ML1) storage when operation instruction is
    executed.
  • Table 2 below lists a set of flags (flags managed in a condition flag register and the like described later) of the processor 1.
    TABLE 2
    Bit No. of
    Flag name width flags Usage
    C0˜C7 1 8 Condition flags. Indicate if condition is
    established or not.
    VC0˜VC3 1 4 Condition flags for media processing
    extension instruction. Indicate if condition is
    established or not.
    OVS 1 1 Overflow flag. Detects overflow at the time
    of operation.
    CAS 1 1 Carry flag. Detects carry at the time of
    operation.
    BPO 5 1 Specifies bit position. Specifies bit positions
    to be processed when mask processing
    instruction is executed.
    ALN 2 1 Specified byte alignment.
    FXP 1 1 Fixed point operation mode.
    UDR 32 1 Undefined register.
  • FIG. 8 is a diagram showing the configuration of the general-purpose registers (R0˜R31) 30 a. The general-purpose registers (R0˜R31) 30 a are a group of 32-bit registers that constitute an integral part of the context of a task to be executed and that store data or addresses. Note that the general-purpose registers R30 and R31 are used by hardware as a global pointer and a stack pointer, respectively.
  • FIG. 9 is a diagram showing the configuration of a link register (LR) 30 c. In connection with this link register (LR) 30 c, the processor 1 also has a save register (SVR) not illustrated in the diagram. The link register (LR) 30 c is a 32-bit register for storing a return address at the time of a function call. Note that the save register (SVR) is a 16-bit register for saving a condition flag (CFR.CF) of the condition flag register at the time of a function call. The link register (LR) 30 c is used also for the purpose of increasing the speed of loops, as in the case of a branch register (TAR) to be explained later. 0 is always read out as the lower 1 bit, but 0 must be written at the time of writing.
  • For example, when “call (brl, jmpl)” instructions are executed, the processor 1 saves a return address in the link register (LR) 30 c and saves a condition flag (CFR.CF) in the save register (SVR). When “jmp” instruction is executed, the processor 1 fetches the return address (branch target address) from the link register (LR) 30 c, and restores a program counter (PC). Furthermore, when “ret (jmpr)” instruction is executed, the processor 1 fetches the branch target address (return address) from the link register (LR) 30 c, and stores (restores) it in/to the program counter (PC). Moreover, the processor 1 fetches the condition flag from the save register (SVR) so as to store (restore) it in/to a condition flag area CFR.CF in the condition flag register (CFR) 32.
  • FIG. 10 is a diagram showing the configuration of the branch register (TAR) 30 d. The branch register (TAR) 30 d is a 32-bit register for storing a branch target address, and is used mainly for the purpose of increasing the speed of loops. 0 is always read out as the lower 1 bit, but 0 must be written at the time of writing.
  • For example, when “jmp” and “jloop” instructions are executed, the processor 1 fetches a branch target address from the branch register (TAR) 30 d, and stores it in the program counter (PC). When the instruction indicated by the address stored in the branch register (TAR) 30 d is stored in a branch instruction buffer, a branch penalty will be 0. An increased loop speed can be achieved by storing the top address of a loop in the branch register (TAR) 30 d.
  • FIG. 11 is a diagram showing the configuration of a program status register (PSR) 31. The program status register (PSR) 31, which constitutes an integral part of the context of a task to be executed, is a 32-bit register for storing the following processor status information:
  • Bit SWE: indicates whether the switching of VMP (Virtual Multi-Processor) to LP (Logical Processor) is enabled or disabled.
  • “0” indicates that switching to LP is disabled and “1” indicates that switching to LP is enabled.
  • Bit FXP: indicates a fixed point mode. “0” indicates the mode and “1” indicates the mode 1.
  • Bit IH: is an interrupt processing flag indicating that maskable interrupt processing is ongoing or not. “1” indicates that there is an ongoing interrupt processing and “0” indicates that there is no ongoing interrupt processing. This flag is automatically set on the occurrence of an interrupt. This flag is used to make a distinction of whether interrupt processing or program processing is taking place at a point in the program to which the processor returns in response to “rti” instruction.
  • Bit EH: is a flag indicating that an error or an NMI is being processed or not. “0” indicates that error/NMI interrupt processing is not ongoing and “1” indicates that error/NMI interrupt processing is ongoing. This flag is masked if an asynchronous error or an NMI occurs when EH=1. Meanwhile, when VMP is enabled, plate switching of VMP is masked.
  • Bit PL [1:0]: indicates a privilege level. “00” indicates the privilege level 0, i.e., the processor abstraction level, “01” indicates the privilege level 1 (non-settable), “10” indicates the privilege level 2, i.e., the system program level, and “11” indicates the privilege level 3, i.e., the user program level.
  • Bit LPIE3: indicates whether LP-specific interrupt 3 is enabled or disabled. “1” indicates that an interrupt is enabled and “0” indicates that an interrupt is disabled.
  • Bit LPIE2: indicates whether LP-specific interrupt 2 is enabled or disabled. “1” indicates that an interrupt is enabled and “0” indicates that an interrupt is disabled.
  • Bit LPIE1: indicates whether LP-specific interrupt 1 is enabled or disabled. “1” indicates that an interrupt is enabled and “0” indicates that an interrupt is disabled.
  • Bit LPIE0: indicates whether LP-specific interrupt 0 is enabled or disabled. “1” indicates that an interrupt is enabled and “0” indicates that an interrupt is disabled.
  • Bit AEE: indicates whether a misalignment exception is enabled or disabled. “1” indicates that a misalignment exception is enabled and “0” indicates that a misalignment exception is disabled.
  • Bit IE: indicates whether a level interrupt is enabled or disabled. “1” indicates that a level interrupt is enabled and “0” indicates a level interrupt is disabled.
  • Bit IM [7:0]: indicates an interrupt mask, and ranges from levels 0˜7, each being able to be masked at its own level. Level 0 is the highest level. Of interrupt requests which are not masked by any IMs, only the interrupt request with the highest level is accepted by the processor 1. When an interrupt request is accepted, levels below the accepted level are automatically masked by hardware. IM[0] denotes a mask of level 0, IM[1] a mask of level 1, IM[2] a mask of level 2, IM[3] a mask of level 3, IM[4] a mask of level 4, IM[5] a mask of level 5, IM[6] a mask of level 6, and IM[7] a mask of level 7.
  • reserved: indicates a reserved bit. 0 is always read out. 0 must be written at the time of writing.
  • FIG. 12 is a diagram showing the configuration of the condition flag register (CFR) 32. The condition flag register (CFR) 32, which constitutes an integral part of the context of a task to be executed, is a 32-bit register made up of condition flags, operation flags, vector condition flags, an operation instruction bit position specification field, and a SIMD data alignment information field.
  • Bit ALN [1:0]: indicates an alignment mode. An alignment mode of “valnvc” instruction is set.
  • Bit BPO [4:0]: indicates a bit position. It is used in an instruction that requires a bit position specification.
  • Bit VC0˜VC3: are vector condition flags. Starting from a byte on the LSB side or a half word through to the MSB side, each corresponds to a flag ranging from VC0 through to VC3.
  • Bit OVS: is an overflow flag (summary). It is set on the detection of saturation and overflow. If not detected, a value before the instruction is executed is retained. Clearing of this flag needs to be carried out by software.
  • Bit CAS: is a carry flag (summary). It is set when a carry occurs under “addc” instruction, or when a borrow occurs under “subc” instruction. If there is no occurrence of a carry under “addc” instruction, or a borrow under “subc” instruction, a value before the instruction is executed is retained. Clearing of this flag needs to be carried out by software.
  • Bit C0˜C7: are condition flags. The value of the flag C7 is always 1. A reflection of a FALSE condition (writing of 0) made to the flag C7 is ignored.
  • reserved: indicates a reserved bit. 0 is always read out. 0 must be written at the time of writing.
  • FIGS. 13A and 13B are diagrams showing the configurations of accumulators (M0, M1) 30 b. Such accumulators (M0, M1) 30 b, which constitute an integral part of the context of a task to be executed, are made up of a 32-bit register MHO-MH1 (register for multiply and divide/sum of products (the higher 32 bits)) shown in FIG. 13A and a 32-bit register MLO-ML1 (register for multiply and divide/sum of products (the lower 32 bits)) shown in FIG. 13B.
  • The register MHO-MH1 is used for storing the higher 32 bits of operation results at the time of a multiply instruction, while used as the higher 32 bits of the accumulators at the time of a sum of products instruction. Moreover, the register MHO-MH1 can be used in combination with the general-purpose registers in the case where a bit stream is handled. Meanwhile, the register MLO-ML1 is used for storing the lower 32 bits of operation results at the time of a multiply instruction, while used as the lower 32 bits of the accumulators at the time of a sum of products instruction.
  • FIG. 14 is a diagram showing the configuration of a program counter (PC) 33. This program counter (PC) 33, which constitutes an integral part of the context of a task to be executed, is a 32-bit counter that holds the address of an instruction being executed.
  • FIG. 15 is a diagram showing the configuration of a PC save register (IPC) 34. This PC save register (IPC) 34, which constitutes an integral part of the context of a task to be executed is a 32-bit register.
  • FIG. 16 is a diagram showing the configuration of a PSR save register (IPSR) 35. This PSR save register (IPSR) 35, which constitutes an integral part of the context of a task to be executed, is a 32-bit register for saving the program status register (PSR) 31. 0 is always read out as a part corresponding to a reserved bit, but 0 must be written at the time of writing.
  • Next, an explanation is given for the memory space of the processor 1. In the processor 1, a linear memory space with a capacity of 4 GB is divided into 32 segments, and an instruction SRAM (Static RAM) and a data SRAM are allocated to 128-MB segments. With a 128-MB segment serving as one block, a target block to be accessed is set in a SAR (SRAM Area Register). A direct access is made to the instruction SRAM/data SRAM when the accessed address is a segment set in the SAR, but an access request shall be issued to a bus controller (BCU) when such address is not a segment set in the SAR. An on chip memory (OCM), an external memory, an external device, an I/O port and others are connected to the BUC. Data reading/writing from and to these devices is possible.
  • FIG. 17 is a timing diagram showing the pipeline behavior of the processor 1. As illustrated in the diagram, the pipeline of the processor 1 basically consists of the following five stages: instruction fetch; instruction assignment (dispatch); decode; execution; and writing.
  • FIG. 18 is a timing diagram showing each stage of the pipeline behavior of the processor 1 at the time of executing an instruction. In the instruction fetch stage, an access is made to an instruction memory which is indicated by an address specified by the program counter (PC) 33, and the instruction is transferred to the instruction buffers 10 c˜10 e and the like. In the instruction assignment stage, the output of branch target address information in response to a branch instruction, the output of an input register control signal, the assignment of a variable length instruction are carried out, which is followed by the transfer of the instruction to an instruction register (IR). In the decode stage, the IR is inputted to the decoding unit 20, and an operation unit control signal and a memory access signal are outputted. In the execution stage, an operation is executed and the result of the operation is outputted either to the data memory or the general-purpose registers (R0˜R31) 30 a. In the writing stage, a value obtained as a result of data transfer, and the operation results are stored in the general-purpose registers.
  • The VLIW architecture of the processor 1 allows parallel execution of the above processing on a maximum of three data elements. Therefore, the processor 1 performs the behavior shown in FIG. 18 in parallel at the timing shown in FIG. 19.
  • Next, an explanation is given for a set of instructions executed by the processor 1 with the above configuration.
  • Tables 3˜5 list categorized instructions to be executed by the processor 1.
    TABLE 3
    Category Operation unit Instruction operation code
    Memory transfer M ld, ldh, ldhu, ldb, ldbu, ldp, ldhp, ldbp, ldbh,
    instruction (load) ldbuh, ldbhp, ldbuhp
    Memory transfer M st, sth, stb, stp, sthp, stbp, stbh, stbhp
    instruction (store)
    Memory transfer M dpref, ldstb
    instruction (others)
    External register M rd, rde, wt, wte
    transfer instruction
    Branch instruction B br, brl, call, jmp, jmpl, jmpr, ret, jmpf, jloop,
    setbb, setlr, settar
    Software interrupt B rti, pi0, pi0l, pi1, pi1l, pi2, pi2l, pi3, pi3l, pi4,
    instruction pi4l, pi5, pi5l, pi6, pi6l, pi7, pi7l, sc0, sc1, sc2,
    sc3, sc4, sc5, sc6, sc7
    VMP/interrupt B intd, inte, vmpsleep, vmpsus, vmpswd, vmpswe,
    control instruction vmpwait
    Arithmetic operation A abs, absvh, absvw, add, addarvw, addc, addmsk,
    instruction adds, addsr, addu, addvh, addvw, neg,
    negvh, negvw, rsub, s1add, s2add, sub,
    subc, submsk, subs, subvh, subvw, max,
    min
    Logical operation A and, andn, or, sethi, xor, not
    instruction
    Compare instruction A cmpCC, cmpCCa, cmpCCn, cmpCCo, tstn,
    tstna, tstnn, tstno, tstz, tstza, tstzn, tstzo
    Move instruction A mov, movcf, mvclcas, mvclovs, setlo, vcchk
    NOP instruction A nop
    Shift instruction1 S1 asl, aslvh, aslvw, asr, asrvh, asrvw, lsl, lsr,
    rol, ror
    Shift instruction2 S2 aslp, aslpvw, asrp, asrpvw, lslp, lsrp
  • TABLE 4
    Category Operation unit Instruction operation code
    Extraction instruction S2 ext, extb, extbu, exth, exthu, extr, extru, extu
    Mask instruction C msk, mskgen
    Saturation C sat12, sat9, satb, satbu, sath, satw
    instruction
    Conversion C valn, valn1, valn2, valn3, valnvc1, valnvc2,
    instruction valnvc3, valnvc4, vhpkb, vhpkh, vhunpkb,
    vhunpkh, vintlhb, vintlhh, vintllb, vintllh,
    vlpkb, vlpkbu, vlpkh, vlpkhu, vlunpkb,
    vlunpkbu, vlunpkh, vlunpkhu, vstovb, vstovh,
    vunpk1, vunpk2, vxchngh, vexth
    Bit count instruction C bcnt1, bseq, bseq0, bseq1
    Others C byterev, extw, mskbrvb, mskbrvh, rndvh,
    movp
    Multiply instruction1 X1 fmulhh, fmulhhr, fmulhw, fmulhww, hmul,
    lmul
    Multiply instruction2 X2 fmulww, mul, mulu
    Sum of products X1 fmachh, fmachhr, fmachw, fmachww, hmac,
    instruction1 lmac
    Sum of products X2 fmacww, mac
    instruction2
    Difference of X1 fmsuhh, fmsuhhr, fmsuhw, fmsuww, hmsu,
    products instruction1 lmsu
    Difference of X2 fmsuww, msu
    products instruction2
    Divide instruction DIV div, divu
    Debugger instruction DBGM dbgm0, dbgm1, dbgm2, dbgm3
  • TABLE 5
    Category Operation unit Instruction operation code
    SIMD arithmetic A vabshvh, vaddb, vaddh, vaddhvc, vaddhvh,
    operation instruction vaddrhvc, vaddsb, vaddsh, vaddsrb, vaddsrh,
    vasubb, vcchk, vhaddh, vhaddhvh,
    vhsubh, vhsubhvh, vladdh, vladdhvh, vlsubh,
    vlsubhvh, vnegb, vnegh, vneghvh, vsaddb,
    vsaddh, vsgnh, vsrsubb, vsrsubh, vssubb,
    vssubh, vsubb, vsubh, vsubhvh, vsubsh,
    vsumh, vsumh2, vsumrh2, vxaddh,
    vxaddhvh, vxsubh, vxsubhvh,
    vmaxb, vmaxh, vminb, vminh, vmovt, vsel
    SIMD compare A vcmpeqb, vcmpeqh, vcmpgeb, vcmpgeh,
    instruction vcmpgtb, vcmpgth, vcmpleb, vcmpleh, vcmpltb,
    vcmplth, vcmpneb, vcmpneh,
    vscmpeqb, vscmpeqh, vscmpgeb, vscmpgeh,
    vscmpgtb, vscmpgth, vscmpleb, vscmpleh,
    vscmpltb, vscmplth, vscmpneb, vscmpneh
    SIMD shift S1 vaslb, vaslh, vaslvh, vasrb, vasrh, vasrvh,
    instruction1 vlslb, vlslh, vlsrb, vlsrh, vrolb, vrolh, vrorb,
    vrorh
    SIMD shift S2 vasl, vaslvw, vasr, vasrvw, vlsl, vlsr
    instruction2
    SIMD saturation C vsath, vsath12, vsath8, vsath8u, vsath9
    instruction
    Other SIMD C vabssumb, vrndvh
    instruction
    SIMD multiply X2 vfmulh, vfmulhr, vfmulw, vhfmulh, vhfmulhr,
    instruction vhfmulw, vhmul, vlfmulh, vlfmulhr, vlfmulw,
    vlmul, vmul, vpfmulhww, vxfmulh,
    vxfmulhr, vxfmulw, vxmul
    SIMD sum of X2 vfmach, vfmachr, vfmacw, vhfmach, vhfmachr,
    products instruction vhfmacw, vhmac, vlfmach, vlfmachr,
    vlfmacw, vlmac, vmac, vpfmachww, vxfmach,
    vxfmachr, vxfmacw, vxmac
    SIMD difference of X2 vfmsuh, vfmsuw, vhfmsuh, vhfmsuw, vhmsu,
    products instruction vlfmsuh, vlfmsuw, vlmsu, vmsu, vxfmsuh,
    vxfmsuw, vxmsu
  • Note that “Operation units” in the above tables refer to operation units used in the respective instructions. More specifically, “A” denotes ALU instruction, “B” branch instruction, “C” conversion instruction, “DIV” divide instruction, “DBGM” debug instruction, “M” memory access instruction, “S1” and “S2” shift instruction, and “X1” and “X2” multiply instruction.
  • FIG. 20 is a diagram showing the format of the instructions executed by the processor 1.
  • The following describes what acronyms stand for in the diagrams: “P” is predicate (execution condition: one of the eight condition flags C0˜C7 is specified); “OP” is operation code field; “R” is register field; “I” is immediate field; and “D” is displacement field. Furthermore, predicates, which are flags for controlling whether or not an instruction is executed based on values of the condition flags C0˜C7, serve as a technique that allows instructions to be selectively executed without using a branch instruction and therefore that accelerates the speed of processing.
  • FIGS. 21˜36 are diagrams explaining outlined functionality of the instructions executed by the processor 1. More specifically, FIG. 21 explains an instruction belonging to the category “ALUadd (addition) system)”; FIG. 22 explains an instruction belonging to the category “ALUsub (subtraction) system)”; FIG. 23 explains an instruction belonging to the category “ALUlogic (logical operation) system and others”; FIG. 24 explains an instruction belonging to the category “CMP (comparison operation) system”; FIG. 25 explains an instruction belonging to the category “mul (multiplication) system”; FIG. 26 explains an instruction belonging to the category “mac (sum of products operation) system”; FIG. 27 explains an instruction belonging to the category “msu (difference of products) system”; FIG. 28 explains an instruction belonging to the category “MEMId (load from memory) system”; FIG. 29 explains an instruction belonging to the category “MEMstore (store in memory) system”; FIG. 30 explains an instruction belonging to the category “BRA (branch) system”; FIG. 31 explains an instruction belonging to the category “BSasl (arithmetic barrel shift) system and others”; FIG. 32 explains an instruction belonging to the category “BSlsr (logical barrel shift) system and others”; FIG. 33 explains an instruction belonging to the category “CNVvaln (arithmetic conversion) system”; FIG. 34 explains an instruction belonging to the category “CNV (general conversion) system”; FIG. 35 explains an instruction belonging to the category “SATvlpk (saturation processing) system”; and FIG. 36 explains an instruction belonging to the category “ETC (et cetera) system”.
  • The following describes the meaning of each column in these diagrams: “SIMD” indicates the type of an instruction (distinction between SISD (SINGLE) and SIMD); “Size” indicates the size of individual operand to be an operation target; “Instruction” indicates the operation code of an operation; “Operand” indicates the operands of an instruction; “CFR” indicates a change in the condition flag register; “PSR” indicates a change in the processor status register; “Typical behavior” indicates the overview of a behavior; “Operation unit” indicates a operation unit to be used; and “3116” indicates the size of an instruction.
  • FIGS. 37˜748 are diagrams explaining the detailed functionality of the instructions executed by the processor 1. Note that the meaning of each symbol used for explaining the instructions is as described in Tables 6˜10 below.
    TABLE 6
    Symbol        Meaning
    X[i] Bit number i of X
    X[i:j] Bit number j to bit number i of X
    X:Y Concatenated X and Y
    {n{X}} n repetitions of X
    sextM(X,N) Sign-extend X from N bit width to M bit width.
    Default of M is 32.
    Default of N is all possible bit widths of X.
    uextM(X,N) Zero-extend X from N bit width to M bit width.
    Default of M is 32.
    Default of N is all possible bit widths of X.
    smul(X,Y) Signed multiplication  X * Y
    umul(X,Y) Unsigned multiplication  X * Y
    sdiv(X,Y) Integer part in quotient of signed division  X/Y
    smod(X,Y) Modulo with the same sign as dividend.
    udiv(X,Y) Quotient of unsigned division  X/Y
    umod(X,Y) Modulo
    abs(X) Absolute value
    bseq(X,Y) for (i=0; i<32; i++) {
    if (X[31−i] != Y) break;
    }
    result = i;
    bcnt(X,Y) S = 0;
    for (i=0; i<32; i++) {
    if (X[i] == Y) S++;
    }
    result = S;
    max(X,Y) result = (X > Y)? X : Y
    min(X,Y) result = (X < Y)? X : Y;
    tstz(X,Y) X & Y == 0
    tstn(X,Y) X & Y != 0
  • TABLE 7
    Symbol Meaning
    Ra Ra[31:0] Register numbered a (0 <= a <= 31)
    Ra+1 R(a+1)[31:0] Register numbered a+1 (0 <= a <= 30)
    Rb Rb[31:0] Register numbered b (0 <= b <= 31)
    Rb+1 R(b+1)[31:0] Register numbered b+1 (0 <= b <= 30)
    Rc Rc[31:0] Register numbered c (0 <= c <= 31)
    Rc+1 R(c+1)[31:0] Register numbered c+1Register (0 <= c <= 30)
    Ra2 Ra2[31:0] Register numbered a2 (0 <= a2 <= 15)
    Ra2+1 R(a2+1)[31:0] Register numbered a2+1 (0 <= a2 <= 14)
    Rb2 Rb2[31:0] Register numbered b2 (0 <= b2 <= 15)
    Rb2+1 R(b2+1)[31:0] Register numbered b2+1 (0 <= b2 <= 14)
    Rc2 Rc2[31:0] Register numbered c2 (0 <= c2 <= 15)
    Rc2+1 R(c2+1)[31:0] Register numbered c2+1 (0 <= c2 <= 14)
    Ra3 Ra3[31:0] Register numbered a3 (0 <= a3 <= 7)
    Ra3+1 R(a3+1)[31:0] Register numbered a3+1 (0 <= a3 <= 6)
    Rb3 Rb3[31:0] Register numbered b3 (0 <= b3 <= 7)
    Rb3+1 R(b3+1)[31:0] Register numbered b3+1 (0 <= b3 <= 6)
    Rc3 Rc3[31:0] Register numbered c3 (0 <= c3 <= 7)
    Rc3+1 R(c3+1)[31:0] Register numbered c3+1 (0 <= c3 <= 6)
    Rx Rx[31:0] Register numbered x (0 <= x <= 3)
  • TABLE 8
    Symbol Meaning
    + Addition
    Subtraction
    & Logical AND
    | Logical OR
    ! Logical NOT
    << Logical shift left (arithmetic shift left)
    >> Arithmetic shift right
    >>> Logical shift right
    {circumflex over ( )} Exclusive OR
    ˜ Logical NOT
    == Equal
    != Not equal
    > Greater than Signed(regard left-and right-part MSBs
    as sign)
    >= Greater than or equal to Signed(regard left-and right-part
    MSBs as sign)
    >(u) Greater than Unsigned(Not regard left-and right-part MSBs
    as sign)
    >=(u) Greater than or equal to Unsigned(Not regard left-and right-part
    MSBs as sign)
    < Less than Signed(regard left-and right-part MSBs as
    sign)
    <= Less than or equal to Signed(regard left-and right-part MSBs as
    sign)
    <(u) Less than Unsigned(Not regard left-and right-part MSBs
    as sign)
    <=(u) Less than or equal to Unsigned(Not regard left-and right-part
    MSBs as sign)
  • TABLE 9
    Symbol        Meaning
    D(addr) Double word data corresponding to address “addr” in Memory
    W(addr) Word data corresponding to address “addr” in Memory
    H(addr) Half data corresponding to address “addr” in Memory
    B(addr) Byte data corresponding to address “addr” in Memory
    B(addr,bus_lock) Access byte data corresponding to address “addr”
    in Memory, and lock used bus concurrently (unlockable bus shall
    not be locked)
    B(addr,bus_unlock) Access byte data corresponding to address “addr”
    in Memory, and unlock used bus concurrently (unlock shall be ignored
    for unlockable bus and bus which has not been locked)
    EREG(num) Extended register numbered “num”
    EREG_ERR To be 1 if error occurs when immediately previous access is
    made to extended register.
    To be 0, when there was no error.
    <- Write result
    => Synonym of instruction (translated by assembler)
    reg#(Ra) Register number of general-purpose register Ra(5-bit value)
    0x Prefix of hexadecimal numbers
    0b Prefix of binary numbers
    tmp Temporally variable
    UD Undefined value (value which is implementation-dependent value
    or which varies dynamically)
    Dn Displacement value  (n is a natural value indicating the number
    of bits)
    In Immediate value (n is a natural value indicating the number of bits)
  • TABLE 10
    Symbol        Meaning
    Explanation for syntax
    if (condition) {
       Executed when condition is met;
    } else {
       Executed when condition is not met;
    }
    Executed when condition A is met, if (condition A);    *  Not
    executed when condition A is not met
    for (Expression1;Expression2;Expression3) * Same as C language
    (Expression1)? Expression2:Expression3 * Same as C language
    Explanation for terms
    The following explains terms used for explanations:
    Integer multiplication  Multiplication defined as “smul”
    Fixed point multiplication
    Arithmetic shift left is performed after integer operation. When
    PSR.FXP is 0, the amount of shift is 1 bit, and when PSR.FXP
    is 1, 2 bits.
    SIMD operation straight/cross/high/low/pair
       Higher
    16 bits and lower 16 bits of half word vector data is
    RH and RL, respectively. When operations performed on at Ra
    register and Rb register are defined as follows:
     straight Operation is performed between RHa and RHb
     cross Operation is performed between RHa and RLb, and RLa
    and RHb
     high Operation is performed between RHa and RHb, and RLa
    and RHb
     low Operation is performed between RHa and RLb, and RLa
    and RLb
     pair Operation is performed between RH and RHb, and RH
    and RLb (RH is 32-bit data)
  • [Instruction vcchk]
  • Instruction vcchk is a SIMD instruction for judging whether results of a SIMD compare instruction (e.g. vcmpCCb) are all zero or not, and setting the results to the condition flag register (CFR) 32. For example, when
  • vcchk
  • the processor judges, as illustrated in FIG. 37, whether the vector condition flags VC0˜VC3 (110) in the condition flag register (CFR) 32 are all zero or not, and sets the condition flags C4 and C5 in the condition flag resister (CFR) 32 to 1 and 0 respectively when all of the vector condition flags VC0˜VC3 (110) are zero, while setting the condition flags C4 and C5 in the condition flag resister (CFR) 32 to 0 and 1 respectively when not all the vector condition flags VC0˜VC3 (110) are zero. Then, the vector condition flags VC0˜VC3 are stored in the condition flags C0˜C3. A detailed behavior is as shown in FIG. 38.
  • This instruction allows a faster extraction of results of SIMD compare instructions (especially, agreement/disagreement of results), and is effective when detecting the EOF (End Of File) of a file and other purposes.
  • [Instruction stbh, stbhp]
  • Instruction stbh is an instruction for storing, into a memory and the like, two pieces of byte data stored in one register (byte data stored in the higher 16 bits and byte data stored in the lower 16 bits). This instruction is paired with Instruction Idbh (for moving data in the opposite direction). For example, when
  • stbh (Ra), Rb
  • the processor 1, using the I/F unit 50 and others, stores two pieces of byte data stored in the register Rb (the 16˜23th bits and the 0˜7th bits in the register Rb) into storage locations indicated by addresses specified by the register Ra, as illustrated in FIG. 39. A detailed behavior is as shown in FIG. 40.
  • Instruction stbhp is an instruction for storing, into a memory and the like, four pieces of byte data stored in two registers (pair registers) (two pieces of byte data stored in the higher 16 bits of the respective registers and two pieces of byte data stored in the lower 16 bits of the respective registers). This instruction is paired with Instruction Idbhp (for moving data in the opposite direction). For example, when
  • stbhp (Ra), Rb: Rb+1
  • the processor 1, using the I/F unit 50 and others, stores four pieces of byte data stored in the registers Rb and Rb+1 (the 16˜23th bits and the 0˜7th bits in the respective registers) into storage locations indicated by addresses specified by the register Ra, as illustrated in FIG. 41. A detailed behavior is as shown in FIG. 42.
  • These instructions eliminate the need for data type conversions when byte data is handled in 16-bit SIMD, leading to a faster processing speed.
  • [Instruction sethi]
  • Instruction sethi is an instruction for storing an immediate value in the higher 16 bits of a register without changing the lower 16 bits of the register. For example, when
  • sethi Ra, I16
  • the processor 1 stores a 16-bit immediate value (I16) in the higher 16 bits of the register Ra, as shown in FIG. 43. When this is done, there is no change in the lower 16 bits of the register Ra. A detailed behavior is as shown in FIG. 44.
  • This instruction, when combined with Instruction “mov Rb, I16”, makes it possible for a 32-bit immediate value to be set in a register.
  • [Instruction vaddhvc, vaddrhvc]
  • Instruction vaddhvc is a SIMD instruction for making a switch of objects to be added, depending on the value of a vector condition flag. For example, when
  • vaddhvc Rc, Ra, Rb
  • the processor 1, using the operation unit 40 and others, adds the value held in the register Ra with the value held in the register Ra or Rb in the half word vector format, and stores the result into the register Rc, as shown in FIG. 45. When this is done, whether the value held in Ra or the value held in Rb is added depends on a value of the vector condition flag VC2. More specifically, when the vector condition flag VC2=1, the value held in the register Ra and the value held in the register Rb are added, and when VC2=0, the value held in the register Ra and the value held in the register Ra are added. A detailed behavior is as shown in FIG. 46.
  • This instruction is effective when used for motion compensation in image processing. Since a value which resulted from dividing the value held in the addition result register Rc by 2 serves as the average value of Ra or the average value of Ra and Rb, there is an advantage that a single program can support half-pel motion compensation (motion compensation performed on a per-half-pixel basis) regardless of whether pixels are integer pixels or half pixels, as shown in FIG. 47.
  • Meanwhile, Instruction vaddrhvc is equivalent to an instruction in which rounding is performed in addition to processing of the above-explained Instruction vaddhvc. For example, when
  • vaddrhvc Rc, Ra, Rb
  • the processor 1, using the arithmetic and logic/comparison operation unit 41 and others, adds the value held in the register Ra with the value held in the register Ra or Rb in the half word vector format and further adds 1 for rounding, and stores the result into the register Rc, as shown in FIG. 48. Other behavior is equivalent to that of Instruction vaddhvc. A detailed behavior is as shown in FIG. 49.
  • This instruction is also effective when used for motion compensation in image processing.
  • Note that as a functionality of each of the above instructions vaddhvc and vaddrhvc, 1-bit shift right (processing to perform a division by 2) may be added. Such functionality enables a processor to directly determine pixel values of integer pixels and half pixels.
  • Moreover, it may also be possible to define an instruction having functionalities of both Instruction vaddhvc and Instruction vaddrhvc. An example of such instruction is one which is capable of behaving either as Instruction vaddhvc or Instruction vaddhrvc depending on a value of a condition flag. Such an instruction allows a single program to perform processing regardless of whether rounding is performed or not.
  • [Instruction vsgnh]
  • Instruction vsgnh is a SIMD instruction for generating a value depending on the sign (positive/negative) of the value held in a register and whether a value held in a register is zero or not. For example, when
  • vsgnh Ra, Rb
  • the processor 1 stores one of the following values into the register Rb in half word vector format, as shown in FIG. 50:(i) 1 when the value held in the register Ra is positive, (ii) −1 when the value held in the register Ra is negative, and (iii) 0 when the value held in the register Ra is 0. A detailed behavior is as shown in FIG. 51.
  • This instruction is effective when used for inverse quantization in image processing since 1 is outputted when a certain value is positive, −1 when negative, and 0 when 0. In the processor 1, in particular, values on which SIMD operations are difficult to be performed can be calculated at an increased speed.
  • [Instruction valnvc1, valnvc2, valnvc3, valnvc4]
  • Instruction valnvc1 is a SIMD instruction for byte-aligning data and extracting different byte data depending on a vector condition flag. For example, when
  • valnvc1 Rc, Ra, Rb
  • the processor 1, performs byte-alignment by shifting a bit string resulted from concatenating the registers Ra and Rb according to a value indicated by Bit ALN[1:0] of the condition flag register (CFR) 32, and stores four pieces of byte data which have been extracted depending on a value of the vector condition flag VC0, as shown in FIG. 52. More specifically, the processor 1 extracts four pieces of byte data “a, a, b, and b” from byte-aligned data and stores them in the register Rc when the vector condition flag VC0=0, while extracting four pieces of byte data “a, b, b, and c” from byte-aligned data and stores them in the register Rc when the vector condition flag VC0=1. A detailed behavior is as shown in FIG. 53.
  • This instruction is effective when used for motion compensation in image processing. Since a value resulted from dividing the value held in the addition result register Rc by 2 on a per-half word vector basis equals to “a” and “b”, or (a+b)/2 and (b+c)/2, there is an advantage that a single program can support half-pel motion compensation (motion compensation performed on a per-half-pixel basis) regardless of whether pixels are integer pixels or half pixels, as shown in FIG. 47.
  • Note that basic behavior of each of Instructions valnvc2, valnvc3, and valnvc4 is the same as that of the above-explained Instruction valnvc1, other than that where in byte-aligned data pieces of byte data are extracted, as shown in FIG. 52. A detailed behavior of the respective instructions is as shown in FIGS. 54, 55 and 56. Thus, these instructions are also effective when used for motion compensation in image processing.
  • Also note that the present invention is not limited to byte as a unit of alignment, and therefore that half word and half byte may also serve as a unit of alignment.
  • [Instruction addarvw]
  • Instruction addarvw is an instruction for adding two values and further adding 1 when one of such values is positive. For example, when
  • addarvw Rc, Rb, Ra
  • the processor 1, using the arithmetic and logic/comparison operation unit 41 and others, adds the value held in the register Ra and the value held in the register Rb, as shown in FIG. 57. When this is done, the processor 1 further adds 1 when the value held in the register Ra is positive. A detailed behavior is as shown in FIG. 58.
  • This instruction is effective when used for “rounding of an absolute value (away from zero)”. As shown in FIG. 59, a value to be rounded is stored in the register Ra, and a value resulted from filling, with 1, a bit corresponding to one lower than the bit to be rounded shall be stored in the register Rb. When this instruction is executed after this, a result generated by rounding the absolute value of the value held in the register Ra (here, the most significant bit is a sign bit, and therefore the value held in Ra is fixed point data which has a point between the second bit and the third bit from the most significant bit) is to be stored in the register Rc. In an example illustrated in FIG. 58, by masking bits other than the higher 2 bits of the register Ra, +1 is obtained for +0.5, and −1 is obtained for −0.5, and absolute value rounding is realized. Thus, this instruction is effective when used for rounding absolute values in image processing.
  • [Instruction movp]
  • Instruction movp is an instruction for moving values held in arbitrary two registers to two consecutive registers. For example, when
  • movp Rc: Rc+1, Ra, Rb
  • the processor 1, using the I/F unit 50 and others, moves the value held in the register Ra to the register Rc, and moves the value held in the register Rb to the register Rc+1, as shown in FIG. 60. A detailed behavior is as shown in FIG. 61.
  • Since values held in independent two registers are moved in one cycle under this instruction, an effect of reducing the number of cycles in a loop can be achieved. Also, this instruction, which does not involve register renaming (destruction of a register value), is effective when data is moved between loop generations (iterations).
  • Note that move (“mov”) is not an exclusive type of operations, and therefore unary operations (e.g. “neg”) and binary operations (“add”) are also in the scope of the present invention. For example, regarding an add instruction in which arbitrary two registers (R0 and R6) and two consecutive registers (R2 and R3) are specified, two add operations, i.e. “R0+R2→R2” and “R6+R3→R3” are performed in a single instruction (in one cycle).
  • [Instruction jloop, settar]
  • Instruction jloop is an instruction for performing branches and setting condition flags (predicates, here) in a loop. For example, when
  • jloop C6, Cm, TAR, Ra
  • the processor 1 behaves as follows, using the address management unit 10 b and others: (i) sets 1 to the condition flag Cm; (i) sets 0 to the condition flag C6 when the value held in the register Ra is smaller than 0; (iii) adds −1 to the value held in the register Ra and stores the result into the register Ra; and (iv) branches to an address specified by the branch register (TAR) 30 d. When not filled with a branch instruction, the jump buffer 10 f (branch instruction buffer) is filled with a branch target instruction. A detailed behavior is as shown in FIG. 62.
  • Meanwhile, Instruction settar is an instruction for storing a branch target address in the branch register (TAR) 30 d, and setting condition flags (predicates, here). For example, when
  • settar C6, Cm, D9
  • the processor 1 behaves as follows, using the address management unit 10 b and others: (i) stores an address resulted from adding the value held in the program counter (PC) 33 and a displacement value (D9) into the branch register (TAR) 30 d; (ii) fetches the instruction corresponding to such address and stores it in the jump buffer 10 f (branch instruction buffer); and (iii) sets the condition flag C6 to 1 and the condition flag Cm to 0. A detailed behavior is as shown in FIG. 63.
  • These instructions jloop and settar, which are usually used in pairs, are effective when used for increasing a loop speed by means of PROLOG/EPILOG removal software pipelining. Note that software pipelining, which is a technique to increase a loop speed used by a compiler, allows efficient parallel execution of a plurality of instructions by converting a loop structure into a PROLOG portion, a KERNEL portion and an EPILOG portion, and by overlapping each iteration with the previous iteration and the following iteration regarding the KERNEL portion.
  • “PROLOG/EPILOG removal” is intended to visually remove a PROLOG portion and an EPILOG portion by using the PROLOG portion and the EPILOG portion as condition execution instructions to be performed according to predicates, as shown in FIG. 64. In PROLOG/EPILOG removal 2-stage software pipelining shown in FIG. 64, the condition flags C6 and C4 are illustrated as predicates for an EPILOG instruction (Stage 2) and a PROLOG instruction (Stage 1), respectively.
  • The following gives an explanation for the significance of the above Instructions jloop and settar's functionality of moving flags (setting of the condition flag Cm), in comparison with ordinary instructions jloop and settar without such functionality.
  • When Instruction jloop and Instruction settar according to the present embodiment are not included in an instruction set, i.e. when an instruction set includes only ordinary jloop and settar instructions, the condition flag Cm needs to be moved in the respective ordinary jloop and settar instructions in an independent manner. For this reason, the following problems occur:
  • (1) There is an increase in the number of flag move instructions, which are unrelated to the original functionality of a loop execution, and the performance of a processor is degraded due to PROLOG/EPILOG removal software pipelining;
  • (2) Dependency on data among flags grows stronger, and the performance of a processor is degraded due to data dependency among flags, locational limitations and the like; and
  • (3) There arises the need that there shall be an inter-flag move instruction, which is not originally required to be included in an instruction set, and therefore there will be a scarcity of the bit field space of the instruction set.
  • For example, when the ordinary jloop and settar instructions are used in a source program written in the C language shown in FIG. 65, a compiler generates a machine language program shown in FIG. 66 by means of PROLOG/EPILOG removal software pipelining. As indicated by the loop part in such machine language program (Label L00023˜Instruction jloop), 3 cycles are involved in loop execution since an instruction for setting the condition flag C4 (Instruction cmpeq) is required. Furthermore, two instructions are required for the setting and resetting of the condition flag C4, reducing the effect of PROLOG/EPILOG removal.
  • In contrast, when Instruction jloop and Instruction settar according to the present embodiment are included in an instruction set, a compiler generates a machine language program shown in FIG. 67. As indicated by the loop part in such machine language program (Label L00023˜Instruction jloop), the setting and resetting of the condition flag C4 are conducted under Instructions jloop and settar, respectively. This reduces the need for any special instructions, allowing loop execution to complete in 2 cycles.
  • As is obvious from the above, Instruction “jloop C6, Cm, TAR, Ra” and Instruction “settar C6, Cm, D9” are effective for reducing the number of execution cycles in 2-stage PROLOG/EPILOG removal software pipelining.
  • Note that the processor 1 supports instructions which are applicable not only to 2-stage software pipelining, but also to 3-stage software pipelining: Instruction “jloop C6, C2: C4, TAR, Ra” and Instruction “settar C6, C2: C4, D9”. These instructions “jloop C6, C2: C4, TAR, Ra” and “settar C6, C2: C4, D9” are equivalent to instructions in which the register Cm in the above-described 2-stage instructions “jloop C6, Cm, TAR, Ra” and “settar C6, Cm, D9” are extended to the registers C2, C3 and C4.
  • To put it another way, when
  • jloop C6, C2: C4, TAR, Ra
  • the processor 1 behaves as follows, using the address management unit 10 b and others: (i) sets the condition flag C4 to 0 when the value held in the register Ra is smaller than 0; (ii) moves the value of the condition flag C3 to the condition flag C2 and moves the value of the condition flag C4 to the condition flags C3 and C6; (iii) adds −1 to the register Ra and stores the result into the register Ra; and (iv) branches to an address specified by the branch register (TAR) 30 d. When not filled with a branch instruction, the jump buffer 10 f (branch instruction buffer) is filled with a branch target instruction. A detailed behavior is as shown in FIG. 68.
  • Also, when
  • settar C6, C2: C4, D9
  • the processor 1 behaves as follows, using the address management unit 10 b and others: (i) stores an address resulted from adding the value held in the program counter (PC) 33 and a displacement value (D9) into the branch register (TAR) 30 d; (ii) fetches the instruction corresponding to such address and stores it in the jump buffer 10 f (branch instruction buffer); and (iii) sets the condition flags C4 and C6 to 1 and the condition flags C2 and C3 to 0. A detailed behavior is as shown in FIG. 69.
  • FIGS. 70A and 70B show the role of the condition flags in the above 3-stage instructions “jloop C6, C2: C4, TAR, Ra” and “settar C6, C2: C4, D9”. As shown in FIG. 70A, in PROLOG/EPILOG removal 3-stage software pipelining, the condition flags C2, C3 and C4 are predicates intended for Stage 3, Stage 2 and Stage 1, respectively. FIG. 70B is a diagram showing how instruction execution is going on when moving flags in such a case.
  • FIGS. 71˜73 show program examples illustrating the significance of moving flags in the above instructions “jloop C6, C2: C4, TAR, Ra” and “settar C6, C2: C4, D9”. FIG. 71 shows an example of a source program, FIG. 72 shows an example of a machine language program created by using ordinary instructions jloop and settar without the functionality of moving flags, and FIG. 73 shows an example of a machine language program created by using Instruction jloop and Instruction settar according to the present embodiment that have the functionality of moving flags. As is obvious from the comparison between FIG. 72 and FIG. 73, the use of Instruction jloop and Instruction settar according to the present embodiment that have the functionality of moving flags reduces the number of instructions by five as well as the number of times a loop is executed by one cycle.
  • Note that the above description applies to software pipelining involving four or more stages, and the number of condition flags for predicate simply needs to be increased in such a case.
  • In addition to the characteristic instructions described above, the processor 1 is also capable of executing the following characteristic instructions which are not shown in FIGS. 21˜36.
  • [Instruction vsada]
  • Instruction vsada is a SIMD instruction for determining a sum of absolute value differences. For example, when
  • vsada Rc, Ra, Rb Rx
  • the processor 1, using the arithmetic and logic/comparison operation unit 41 and others, performs SIMD operations for determining differences between the values held in the register Ra and the values held in the register Rb on a byte-by-byte basis (determines the difference between the respective four byte pairs), as shown in FIG. 74, determines the absolute value of each of the four results so as to add them, adds the value held in the register Rx to this addition result, and stores the final result into the register Rc. A detailed behavior is as shown in FIG. 75A.
  • Note that the processor 1 is also capable of executing an instruction which does not include the last operand (Rx) in the format of the above Instruction vsada. For example, when
  • vsada Rc, Ra, Rb
  • the processor 1, using the arithmetic and logic/comparison operation unit 41 and others, performs SIMD operations for determining differences between the values held in the register Ra and the values held in the register Rb on a byte-by-byte basis (determines the difference between the respective four byte pairs), determines the absolute value of each of the four results so as to add them, and stores the result into the register Rc. A detailed behavior is as shown in FIG. 75B.
  • These instructions vsada are instructions which resulted from compounding Instruction vasubb and Instruction vabssumb. Instruction vasubb is a SIMD instruction for performing subtractions on four pairs of SIMD data on a byte-by-byte basis, and storing the resulting four signs in the condition flag register. Instruction vabssumb, on the other hand, is a SIMD instruction for adding the absolute values of four pairs of SIMD data on a byte-by-byte basis according to the condition flag register, and adding this addition result to another 4-byte data.
  • Thus, Instruction vsada makes it possible for a sum of absolute value differences to be determined in one cycle and therefore makes the speed of operations faster, as compared with the case where Instruction vasubb and Instruction vabssumb are used in succession. Instruction vasada is effective when used for summing up absolute value differences in motion prediction as part of image processing.
  • Note that data does not have to be in byte, and therefore half word, half byte and other units are also in the scope of the present invention.
  • [Instruction satss, satsu]
  • Instruction satss is an instruction for converting a signed value into a saturated signed value at an arbitrary position (digit). For example, when
  • satss Rc, Ra, Rb
  • the processor 1, using the saturation block (SAT) 47 a and others, stores, into the register Rc, a saturated value (complement on 1 of the register Rb) specified by the register Rb when the value held in the register Ra is larger than such saturated value, and stores the value held in the register Ra into the register Rc when the value held in the register Ra is equal to or smaller than the saturated value, as illustrated in FIG. 76. A detailed behavior is as shown in FIG. 77A.
  • Meanwhile, Instruction satsu is an instruction for converting an unsigned value into a saturated signed value at an arbitrary position (digit). For example, when
  • satsu Rc, Ra, Rb
  • the processor 1, using the saturation block (SAT) 47 a and others, stores a saturated value specified by the register Rb into the register Rc when the value held in the register Ra is larger than such saturated value, and stores the value held in the register Ra into the register Rc when the value held in the register Ra is equal to or smaller than the saturated value. A detailed behavior is as shown in FIG. 77B.
  • The above Instruction satss and Instruction satsu allow saturation processing to be performed at an arbitrary position. This facilitates programming since there is no need for setting a position where saturation is performed to a specific position at the time of assembler programming.
  • [Instruction bytesel]
  • Instruction bytesel is an instruction for selecting one of the values held in two registers on a byte-by-byte basis. For example, when
  • bytesel Rc, Ra, Rb, Rx
  • the processor 1, using the operation unit 40 and others, stores one of eight pieces of byte data held in the register Ra and the register Rb into the register Rc, on the basis of a value indicated by the register Rx, as illustrated in FIG. 78. This behavior is performed on four pieces of bytes in the register Rc in parallel. A detailed behavior is shown in FIG. 79A, and a relationship between the register Rx and byte data to be selected is shown in FIG. 79B.
  • Note that the processor 1 behaves in an equivalent manner also for Instruction bytesel in the following format: when
  • bytesel Rc, Ra, Rb, I12
  • the processor 1, using the operation unit 40 and others, stores one of eight pieces of byte data held in the register Ra and the register Rb into the register Rc, on the basis of a 12-bit immediate value. This behavior is performed on four pieces of bytes in the register Rc in parallel. A detailed behavior is shown in FIG. 79C, and a relationship between an immediate value I12 and byte data to be selected is shown in FIG. 79D.
  • Instruction bytesel allows byte data to be stored at an arbitrary position in a register, and therefore makes repetitions of data reshuffling faster. Moreover, this instruction has an effect of increasing the flexibility of SIMD operations.
  • Note that whether the above byte data is to be stored or not in each of Rc[31:24], Rc[23:16], Rc[15:8], and Rc[7:0] may be specifiable in Instruction “bytesel Rc, Ra, Rb, Rx” explained above, utilizing an empty digit or the like in the register Rx. This allows a byte-by-byte basis selection of whether the value held in the register Rc is to be updated or not.
  • Note that data does not have to be in byte, and therefore half word, half byte and other units are also in the scope of the present invention.
  • [Instructions for Extending Results of SIMD Operations]
  • The processor 1 is also capable of executing SIMD operation-related complementary processing, in addition to the above-explained instructions.
  • For example, the processor 1, when a certain instruction is issued, performs complementary processing for extending a part of results of SIMD operations (sign extension or zero extension), as illustrated in FIGS. 80A and 80B, which show the processor 1 performing SIMD operations on data at the same positions in respective registers (to be referred to also as “straight positions” hereinafter) or on data at diagonally crossed positions, on a per-half word basis. FIG. 80A illustrates processing for extending the lower half word of a required result to a word, and FIG. 80B illustrates processing for extending the higher half word of a required result to a word.
  • Note that Instruction vaddh is an example instruction for performing SIMD operations on data at straight positions on a per-half word basis, while Instruction vxaddh is an example instruction for performing SIMD operations on data at diagonally crossed positions on a per-half word basis.
  • Also note that the processor 1, when a certain instruction is issued, performs complementary processing for extending all results of SIMD operations, as illustrated in FIG. 81. FIG. 81 illustrates the processor 1 performing SIMD operations on pieces of data stored at straight positions or diagonally crossed positions in two registers on a per-half word basis, as well as extending each of resulting two half words to a word.
  • Such an instruction for extending results of SIMD operation as above is effective when making data size all the same by performing sign extension or zero extension after performing the SIMD operations, enabling SIMD operations and extension processing to be performed in one cycle.
  • Furthermore, the processor 1 is also capable of executing SIMD operations specified by condition flags and the like, as SIMD operation-related complementary instructions. For example, the processor 1, when condition flags specify that the first and the second operations should be “addition” and “subtraction” respectively, performs additions and subtractions on each of data pairs in two registers at straight positions or diagonally crossed positions on a per-half word basis, as illustrated in FIG. 82.
  • For example, when the condition flags C0 and C1 are “1 and 0”, the processor 1 behaves as follows, using the arithmetic and logic/comparison operation unit 41 and others:
  • (1) adds the higher half word of the register Ra with the higher half word of the register Rb, and stores this addition result into the higher half word of the register Rc; and
  • (2) subtracts the lower half word of the register Rb from the lower half word of the register Ra, and stores this subtraction result into the lower half word of the register Rc.
  • Such an instruction in which types of SIMD operations are specifiable is effective for processing in which types of operations to be performed are not fixed, and therefore in which an operation shall be determined depending on a result of other processing.
  • Note that present invention is applicable to a case where the register Rb is not used in the above operations (1) and (2). For example, the processor 1 may:
  • (1) add the higher half word of the register Ra with the lower half word of the register Ra, and store this addition result into the higher half word of the register Rc; and
  • (2) subtract the lower half word of the register Ra from the higher half word of the register Ra, and store this subtraction result into the lower half word of the register Rc.

Claims (22)

1. A Single Instruction Multiple Data (SIMD) processor for executing SIMD instructions, comprising:
a decoding unit operable to decode an instruction; and
an execution unit operable to execute the instruction based on a result of the decoding performed by the decoding unit,
wherein the execution unit, when the decoding unit decodes an instruction for making a judgment on comparison results of a SIMD compare instruction executed on a plurality of data elements, judges whether the obtained comparison results are all the same or not among the plurality of data elements, and generates a judgment result.
2. The SIMD processor according to claim 1,
wherein the execution unit judges whether the comparison results are all zero or not, and generates a judgment result.
3. The SIMD processor according to claim 1 further comprising a flag storage unit operable to store a flag,
wherein the execution unit stores, into the flag storage unit, the comparison results of the SIMD compare instruction, together with the generated judgment result.
4-17. (canceled)
18. A SIMD processor for executing SIMD instructions, comprising:
a decoding unit operable to decode an instruction; and
an execution unit operable to execute the instruction based on a result of the decoding performed by the decoding unit,
wherein the execution unit, when the decoding unit decodes a SIMD instruction for generating a value according to a sign of each of a plurality of data elements, generates data indicating that each of the plurality of data elements is one of a positive value, zero, and a negative value.
19. The SIMD processor according to claim 18,
wherein the execution unit generates 1, 0, and −1 depending on whether each of the plurality of data elements is a positive value, zero, or a negative value.
20. The SIMD processor according to claim 19,
wherein the SIMD instruction includes a specification of a first register storing the plurality of data elements and a second register storing the data generated by the execution unit, and
the execution unit stores 1, 0, and −1 into a plurality of storage locations in the second register by associating said storage locations with a plurality of storage locations in the first register storing the plurality of data elements.
21. A SIMD processor for executing SIMD instructions, comprising:
a parameter specification unit operable to specify a first parameter and a second parameter;
a decoding unit operable to decode an instruction; and
an execution unit operable to execute the instruction based on a result of the decoding performed by the decoding unit,
wherein the execution unit, when the decoding unit decodes an instruction on first data, performs a bit-shift on the first data according to the first parameter, and outputs a plurality of word data at word positions identified by the second parameter, out of obtained shifted data.
22. The SIMD processor according to claim 21,
wherein the execution unit, when the shifted data includes contiguous first˜third word data, generates (i) two pieces of the first word data and two pieces of the second word data in this order when the second parameter indicates a first status, and (ii) one piece of the first word data, two pieces of the second word data, and one piece of the third word data in this order when the second parameter indicates a second status.
23. The SIMD processor according to claim 21,
wherein the execution unit, when the shifted data includes contiguous first˜fourth word data, generates (i) two pieces of the first word data and two pieces of the second word data in this order when the second parameter indicates a first status, and (ii) one piece of the first word data, one piece of the third word data, one piece of the second word data, and one piece of the fourth word data in this order when the second parameter indicates a second status.
24. The SIMD processor according to claim 21,
wherein the first parameter and the second parameter are flags.
25. The SIMD processor according to claim 21,
wherein the word is byte.
26-44. (canceled)
45. A processor for decoding and executing instructions, comprising:
a plurality of “n”-word-long registers;
a decoding unit operable to decode an instruction; and
an execution unit operable to execute the instruction based on a result of the decoding performed by the decoding unit,
wherein the execution unit, when the decoding unit decodes an instruction for selecting word data on a word-by-word basis, the instruction including operands specifying first˜third registers and one parameter, stores an “n” piece of word data selected by the parameter into the third register, out of 2“n” pieces of word data stored in the first register and the second register.
46. The processor according to claim 45,
wherein the parameter is a value stored in a fourth register.
47. The processor according to claim 45,
wherein the parameter is an immediate value.
48. The processor according to claim 45,
wherein the parameter includes a flag indicating whether or not the “n” piece of word data is stored individually into each of “n” locations in the third register, and
the execution unit selectively stores or not store the “n” piece of word data into the third register according to the flag.
49. The processor according to claim 45, wherein the word is byte.
50-52. (canceled)
53. A SIMD processor for executing SIMD instructions, comprising:
a flag storage unit operable to store a flag;
a decoding unit operable to decode an instruction; and
an execution unit operable to execute the instruction based on a result of the decoding performed by the decoding unit,
wherein the execution unit, when the decoding unit decodes an instruction for performing a SIMD operation on a plurality of data pairs, performs a SIMD operation identified by the flag stored in the flag storage unit on each of the plurality of data pairs.
54. The SIMD processor according to claim 53,
wherein the flag storage unit stores a first flag and a second flag,
the instruction includes a specification of a first data pair and a second data pair, and
the execution unit performs an operation indicated by a value of the first flag on the first data pair, and an operation indicated by a value of the second flag on the second data pair.
55. The SIMD processor according to claim 53,
wherein the flag storage unit stores a first flag and a second flag,
the instruction includes a specification of a data pair, and
the execution unit performs an operation indicated by a value of the first flag on the data pair, and an operation indicated by a value of the second flag on the data pair.
US11/896,369 2002-09-25 2007-08-31 Processor executing SIMD instructions Abandoned US20080046688A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/896,369 US20080046688A1 (en) 2002-09-25 2007-08-31 Processor executing SIMD instructions

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2002280077A JP3958662B2 (en) 2002-09-25 2002-09-25 Processor
JP2002-280077 2002-09-25
US10/668,358 US7281117B2 (en) 2002-09-25 2003-09-24 Processor executing SIMD instructions
US11/896,369 US20080046688A1 (en) 2002-09-25 2007-08-31 Processor executing SIMD instructions

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/668,358 Division US7281117B2 (en) 2002-09-25 2003-09-24 Processor executing SIMD instructions

Publications (1)

Publication Number Publication Date
US20080046688A1 true US20080046688A1 (en) 2008-02-21

Family

ID=31973293

Family Applications (5)

Application Number Title Priority Date Filing Date
US10/668,358 Active 2025-02-06 US7281117B2 (en) 2002-09-25 2003-09-24 Processor executing SIMD instructions
US11/896,371 Abandoned US20080046704A1 (en) 2002-09-25 2007-08-31 Processor executing SIMD instructions
US11/896,369 Abandoned US20080046688A1 (en) 2002-09-25 2007-08-31 Processor executing SIMD instructions
US11/896,370 Abandoned US20080046690A1 (en) 2002-09-25 2007-08-31 Processor executing SIMD instructions
US11/896,368 Expired - Lifetime US7594099B2 (en) 2002-09-25 2007-08-31 Processor executing SIMD instructions

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US10/668,358 Active 2025-02-06 US7281117B2 (en) 2002-09-25 2003-09-24 Processor executing SIMD instructions
US11/896,371 Abandoned US20080046704A1 (en) 2002-09-25 2007-08-31 Processor executing SIMD instructions

Family Applications After (2)

Application Number Title Priority Date Filing Date
US11/896,370 Abandoned US20080046690A1 (en) 2002-09-25 2007-08-31 Processor executing SIMD instructions
US11/896,368 Expired - Lifetime US7594099B2 (en) 2002-09-25 2007-08-31 Processor executing SIMD instructions

Country Status (6)

Country Link
US (5) US7281117B2 (en)
EP (1) EP1403762A3 (en)
JP (1) JP3958662B2 (en)
KR (1) KR20040027409A (en)
CN (1) CN100524204C (en)
TW (2) TW200411542A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104317554A (en) * 2014-10-14 2015-01-28 中国航天科技集团公司第九研究院第七七一研究所 Device and method of reading and writing register file data for SIMD (Single Instruction Multiple Data) processor

Families Citing this family (93)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7392368B2 (en) * 2002-08-09 2008-06-24 Marvell International Ltd. Cross multiply and add instruction and multiply and subtract instruction SIMD execution on real and imaginary components of a plurality of complex data elements
US7861007B2 (en) * 2003-12-05 2010-12-28 Ati Technologies Ulc Method and apparatus for multimedia display in a mobile device
US7676476B2 (en) * 2004-08-25 2010-03-09 Microsoft Corporation Data types with incorporated collation information
US7219213B2 (en) * 2004-12-17 2007-05-15 Intel Corporation Flag bits evaluation for multiple vector SIMD channels execution
US7512826B2 (en) * 2005-04-20 2009-03-31 International Business Machines Corporation Method, apparatus, and product for an efficient virtualized time base in a scaleable multi-processor computer
US20070186210A1 (en) * 2006-02-06 2007-08-09 Via Technologies, Inc. Instruction set encoding in a dual-mode computer processing environment
US7676647B2 (en) * 2006-08-18 2010-03-09 Qualcomm Incorporated System and method of processing data using scalar/vector instructions
US9069547B2 (en) * 2006-09-22 2015-06-30 Intel Corporation Instruction and logic for processing text strings
US7752028B2 (en) 2007-07-26 2010-07-06 Microsoft Corporation Signed/unsigned integer guest compare instructions using unsigned host compare instructions for precise architecture emulation
JP5092722B2 (en) 2007-12-07 2012-12-05 ソニー株式会社 Image processing apparatus, image processing method, and program
US8041927B2 (en) * 2008-04-16 2011-10-18 Nec Corporation Processor apparatus and method of processing multiple data by single instructions
US7996647B2 (en) * 2008-07-23 2011-08-09 Microchip Technology Incorporated Enhanced microprocessor or microcontroller
US8271832B2 (en) * 2008-08-15 2012-09-18 Apple Inc. Non-faulting and first-faulting instructions for processing vectors
US8862932B2 (en) * 2008-08-15 2014-10-14 Apple Inc. Read XF instruction for processing vectors
US9009528B2 (en) * 2008-08-15 2015-04-14 Apple Inc. Scalar readXF instruction for processing vectors
US8938642B2 (en) * 2008-08-15 2015-01-20 Apple Inc. Confirm instruction for processing vectors
JP5438551B2 (en) 2009-04-23 2014-03-12 新日鉄住金ソリューションズ株式会社 Information processing apparatus, information processing method, and program
GB2474901B (en) * 2009-10-30 2015-01-07 Advanced Risc Mach Ltd Apparatus and method for performing multiply-accumulate operations
KR101484379B1 (en) * 2009-12-25 2015-01-19 인텔 코포레이션 Fast branch-free vector division computation
GB2480285A (en) 2010-05-11 2011-11-16 Advanced Risc Mach Ltd Conditional compare instruction which sets a condition code when it is not executed
CN102270112A (en) * 2010-06-03 2011-12-07 边立剑 Reduced instruction-set computer (RISC) microprocessor command decoding circuit
US20120084539A1 (en) * 2010-09-29 2012-04-05 Nyland Lars S Method and sytem for predicate-controlled multi-function instructions
JP5659772B2 (en) * 2010-12-17 2015-01-28 富士通株式会社 Arithmetic processing unit
US9176733B2 (en) 2011-04-07 2015-11-03 Via Technologies, Inc. Load multiple and store multiple instructions in a microprocessor that emulates banked registers
US9645822B2 (en) 2011-04-07 2017-05-09 Via Technologies, Inc Conditional store instructions in an out-of-order execution microprocessor
US9898291B2 (en) 2011-04-07 2018-02-20 Via Technologies, Inc. Microprocessor with arm and X86 instruction length decoders
US9292470B2 (en) 2011-04-07 2016-03-22 Via Technologies, Inc. Microprocessor that enables ARM ISA program to access 64-bit general purpose registers written by x86 ISA program
US9317288B2 (en) 2011-04-07 2016-04-19 Via Technologies, Inc. Multi-core microprocessor that performs x86 ISA and ARM ISA machine language program instructions by hardware translation into microinstructions executed by common execution pipeline
US9146742B2 (en) 2011-04-07 2015-09-29 Via Technologies, Inc. Heterogeneous ISA microprocessor that preserves non-ISA-specific configuration state when reset to different ISA
US9141389B2 (en) 2011-04-07 2015-09-22 Via Technologies, Inc. Heterogeneous ISA microprocessor with shared hardware ISA registers
US9336180B2 (en) 2011-04-07 2016-05-10 Via Technologies, Inc. Microprocessor that makes 64-bit general purpose registers available in MSR address space while operating in non-64-bit mode
US9274795B2 (en) 2011-04-07 2016-03-01 Via Technologies, Inc. Conditional non-branch instruction prediction
US9043580B2 (en) 2011-04-07 2015-05-26 Via Technologies, Inc. Accessing model specific registers (MSR) with different sets of distinct microinstructions for instructions of different instruction set architecture (ISA)
US8924695B2 (en) 2011-04-07 2014-12-30 Via Technologies, Inc. Conditional ALU instruction condition satisfaction propagation between microinstructions in read-port limited register file microprocessor
US9032189B2 (en) * 2011-04-07 2015-05-12 Via Technologies, Inc. Efficient conditional ALU instruction in read-port limited register file microprocessor
US8880851B2 (en) 2011-04-07 2014-11-04 Via Technologies, Inc. Microprocessor that performs X86 ISA and arm ISA machine language program instructions by hardware translation into microinstructions executed by common execution pipeline
US9378019B2 (en) 2011-04-07 2016-06-28 Via Technologies, Inc. Conditional load instructions in an out-of-order execution microprocessor
US9244686B2 (en) 2011-04-07 2016-01-26 Via Technologies, Inc. Microprocessor that translates conditional load/store instructions into variable number of microinstructions
US9128701B2 (en) 2011-04-07 2015-09-08 Via Technologies, Inc. Generating constant for microinstructions from modified immediate field during instruction translation
US8880857B2 (en) 2011-04-07 2014-11-04 Via Technologies, Inc. Conditional ALU instruction pre-shift-generated carry flag propagation between microinstructions in read-port limited register file microprocessor
US8949777B2 (en) * 2011-04-22 2015-02-03 Intel Corporation Methods and systems for mapping a function pointer to the device code
CN102411490B (en) * 2011-08-09 2014-04-16 清华大学 Instruction set optimization method for dynamically reconfigurable processors
US10534606B2 (en) 2011-12-08 2020-01-14 Oracle International Corporation Run-length encoding decompression
WO2013101198A1 (en) * 2011-12-30 2013-07-04 Intel Corporation Simd variable shift and rotate using control manipulation
US9575753B2 (en) 2012-03-15 2017-02-21 International Business Machines Corporation SIMD compare instruction using permute logic for distributed register files
US8898433B2 (en) * 2012-04-26 2014-11-25 Avago Technologies General Ip (Singapore) Pte. Ltd. Efficient extraction of execution sets from fetch sets
US9038042B2 (en) 2012-06-29 2015-05-19 Analog Devices, Inc. Staged loop instructions
US9378017B2 (en) * 2012-12-29 2016-06-28 Intel Corporation Apparatus and method of efficient vector roll operation
US20140244987A1 (en) * 2013-02-22 2014-08-28 Mips Technologies, Inc. Precision Exception Signaling for Multiple Data Architecture
JP2015049832A (en) 2013-09-04 2015-03-16 インターナショナル・ビジネス・マシーンズ・コーポレーションInternational Business Machines Corporation Method, device, and program for reducing constant load overhead
US11113054B2 (en) 2013-09-10 2021-09-07 Oracle International Corporation Efficient hardware instructions for single instruction multiple data processors: fast fixed-length value compression
US9378232B2 (en) 2013-09-21 2016-06-28 Oracle International Corporation Framework for numa affinitized parallel query on in-memory objects within the RDBMS
US9697005B2 (en) * 2013-12-04 2017-07-04 Analog Devices, Inc. Thread offset counter
JP2015143949A (en) * 2014-01-31 2015-08-06 富士通株式会社 Arithmetic program, arithmetic unit, and arithmetic method
CN103970508A (en) * 2014-06-04 2014-08-06 上海航天电子通讯设备研究所 Simplified microprocessor IP core
US20160179538A1 (en) * 2014-12-19 2016-06-23 Intel Corporation Method and apparatus for implementing and maintaining a stack of predicate values with stack synchronization instructions in an out of order hardware software co-designed processor
US9952865B2 (en) 2015-04-04 2018-04-24 Texas Instruments Incorporated Low energy accelerator processor architecture with short parallel instruction word and non-orthogonal register data file
US9817791B2 (en) 2015-04-04 2017-11-14 Texas Instruments Incorporated Low energy accelerator processor architecture with short parallel instruction word
US11847427B2 (en) * 2015-04-04 2023-12-19 Texas Instruments Incorporated Load store circuit with dedicated single or dual bit shift circuit and opcodes for low power accelerator processor
US10025823B2 (en) 2015-05-29 2018-07-17 Oracle International Corporation Techniques for evaluating query predicates during in-memory table scans
US10705841B2 (en) 2015-06-24 2020-07-07 International Business Machines Corporation Instruction to perform a logical operation on conditions and to quantize the Boolean result of that operation
US10698688B2 (en) * 2015-06-24 2020-06-30 International Business Machines Corporation Efficient quantization of compare results
US10620952B2 (en) 2015-06-24 2020-04-14 International Business Machines Corporation Conversion of boolean conditions
US10067954B2 (en) 2015-07-22 2018-09-04 Oracle International Corporation Use of dynamic dictionary encoding with an associated hash table to support many-to-many joins and aggregations
GB2540941B (en) * 2015-07-31 2017-11-15 Advanced Risc Mach Ltd Data processing
GB2540939B (en) * 2015-07-31 2019-01-23 Advanced Risc Mach Ltd An apparatus and method for performing a splice operation
US20170177369A1 (en) * 2015-12-21 2017-06-22 Intel Corporation Non-contiguous multiple register access for microprocessor data exchange instructions
US10503474B2 (en) 2015-12-31 2019-12-10 Texas Instruments Incorporated Methods and instructions for 32-bit arithmetic support using 16-bit multiply and 32-bit addition
US10061714B2 (en) 2016-03-18 2018-08-28 Oracle International Corporation Tuple encoding aware direct memory access engine for scratchpad enabled multicore processors
US10055358B2 (en) 2016-03-18 2018-08-21 Oracle International Corporation Run length encoding aware direct memory access filtering engine for scratchpad enabled multicore processors
US10061832B2 (en) 2016-11-28 2018-08-28 Oracle International Corporation Database tuple-encoding-aware data partitioning in a direct memory access engine
US10402425B2 (en) 2016-03-18 2019-09-03 Oracle International Corporation Tuple encoding aware direct memory access engine for scratchpad enabled multi-core processors
US10599488B2 (en) 2016-06-29 2020-03-24 Oracle International Corporation Multi-purpose events for notification and sequence control in multi-core processor systems
US10380058B2 (en) 2016-09-06 2019-08-13 Oracle International Corporation Processor core to coprocessor interface with FIFO semantics
US10783102B2 (en) 2016-10-11 2020-09-22 Oracle International Corporation Dynamically configurable high performance database-aware hash engine
US10176114B2 (en) 2016-11-28 2019-01-08 Oracle International Corporation Row identification number generation in database direct memory access engine
US10459859B2 (en) 2016-11-28 2019-10-29 Oracle International Corporation Multicast copy ring for database direct memory access filtering engine
US10725947B2 (en) 2016-11-29 2020-07-28 Oracle International Corporation Bit vector gather row count calculation and handling in direct memory access engine
EP3336692B1 (en) * 2016-12-13 2020-04-29 Arm Ltd Replicate partition instruction
US10401412B2 (en) 2016-12-16 2019-09-03 Texas Instruments Incorporated Line fault signature analysis
US11256504B2 (en) 2017-09-29 2022-02-22 Intel Corporation Apparatus and method for complex by complex conjugate multiplication
US11074073B2 (en) 2017-09-29 2021-07-27 Intel Corporation Apparatus and method for multiply, add/subtract, and accumulate of packed data elements
US10795676B2 (en) 2017-09-29 2020-10-06 Intel Corporation Apparatus and method for multiplication and accumulation of complex and real packed data elements
US10514924B2 (en) 2017-09-29 2019-12-24 Intel Corporation Apparatus and method for performing dual signed and unsigned multiplication of packed data elements
US10795677B2 (en) 2017-09-29 2020-10-06 Intel Corporation Systems, apparatuses, and methods for multiplication, negation, and accumulation of vector packed signed values
US10552154B2 (en) 2017-09-29 2020-02-04 Intel Corporation Apparatus and method for multiplication and accumulation of complex and real packed data elements
US10802826B2 (en) 2017-09-29 2020-10-13 Intel Corporation Apparatus and method for performing dual signed and unsigned multiplication of packed data elements
US10534838B2 (en) 2017-09-29 2020-01-14 Intel Corporation Bit matrix multiplication
US10664277B2 (en) 2017-09-29 2020-05-26 Intel Corporation Systems, apparatuses and methods for dual complex by complex conjugate multiply of signed words
US11243765B2 (en) 2017-09-29 2022-02-08 Intel Corporation Apparatus and method for scaling pre-scaled results of complex multiply-accumulate operations on packed real and imaginary data elements
US20190102182A1 (en) * 2017-09-29 2019-04-04 Intel Corporation Apparatus and method for performing dual signed and unsigned multiplication of packed data elements
US11113061B2 (en) * 2019-09-26 2021-09-07 Advanced Micro Devices, Inc. Register saving for function calling
CN111026736B (en) * 2019-12-13 2024-03-12 中盈优创资讯科技有限公司 Data blood margin management method and device and data blood margin analysis method and device

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5036454A (en) * 1987-05-01 1991-07-30 Hewlett-Packard Company Horizontal computer having register multiconnect for execution of a loop with overlapped code
US5303355A (en) * 1991-03-27 1994-04-12 Motorola, Inc. Pipelined data processor which conditionally executes a predetermined looping instruction in hardware
US5590352A (en) * 1994-04-26 1996-12-31 Advanced Micro Devices, Inc. Dependency checking and forwarding of variable width operands
US5793658A (en) * 1996-01-17 1998-08-11 Digital Equipment Coporation Method and apparatus for viedo compression and decompression using high speed discrete cosine transform
US5915109A (en) * 1996-08-12 1999-06-22 Mitsubishi Denki Kabushiki Kaisha Microprocessor for processing a saturation instruction of an optional-bit length value
US6260137B1 (en) * 1997-09-12 2001-07-10 Siemens Aktiengesellschaft Data processing unit with digital signal processing capabilities
US20010016898A1 (en) * 2000-02-18 2001-08-23 Mitsubishi Denki Kabushiki Kaisha Data Processor
US20030097389A1 (en) * 2001-11-21 2003-05-22 Ashley Saulsbury Methods and apparatus for performing pixel average operations
US20030158881A1 (en) * 2002-02-20 2003-08-21 Yuyun Liao Method and apparatus for performing a pixel averaging instruction
US6904510B1 (en) * 1998-10-09 2005-06-07 Koninklijke Philips Electronics N.V. Data processor having a respective multiplexer for each particular field
US7124160B2 (en) * 2000-03-08 2006-10-17 Sun Microsystems, Inc. Processing architecture having parallel arithmetic capability

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2703884B2 (en) 1985-12-27 1998-01-26 日本電気株式会社 Data write control method
EP0789870B1 (en) 1995-09-01 2003-01-15 Philips Electronics North America Corporation Method and apparatus for custom operations of a processor
JP2000020486A (en) 1998-06-29 2000-01-21 Ricoh Co Ltd Simd type computing element
JP3652518B2 (en) 1998-07-31 2005-05-25 株式会社リコー SIMD type arithmetic unit and arithmetic processing unit
US6829696B1 (en) * 1999-12-30 2004-12-07 Texas Instruments Incorporated Data processing system with register store/load utilizing data packing/unpacking

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5036454A (en) * 1987-05-01 1991-07-30 Hewlett-Packard Company Horizontal computer having register multiconnect for execution of a loop with overlapped code
US5303355A (en) * 1991-03-27 1994-04-12 Motorola, Inc. Pipelined data processor which conditionally executes a predetermined looping instruction in hardware
US5590352A (en) * 1994-04-26 1996-12-31 Advanced Micro Devices, Inc. Dependency checking and forwarding of variable width operands
US5793658A (en) * 1996-01-17 1998-08-11 Digital Equipment Coporation Method and apparatus for viedo compression and decompression using high speed discrete cosine transform
US5915109A (en) * 1996-08-12 1999-06-22 Mitsubishi Denki Kabushiki Kaisha Microprocessor for processing a saturation instruction of an optional-bit length value
US6260137B1 (en) * 1997-09-12 2001-07-10 Siemens Aktiengesellschaft Data processing unit with digital signal processing capabilities
US6904510B1 (en) * 1998-10-09 2005-06-07 Koninklijke Philips Electronics N.V. Data processor having a respective multiplexer for each particular field
US20010016898A1 (en) * 2000-02-18 2001-08-23 Mitsubishi Denki Kabushiki Kaisha Data Processor
US7124160B2 (en) * 2000-03-08 2006-10-17 Sun Microsystems, Inc. Processing architecture having parallel arithmetic capability
US20030097389A1 (en) * 2001-11-21 2003-05-22 Ashley Saulsbury Methods and apparatus for performing pixel average operations
US20030158881A1 (en) * 2002-02-20 2003-08-21 Yuyun Liao Method and apparatus for performing a pixel averaging instruction

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104317554A (en) * 2014-10-14 2015-01-28 中国航天科技集团公司第九研究院第七七一研究所 Device and method of reading and writing register file data for SIMD (Single Instruction Multiple Data) processor

Also Published As

Publication number Publication date
US7281117B2 (en) 2007-10-09
US20080046690A1 (en) 2008-02-21
EP1403762A3 (en) 2007-12-12
TW200945190A (en) 2009-11-01
US20040068642A1 (en) 2004-04-08
US20080046704A1 (en) 2008-02-21
US7594099B2 (en) 2009-09-22
JP2004118470A (en) 2004-04-15
JP3958662B2 (en) 2007-08-15
EP1403762A2 (en) 2004-03-31
CN1497435A (en) 2004-05-19
US20080046687A1 (en) 2008-02-21
TW200411542A (en) 2004-07-01
CN100524204C (en) 2009-08-05
KR20040027409A (en) 2004-04-01

Similar Documents

Publication Publication Date Title
US7594099B2 (en) Processor executing SIMD instructions
US7380112B2 (en) Processor and compiler for decoding an instruction and executing the decoded instruction with conditional execution flags
US7185176B2 (en) Processor executing SIMD instructions
US8151254B2 (en) Compiler, compiler apparatus and compilation method
US8418157B2 (en) Compiler apparatus with flexible optimization
US20070011441A1 (en) Method and system for data-driven runtime alignment operation
US7376812B1 (en) Vector co-processor for configurable and extensible processor architecture
US7509634B2 (en) SIMD instruction sequence generating program, SIMD instruction sequence generating method and apparatus
US8938485B1 (en) Integer division using floating-point reciprocal
JP2006338684A (en) Processor
Jeroen van Straten ρ-VEX user manual
JP2007102821A (en) Processor and compiler

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION