US20070272359A1 - Apparatus for removing a halogen-containing residue - Google Patents

Apparatus for removing a halogen-containing residue Download PDF

Info

Publication number
US20070272359A1
US20070272359A1 US11/779,974 US77997407A US2007272359A1 US 20070272359 A1 US20070272359 A1 US 20070272359A1 US 77997407 A US77997407 A US 77997407A US 2007272359 A1 US2007272359 A1 US 2007272359A1
Authority
US
United States
Prior art keywords
chamber
residue
substrate
residue removal
halogen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/779,974
Inventor
Mark Kawaguchi
James Papanu
Scott Williams
Matthew Davis
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US11/779,974 priority Critical patent/US20070272359A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: KAWAGUCHI, MARK N., WILLIAMS, SCOTT, DAVIS, MATTHEW FENTON, PAPANU, JAMES S.
Publication of US20070272359A1 publication Critical patent/US20070272359A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Definitions

  • the present invention generally relates to a method and apparatus for fabricating devices on a semiconductor substrate. More specifically, the present invention relates to a method and apparatus for removing halogen-containing residue after plasma etching a conducting or semiconducting layer.
  • Ultra-large-scale integrated (ULSI) circuits may include more than one million electronic devices (e.g., transistors) that are formed on a semiconductor substrate, such as a silicon (Si) wafer, and cooperate to perform various functions within the device.
  • the transistors used in the ULSI circuits are complementary metal-oxide-semiconductor (CMOS) field effect transistors.
  • CMOS transistor has a gate structure comprising a polysilicon gate electrode and gate dielectric and is disposed between a source region and drain regions that are formed in the wafer.
  • Fabrication of the electronic devices comprises etch processes in which one or more layers of a film stack (e.g., film stack of the gate structure) are plasma etched and removed, either partially or in total.
  • the layers e.g., layers of silicon, polysilicon, hafnium dioxide (HfO 2 ), silicon dioxide (SiO 2 ), and the like
  • etchants comprising at least one halogen-containing gas, such as hydrogen bromide (HBr), chlorine (Cl 2 ), carbon tetrafluoride (CF 4 ), carbon monoxide (CO), and the like.
  • halogen-containing gas such as hydrogen bromide (HBr), chlorine (Cl 2 ), carbon tetrafluoride (CF 4 ), carbon monoxide (CO), and the like.
  • the halogen-containing residues When exposed to a non-vacuumed environment (e.g., within factory interfaces that interconnect various wafer processing systems) and/or during consecutive processing, the halogen-containing residues release gaseous halogens and halogen-based reactants (e.g., bromine (Br 2 ), chlorine, hydrogen chloride (HCl), and the like).
  • gaseous halogens and halogen-based reactants e.g., bromine (Br 2 ), chlorine, hydrogen chloride (HCl), and the like.
  • the released halogens and halogen-based reactants cause corrosion and particle contamination of the interior of the processing systems and factory interfaces, as well as corrosion of metallic layers on the substrate. Cleaning of the processing systems and factory interfaces and replacement of the corroded parts is a time consuming and expensive procedure.
  • a method and apparatus for removing a halogen-containing residue from a substrate comprises pre-heating the substrate in an atmosphere of oxygen and nitrogen and exposing the pre-heated substrate in a remote plasma reactor to a gas (or gas mixture) comprising oxygen, nitrogen, and an optional hydrogen-containing gas.
  • FIG. 1 depicts a flow diagram of a method of removing a halogen-containing residue in accordance with the present invention
  • FIGS. 2A-2D depict a sequence of schematic, cross-sectional views of a substrate having a gate structure of a field effect transistor being formed in accordance with the method of FIG. 1 ;
  • FIG. 3 depicts a schematic diagram of an exemplary remote plasma processing apparatus of the kind used in performing portions of the inventive method.
  • FIG. 4 depicts a schematic plan view of an integrated platform used to perform the method of the present invention.
  • the present invention is a method and apparatus for removing a halogen-containing residue (i.e., a residue containing bromine (Br), chlorine (Cl), and the like) after plasma etching a layer on a semiconductor substrate, e.g., silicon (Si) wafer.
  • a halogen-containing residue i.e., a residue containing bromine (Br), chlorine (Cl), and the like
  • the invention increases the productivity of fabricating integrated electronic devices and is generally used to protect factory interfaces, processing equipment, and metallic layers of the thin film electronic devices from corrosion and particle contamination caused by halogen-based reactants (e.g., Br 2 , Cl 2 , and the like).
  • halogen-based reactants e.g., Br 2 , Cl 2 , and the like.
  • Such reactants are formed when the wafers comprising a halogen-containing residue are exposed, even momentarily, to a non-vacuumed portion (e.g., atmospheric pressure portion) of a facility for fabricating devices on semiconductor wafers, e.g., semiconductor fabrication process.
  • FIG. 1 depicts a flow diagram of a method 100 of removing a halogen-containing residue in accordance with the present invention.
  • the method 100 comprises processes performed upon a film stack of a gate structure of a field effect transistor, such as a complementary metal-oxide-semiconductor (CMOS) transistor and the like.
  • CMOS complementary metal-oxide-semiconductor
  • the invention is described for use during gate structure fabrication, the invention also finds use wherever a halogen-containing residue is to be removed, e.g., shallow trench isolation formation.
  • FIGS. 1 and 2 A- 2 D For best understanding of this embodiment of the invention, the reader should refer simultaneously to FIGS. 1 and 2 A- 2 D.
  • FIGS. 2A-2D together depict a sequence of schematic, cross-sectional views of a substrate having a gate structure being formed in accordance with the method 100 of FIG. 1 .
  • the cross-sectional views in FIGS. 2A-2D relate to individual processing steps that are used to form the gate structure.
  • Conventional sub-processes e.g., exposure and development of photoresist, wafer cleaning procedures, and the like
  • FIGS. 2A-2D are not depicted to scale and are simplified for illustrative purposes.
  • the method 100 starts at step 101 and proceeds to step 102 when a film stack 202 is formed on a wafer 200 ( FIG. 2A ).
  • the wafer 200 e.g., a silicon wafer, comprises doped source and drain regions 232 and 234 that are separated by a channel region 236 of the CMOS transistor. These regions are depicted for orientation purposes and are generally not formed until after the gate structure is formed.
  • the wafer 200 may further comprise a spacer film (not shown).
  • the spacer film generally is used to protect the channel region 236 from diffusive contaminants (e.g., oxygen (O 2 ) and the like) that may be contained in a gate dielectric layer 204 .
  • the spacer film may be formed from silicon dioxide (SiO 2 ), silicon nitride (Si 3 N 4 ), and the like.
  • the film stack 202 comprises a doped polysilicon (Si) layer 206 and a dielectric layer 204 , e.g., hafnium dioxide (HfO 2 ), silicon dioxide (SiO 2 ), and the like. Other dielectric materials may also be used.
  • the polysilicon layer 206 and dielectric 204 are formed to a thickness of about 500 to 6000 Angstroms and about 20 to 60 Angstroms, respectively.
  • Such layers 204 and 206 may be provided using a deposition technique, such as atomic layer deposition (ALD), chemical vapor deposition (CVD), and the like.
  • a patterned mask 214 is formed on the polysilicon layer 206 ( FIG. 2B ).
  • the mask 214 is formed in the region 220 to define the location and topographic dimensions of a gate electrode of the gate structure being formed using method 100 . Further, the mask 214 protects the channel region 236 and portions of the source and drain regions 232 and 234 that are disposed in the region 220 , while exposing the adjacent regions 222 of the wafer 200 .
  • the mask 214 is generally a hard mask formed from a material that is stable at the wafer temperatures up to 500° C.
  • the suitable hard mask materials comprise dielectric materials, silicon dioxide, Advanced Patterning FilmTM (APF) available from Applied Materials, Inc. of Santa Clara, and the like.
  • the mask 214 is formed from silicon dioxide or silicon nitride.
  • the mask 214 may be formed from photoresist. Examples of processes for applying various hard and photoresist masks are described, in commonly assigned U.S. patent application Ser. No. 10/245,130, filed Sep. 16, 2002 and U.S. patent application Ser. No. 09/590,322, filed Jun. 8, 2000, which are incorporated herein by reference.
  • step 106 the polysilicon layer 206 is etched and removed in the regions 222 ( FIG. 2C ). A remaining portion of the layer 206 forms in the region 220 a gate electrode 216 .
  • Step 106 uses the mask 214 as an etch mask and may use the dielectric layer 204 as an etch stop layer. In one illustrative embodiment, step 106 performs a plasma etch process using a gas (or gas mixture) comprising at least one of hydrogen bromide (HBr), chlorine (Cl 2 ), carbon tetrafluoride (CF 4 ), and the like.
  • a gas or gas mixture
  • gas or gas mixture
  • Step 106 can be performed, for example, using a Decoupled Plasma Source (DPS) reactor of the CENTURA® integrated semiconductor wafer processing system available from Applied Materials, Inc. of Santa Clara, Calif.
  • DPS Decoupled Plasma Source
  • the DPS reactor uses an inductive source to produce high-density plasma and comprises a source of radio-frequency (RF) power to bias the wafer.
  • RF radio-frequency
  • step 106 uses the DPS reactor, step 106 provides hydrogen bromide at a rate of 20 to 300 sccm and chlorine at a rate of 20 to 300 sccm (i.e., a HBr:Cl 2 flow ratio ranging from 1:15 to all HBr), as well as nitrogen (N 2 ) at a rate of 0 to 200 sccm. Further, step 106 applies 200 to 3000 W of plasma power and 0 to 300 W of bias power and maintains a wafer temperature at 0 to 200° C. and a pressure in the reaction chamber at 2 to 100 mTorr.
  • One exemplary process provides HBr at a rate of 40 sccm and Cl 2 at a rate of 40 sccm (i.e., a HBr:Cl 2 flow ratio of about 1:1), N 2 at a rate of 20 sccm, 1100 W of plasma power, 20 W of bias power, a wafer temperature of 45° C., and a pressure of 4 mTorr.
  • the etched material combines with components of the etchant chemistry, as well as with components of the mask 214 and by-products of the etch process to form a halogen-containing residue 218 .
  • the halogen-containing residue 218 deposits on the surfaces of the film stack 202 and elsewhere on the wafer 200 .
  • the halogen-containing residue 218 releases (or “outgases”) gaseous reactants, such as bromine, chlorine, hydrogen chloride (HCl), and the like.
  • Such reactants may cause corrosion and particle contamination of the processing equipment and factory interfaces, as well as metallic layers (e.g., layers of copper (Cu), aluminum (Al), and the like) on the wafer 200 .
  • wafers are transferred between the vacuumed and non-vacuumed regions of the production environment using an atmospheric pressure factory interface, such as, e.g., a factory interface of the CENTURA® system (discussed in reference to FIG. 4 below).
  • an atmospheric pressure factory interface such as, e.g., a factory interface of the CENTURA® system (discussed in reference to FIG. 4 below).
  • the halogen-based residue 218 should be outgassed and/or the residue should be removed from the wafer 200 before the wafer is transferred to such factory interface.
  • step 106 further etches and removes the dielectric layer 204 in the regions 222 to form the gate electrode 240 .
  • Step 106 may use a gas comprising a halogen gas (e.g., chlorine, hydrogen chloride, and the like) and a reducing gas, such as carbon monoxide (CO).
  • a gas comprising a halogen gas e.g., chlorine, hydrogen chloride, and the like
  • a reducing gas such as carbon monoxide (CO).
  • CO carbon monoxide
  • step 106 also develops a halogen-containing residue that should be outgassed and/or removed before the wafer is transferred to the non-vacuumed factory interface.
  • the wafer 200 is transferred under vacuum using, e.g., a robot of the exemplary CENTURA® system to a remote plasma reactor, such as the AXIOM® reactor.
  • the AXIOM® reactor is a remote plasma reactor in which the radio-frequency plasma is confined such that only reactive neutrals are allowed to enter a reaction volume of the process chamber. Such confinement scheme precludes plasma-related damage of the substrate or circuits formed on the substrate.
  • a wafer backside may be heated radiantly by quartz halogen lamps or resistively heated or cooled using heat transfer (e.g., coolant circulating through the wafer support), such that the wafer temperature can be maintained at 20 to 450° C.
  • the AXIOM® reactor may use an endpoint detection system.
  • the AXIOM® reactor is described in detail in U.S. patent application Ser. No. 10/264,664, filed Oct. 4, 2002, which is herein incorporated by reference. The salient features of the reactor are briefly described below in reference to FIG. 3 .
  • Step 110 the halogen-containing residue 218 is outgassed to release the halogen-based reactants and, in most applications, removed from the wafer 200 ( FIG. 2D ).
  • Step 110 comprises sub-step 112 of pre-heating the wafer 200 , decision sub-step 114 , and decision sub-step 116 of exposing the wafer to an oxygen-containing gas (e.g., oxygen, water vapor, and the like) and optionally an additive such as for example nitrogen, argon, helium, and the like.
  • an oxygen-containing gas e.g., oxygen, water vapor, and the like
  • an additive such as for example nitrogen, argon, helium, and the like.
  • the wafer may be exposed to a hydrogen-containing gas (e.g., hydrogen, forming gas, water vapor, alkanes, alkenes, and the like) and optionally an additive such as oxygen, argon, helium and the like, or sub-step 118 of exposing the wafer to a gas comprising oxygen, nitrogen, and a hydrogen-containing gas, such as at least one of hydrogen (H 2 ), water vapor (H 2 O), and the like.
  • a hydrogen-containing gas e.g., hydrogen, forming gas, water vapor, alkanes, alkenes, and the like
  • an additive such as oxygen, argon, helium and the like
  • sub-step 118 of exposing the wafer to a gas comprising oxygen, nitrogen, and a hydrogen-containing gas, such as at least one of hydrogen (H 2 ), water vapor (H 2 O), and the like.
  • sub-steps 116 and 118 also simultaneously remove the halogen-containing residue 218 and the mask.
  • step 110 the outgassed halogen-based reactants are converted in non-corrosive volatile compounds that are then pumped out from the processing reactor.
  • the wafer 200 may be transferred for further processing to another processing environment using, e.g., the non-vacuumed factory interface that interconnects various vacuumed and non-vacuumed regions of a semiconductor fab.
  • the wafer may be transferred to another processing chamber within the same processing system (e.g., CENTURA® system).
  • the gas is energized to a plasma in the remote plasma reactor and the wafer 200 is pre-heated to a temperature of at least 150 degrees to about 400° C. Then, the wafer is maintained at such temperature during the remaining portion of step 110 . Alternatively, the wafer may be heated and processed simultaneously for improved throughput.
  • the wafer 200 is pre-heated, to about 250° C. in a gas mixture of oxygen and nitrogen.
  • Oxygen and nitrogen are provided for a duration of about 10-20 sec to the chamber at flow rates of about 5000 sccm and 500 sccm, respectively (i.e., at O 2 :N 2 flow ratio of about 10:1) at a pressure greater than 1 Torr.
  • the method 100 queries whether the halogen-containing residue 218 comprises bromine.
  • the residue 218 may contain bromine when step 106 uses a bromine-containing gas, e.g., hydrogen bromide.
  • the decision making routine may be automated using, e.g., a residual gas analyzer (RGA). If the query of step 116 is affirmatively answered, the method 100 proceeds to sub-step 116 or, when the query of sub-step 114 is negatively answered, the method 100 proceeds to sub-step 118 .
  • RAA residual gas analyzer
  • sub-step 116 the wafer 200 is exposed to reactants that are formed from a source gas by the plasma source of a remote plasma reactor, e.g., source 306 of the AXIOM® reactor.
  • sub-step 116 provides the source gas comprising oxygen and nitrogen at flow rates of about 1000 to 9000 sccm and about 100 to 900 sccm respectively (i.e at O 2 :N 2 flow ratio of about 10:1).
  • sub-step 116 applies 3000 to 5000 W at about 200 to 600 kHz to form the remote plasma, maintains a wafer temperature between at least 150 and about 400° C. and a gas pressure in the process chamber at about 0.5 to 2 Torr.
  • the duration of substep 116 is generally about 15 to 60 sec.
  • One exemplary process provides 3500 sccm of O 2 and 350 sccm of N 2 (i.e., a O 2 :N 2 flow ratio of about 10:1), 5000 W of plasma power, a wafer temperature of 250° C., a gas pressure of 0.7 Torr, and has a duration of 20 sec.
  • Such process reduces the amount of bromine on the 300 mm wafer from about 250 ⁇ g (photoresist mask 214 ) or about 50-70 ⁇ g (hard mask 214 ) to about or below the detection limit of 3-5 ⁇ g. It is believed that, during sub-step 116 , the remaining portion (i.e., traces) of bromine becomes oxidized and trapped on the sidewalls of the polysilicon electrode 216 .
  • the process of sub-steps 112 and 116 reduces the amount of chlorine from about 300-350 ⁇ g to about 5 ⁇ g (photoresist mask 214 ) and to about 10 ⁇ g (hard mask 214 ).
  • the amount of chlorine on the wafer 200 may be as high as 1000 to 3000 ⁇ g.
  • sub-step 116 can reduce the amount of chlorine on the wafer only by a factor of 5-6 (i.e., to about 200-600 ⁇ g).
  • the wafer 200 having the halogen-containing residue 218 that does not comprise bromine but comprises chlorine is exposed to the reactants formed by the plasma source of the remote plasma reactor from a source gas comprising oxygen, and a reducing gas to facilitate forming volatile components.
  • the reducing gas may consist of a hydrogen-containing gas such as hydrogen, forming gas (2-5% hydrogen in nitrogen, and in one embodiment, about 4% hydrogen in nitrogen), water vapor, and the like.
  • sub-step 118 provides oxygen at a flow rate of about 1500 to 10,000 sccm and hydrogen-containing gas at a flow rate of about 10 to 2000 sccm (i.e., at O 2 :H 2 flow ratio of from about 150:1 and 5:1, and H 2 :(H 2 or H 2 O) flow ratio of from about 2:1 and 1:1). Further, sub-step 118 applies 3000 to 6000 W at about 200 to 600 kHz to form the remote plasma and maintains a wafer temperature between at least 50 and about 450° C. and a gas pressure in the process chamber at about 0.5 to 2 Torr. The duration of sub-step 118 is generally about 15 to 60 sec.
  • One exemplary process provides 3500 sccm of O 2 and 800 sccm of forming gas (i.e. O 2 :forming gas flow ratio of about 5:1, 5000 W of plasma power, a wafer temperature of 250° C., a gas pressure of 0.7 Torr, and has a duration of 20 to 40 sec.
  • O 2 forming gas flow ratio of about 5:1, 5000 W of plasma power, a wafer temperature of 250° C., a gas pressure of 0.7 Torr, and has a duration of 20 to 40 sec.
  • Such process reduces the amount of chlorine on the wafer 200 from about 2000-3000 ⁇ g to about 7-10 ⁇ g.
  • One exemplary process provides 1000 to 9000 sccm of O 2 and 100 to 3000 sccm of water vapor, (i.e. O 2 :water vapor ratio of about 10:1 to 3:1), 1000 to 6000 W of plasma power, a wafer temperature of 250° C., a gas pressure of 0.5 to 4 Torr, and has a duration of 20 to 60 sec.
  • Such process reduces the amount of chlorine on the wafer 200 from about 2000-3000 ⁇ g to about 7-10 ⁇ g.
  • Another exemplary process provides 3500 sccm of O 2 and 500 sccm of water vapor (i.e. O 2 :water vapor flow ratio of 7:1), 5000 W of plasma power, a wafer temperature of 250° C., a gas pressure of 0.7 Torr, and has a duration of 20 sec.
  • Such process reduces the amount of chlorine on the wafer 200 from about 2000-3000 ⁇ g to about 7-10 ⁇ g.
  • Another exemplary process provides 500 to 5000 sccm of forming gas, 5000 W of plasma power, a wafer temperature of 250° C., a gas pressure of 0.7 Torr, and has a duration of 20 to 40 sec. Such process reduces the amount of chlorine on the wafer 200 from about 2000-3000 ⁇ g to about 7-10 ⁇ g.
  • an exemplary process provides 1000 sccm of forming gas, 5000 W of plasma power, a wafer temperature of 250° C., a gas pressure of 0.7 Torr, and has a duration of 20 to 40 sec. Such process reduces the amount of chlorine on the wafer 200 from about 2000-3000 ⁇ g to about 7-10 ⁇ g.
  • Another exemplary process provides 100 to 3000 sccm water vapor, 1000 to 6000 W of plasma power, a wafer temperature of 250° C., a gas pressure of 0.5 to 4 Torr, and has a duration of 20 to 60 sec. Such process reduces the amount of chlorine on the wafer 200 from about 2000-3000 ⁇ g to about 7-10 ⁇ g.
  • an exemplary process provides 500 sccm of water vapor, 5000 W of plasma power, a wafer temperature of 250° C., a gas pressure of 0.7 Torr, and has a duration of 20 sec. Such process reduces the amount of chlorine on the wafer 200 from about 2000-3000 ⁇ g to about 7-10 ⁇ g.
  • Robust rapid bromine removal requires a high temperature (150-400° C.) and plasma.
  • Inert plasma such as argon, nitrogen, helium and the like may be used.
  • the oxidizing plasma such as O 2 also removes photoresist for cases where a photoresist mask is present.
  • Other oxidizers such as water vapor and ozone are also suitable.
  • Oxidizing gases also oxidize all surfaces of the wafer. Additive gases such as nitrogen, argon, helium and water vapor and the like enhance the lifetime of the oxygen radicals.
  • Robust rapid chlorine (no bromine in etch process) removal requires a high temperature (150-400° C.) and a hydrogen containing plasma.
  • a purely reducing plasma such as hydrogen, forming gas and water vapor and the like may be used.
  • the oxidizing plasma such as O 2 , water vapor and ozone also removes photoresist for cases where a photoresist mask is present.
  • the oxidation process creates an oxide barrier between any residual chlorine (not removed by the reducing gas) and the atmospheric moisture when the wafer is removed from the vacuum environment.
  • One embodiment uses gas mixture comprising oxygen and forming gas for both chlorine reduction and oxide barrier formation.
  • High productivity of step 110 allows, using an integrated semiconductor wafer processing system such as the CENTURA® system, to have one remote plasma reactor (AXIOM® reactor) for removing the halogen-containing residue and several plasma etch reactors (e.g., the DPS reactors) for etching the polysilicon and high-K dielectrics (discussed in reference to FIG. 4 below).
  • AXIOM® reactor remote plasma reactor
  • several plasma etch reactors e.g., the DPS reactors
  • this process is suitable for use during STI fabrication.
  • step 120 the method 100 ends.
  • FIG. 3 depicts a schematic diagram of the AXIOM® reactor 300 that may be used to practice portions of the method 100 .
  • the reactor 300 comprises a process chamber 302 , a remote plasma source 306 , and a controller 308 .
  • the process chamber 302 generally is a vacuum vessel, which comprises a first portion 310 and a second portion 312 .
  • the first portion 310 comprises a substrate pedestal 304 , a sidewall 316 and a vacuum pump 314 .
  • the second portion 312 comprises a lid 318 and a gas distribution plate (showerhead) 320 , which defines a gas mixing volume 322 and a reaction volume 324 .
  • the lid 318 and sidewall 316 are generally formed from a metal (e.g., aluminum (Al), stainless steel, and the like) and electrically coupled to a ground reference 360 .
  • the substrate pedestal 304 supports a substrate (wafer) 326 within the reaction volume 324 .
  • the substrate pedestal 304 may comprise a source of radiant heat, such as gas-filled lamps 328 , as well as an embedded resistive heater 330 and a conduit 332 .
  • the conduit 332 provides cooling water from a source 334 to the backside of the substrate pedestal 304 .
  • the wafer sits on the pedestal surface. Gas conduction transfers heat from the pedestal 304 to the wafer 326 .
  • the temperature of the wafer 326 may be controlled between about 20 and 400° C.
  • the vacuum pump 314 is adapted to an exhaust port 336 formed in the sidewall or a bottom wall 316 of the process chamber 302 .
  • the vacuum pump 314 is used to maintain a desired gas pressure in the process chamber 302 , as well as evacuate the post-processing gases and other volatile compounds from the chamber.
  • the vacuum pump 314 comprises a throttle valve 338 to control a gas pressure in the process chamber 302 .
  • the process chamber 302 also comprises conventional systems for retaining and releasing the wafer 326 , detecting an end of a process, internal diagnostics, and the like. Such systems are collectively depicted in FIG. 3 as support systems 340 .
  • the remote plasma source 306 comprises a power source 346 , a gas panel 344 , and a remote plasma chamber 342 .
  • the power source 346 comprises a radio-frequency (RF) generator 348 , a tuning assembly 350 , and an applicator 352 .
  • the RF generator 348 is capable of producing of about 200 to 6000 W at a frequency of about 200 to 600 kHz.
  • the applicator 352 is inductively coupled to the remote plasma chamber 342 to inductively couple RF power to process gas (or gas mixture) 364 to form a plasma 362 in the chamber.
  • the remote plasma chamber 342 has a toroidal geometry that confines the plasma and facilitates efficient generation of radical species, as well as lowers the electron temperature of the plasma.
  • the remote plasma source 306 may be a microwave plasma source, however, the stripping rates are generally higher using the inductively coupled plasma.
  • the gas panel 344 uses a conduit 366 to deliver the process gas 364 to the remote plasma chamber 342 .
  • the gas panel 344 (or conduit 366 ) comprises means (not shown), such as mass flow controllers and shut-off valves, to control gas pressure and flow rate for each individual gas supplied to the chamber 342 .
  • the process gas 364 is ionized and dissociated to form reactive species.
  • the reactive species are directed into the mixing volume 322 through an inlet port 368 in the lid 318 .
  • the ionic species of the process gas 364 are substantially neutralized within the mixing volume 322 before the gas reaches the reaction volume 324 through a plurality of openings 370 in the showerhead 320 .
  • the controller 308 comprises a central processing unit (CPU) 354 , a memory 356 , and a support circuit 358 .
  • the CPU 354 may be of any form of a general-purpose computer processor used in an industrial setting.
  • Software routines can be stored in the memory 356 , such as random access memory, read only memory, floppy or hard disk, or other form of digital storage.
  • the support circuit 358 is conventionally coupled to the CPU 354 and may comprise cache, clock circuits, input/output sub-systems, power supplies, and the like.
  • the software routines when executed by the CPU 354 , transform the CPU into a specific purpose computer (controller) 308 that controls the reactor 300 such that the processes are performed in accordance with the present invention.
  • the software routines may also be stored and/or executed by a second controller (not shown) that is located remotely from the reactor 300 .
  • FIG. 4 is a schematic, top plan view of the exemplary CENTURA® integrated processing system 400 .
  • the particular embodiment of the system 400 is provided to illustrate the invention and should not be used to limit the scope of the invention.
  • the system 400 generally includes load-lock chambers 422 , process chambers 410 , 412 , 414 , 416 , 420 , and a robot 430 .
  • the load-lock chambers 422 protect vacuumed plenum 428 (or buffer chamber) of the system 400 from atmospheric contaminants.
  • the robot 430 uses a blade 434 to transfer the substrates between the load lock chambers and process chambers.
  • At least one of the process chambers is a DPS chamber described above in reference to step 106 .
  • one or more process chambers may be the AXIOM® chambers described above in reference to step 110 .
  • At least one of the process chambers may be an annealing chamber or other thermal processing chamber, such as the RADIANCETM chamber available from Applied Materials, Inc (also mention HART etch chamber from AMHT).
  • the system 400 may also comprise other types of process chambers and/or interfaces to processing systems.
  • the system 400 may comprise one or more external metrology chambers 418 connected thereto using, e.g., a terminal 426 of a factory interface 424 .
  • the factory interface 424 is an atmospheric pressure interface that is used to transfer cassettes with the pre-processed and post-processed wafers between various processing systems and manufacturing regions within a semiconductor fabrication process.
  • the system controller 436 is coupled to and controls each module of the integrated processing system 400 .
  • the system controller 436 controls all aspects of operation of the system 400 using a direct control of modules and apparatus of the system 400 , or alternatively, by controlling the computers associated with these modules and apparatus.
  • the system controller 436 enables feedback from the respective modules and apparatus to optimize substrate throughput.
  • the system controller 436 comprises a central processing unit (CPU) 438 , a memory 440 , and a support circuit 442 .
  • the CPU 438 may be one of any form of a general purpose computer processor that can be used in an industrial setting.
  • the support circuit 442 is conventionally coupled to the CPU 438 , and may comprise cache, clock circuits, input/output subsystems, power supplies, and the like.
  • the software routines when executed by the CPU 438 , transform the CPU into a specific purpose compute (controller) 436 .
  • the software routines may also be stored and/or executed by a second controller (not shown) that is located remotely from the system 400 .
  • One example of a possible configuration of the system 400 for removing halogen-containing residue in accordance with the present invention includes two load-lock chambers (chambers 422 ), the PRECLEAN IITM chamber (chamber 410 ), the AXIOM® chamber (chamber 414 ), three DPS chambers (chambers 412 , 416 and 420 ), and the metrology chamber (chamber 418 ).

Abstract

The invention provides for a method and integrated system for removing a halogen-containing residue from a substrate comprising etching the substrate, heating the substrate and exposing the heated substrate to a plasma that removes the halogen-containing residue.

Description

    CROSS-REFERENCE TO RELATED APPLICATION
  • This application is a divisional of co-pending U.S. patent application Ser. No. 10/777,026, filed Feb. 11, 2004, which claims the benefit of U.S. Provisional Application No. 60/447,406 filed Feb. 14, 2003. Each of the aforementioned related patent applications is herein incorporated by reference.
  • BACKGROUND OF THE DISCLOSURE
  • 1. Field of the Invention
  • The present invention generally relates to a method and apparatus for fabricating devices on a semiconductor substrate. More specifically, the present invention relates to a method and apparatus for removing halogen-containing residue after plasma etching a conducting or semiconducting layer.
  • 2. Description of the Related Art
  • Ultra-large-scale integrated (ULSI) circuits may include more than one million electronic devices (e.g., transistors) that are formed on a semiconductor substrate, such as a silicon (Si) wafer, and cooperate to perform various functions within the device. Typically, the transistors used in the ULSI circuits are complementary metal-oxide-semiconductor (CMOS) field effect transistors. A CMOS transistor has a gate structure comprising a polysilicon gate electrode and gate dielectric and is disposed between a source region and drain regions that are formed in the wafer.
  • Fabrication of the electronic devices comprises etch processes in which one or more layers of a film stack (e.g., film stack of the gate structure) are plasma etched and removed, either partially or in total. During plasma etch processes, the layers (e.g., layers of silicon, polysilicon, hafnium dioxide (HfO2), silicon dioxide (SiO2), and the like) are typically exposed to etchants comprising at least one halogen-containing gas, such as hydrogen bromide (HBr), chlorine (Cl2), carbon tetrafluoride (CF4), carbon monoxide (CO), and the like. Such processes cause a halogen-containing residue to build up on the surfaces of the etched features, etch masks, and elsewhere on the wafer.
  • When exposed to a non-vacuumed environment (e.g., within factory interfaces that interconnect various wafer processing systems) and/or during consecutive processing, the halogen-containing residues release gaseous halogens and halogen-based reactants (e.g., bromine (Br2), chlorine, hydrogen chloride (HCl), and the like). The released halogens and halogen-based reactants cause corrosion and particle contamination of the interior of the processing systems and factory interfaces, as well as corrosion of metallic layers on the substrate. Cleaning of the processing systems and factory interfaces and replacement of the corroded parts is a time consuming and expensive procedure.
  • Therefore, there is a need in the art for a method of removing a halogen-containing residue from a substrate during fabrication of CMOS transistors and other devices used in the integrated circuits.
  • SUMMARY OF THE INVENTION
  • A method and apparatus for removing a halogen-containing residue from a substrate comprises pre-heating the substrate in an atmosphere of oxygen and nitrogen and exposing the pre-heated substrate in a remote plasma reactor to a gas (or gas mixture) comprising oxygen, nitrogen, and an optional hydrogen-containing gas.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The teachings of the present invention can be readily understood by considering the following detailed description in conjunction with the accompanying drawings, in which:
  • FIG. 1 depicts a flow diagram of a method of removing a halogen-containing residue in accordance with the present invention;
  • FIGS. 2A-2D, together, depict a sequence of schematic, cross-sectional views of a substrate having a gate structure of a field effect transistor being formed in accordance with the method of FIG. 1;
  • FIG. 3 depicts a schematic diagram of an exemplary remote plasma processing apparatus of the kind used in performing portions of the inventive method; and
  • FIG. 4 depicts a schematic plan view of an integrated platform used to perform the method of the present invention.
  • To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures.
  • It is to be noted, however, that the appended drawings illustrate only exemplary embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • DETAILED DESCRIPTION
  • The present invention is a method and apparatus for removing a halogen-containing residue (i.e., a residue containing bromine (Br), chlorine (Cl), and the like) after plasma etching a layer on a semiconductor substrate, e.g., silicon (Si) wafer.
  • The invention increases the productivity of fabricating integrated electronic devices and is generally used to protect factory interfaces, processing equipment, and metallic layers of the thin film electronic devices from corrosion and particle contamination caused by halogen-based reactants (e.g., Br2, Cl2, and the like). Such reactants are formed when the wafers comprising a halogen-containing residue are exposed, even momentarily, to a non-vacuumed portion (e.g., atmospheric pressure portion) of a facility for fabricating devices on semiconductor wafers, e.g., semiconductor fabrication process.
  • FIG. 1 depicts a flow diagram of a method 100 of removing a halogen-containing residue in accordance with the present invention. In one illustrative embodiment, the method 100 comprises processes performed upon a film stack of a gate structure of a field effect transistor, such as a complementary metal-oxide-semiconductor (CMOS) transistor and the like. Although the invention is described for use during gate structure fabrication, the invention also finds use wherever a halogen-containing residue is to be removed, e.g., shallow trench isolation formation. For best understanding of this embodiment of the invention, the reader should refer simultaneously to FIGS. 1 and 2A-2D.
  • FIGS. 2A-2D, together depict a sequence of schematic, cross-sectional views of a substrate having a gate structure being formed in accordance with the method 100 of FIG. 1. The cross-sectional views in FIGS. 2A-2D relate to individual processing steps that are used to form the gate structure. Conventional sub-processes (e.g., exposure and development of photoresist, wafer cleaning procedures, and the like) are well known in the art and, as such, are not shown in FIG. 1 and FIGS. 2A-2D. The images in FIGS. 2A-2D are not depicted to scale and are simplified for illustrative purposes.
  • The method 100 starts at step 101 and proceeds to step 102 when a film stack 202 is formed on a wafer 200 (FIG. 2A). The wafer 200, e.g., a silicon wafer, comprises doped source and drain regions 232 and 234 that are separated by a channel region 236 of the CMOS transistor. These regions are depicted for orientation purposes and are generally not formed until after the gate structure is formed. In an alternative embodiment, the wafer 200 may further comprise a spacer film (not shown). The spacer film generally is used to protect the channel region 236 from diffusive contaminants (e.g., oxygen (O2) and the like) that may be contained in a gate dielectric layer 204. The spacer film may be formed from silicon dioxide (SiO2), silicon nitride (Si3N4), and the like.
  • The film stack 202 comprises a doped polysilicon (Si) layer 206 and a dielectric layer 204, e.g., hafnium dioxide (HfO2), silicon dioxide (SiO2), and the like. Other dielectric materials may also be used. In one illustrative embodiment, the polysilicon layer 206 and dielectric 204 are formed to a thickness of about 500 to 6000 Angstroms and about 20 to 60 Angstroms, respectively. Such layers 204 and 206 may be provided using a deposition technique, such as atomic layer deposition (ALD), chemical vapor deposition (CVD), and the like.
  • At step 104, a patterned mask 214 is formed on the polysilicon layer 206 (FIG. 2B). The mask 214 is formed in the region 220 to define the location and topographic dimensions of a gate electrode of the gate structure being formed using method 100. Further, the mask 214 protects the channel region 236 and portions of the source and drain regions 232 and 234 that are disposed in the region 220, while exposing the adjacent regions 222 of the wafer 200. The mask 214 is generally a hard mask formed from a material that is stable at the wafer temperatures up to 500° C. The suitable hard mask materials comprise dielectric materials, silicon dioxide, Advanced Patterning Film™ (APF) available from Applied Materials, Inc. of Santa Clara, and the like. In one illustrative embodiment, the mask 214 is formed from silicon dioxide or silicon nitride. Alternatively, in some applications, the mask 214 may be formed from photoresist. Examples of processes for applying various hard and photoresist masks are described, in commonly assigned U.S. patent application Ser. No. 10/245,130, filed Sep. 16, 2002 and U.S. patent application Ser. No. 09/590,322, filed Jun. 8, 2000, which are incorporated herein by reference.
  • At step 106, the polysilicon layer 206 is etched and removed in the regions 222 (FIG. 2C). A remaining portion of the layer 206 forms in the region 220 a gate electrode 216. Step 106 uses the mask 214 as an etch mask and may use the dielectric layer 204 as an etch stop layer. In one illustrative embodiment, step 106 performs a plasma etch process using a gas (or gas mixture) comprising at least one of hydrogen bromide (HBr), chlorine (Cl2), carbon tetrafluoride (CF4), and the like. Herein the terms “gas” and “gas mixture” are used interchangeably. Step 106 can be performed, for example, using a Decoupled Plasma Source (DPS) reactor of the CENTURA® integrated semiconductor wafer processing system available from Applied Materials, Inc. of Santa Clara, Calif. The DPS reactor uses an inductive source to produce high-density plasma and comprises a source of radio-frequency (RF) power to bias the wafer.
  • In one embodiment, using the DPS reactor, step 106 provides hydrogen bromide at a rate of 20 to 300 sccm and chlorine at a rate of 20 to 300 sccm (i.e., a HBr:Cl2 flow ratio ranging from 1:15 to all HBr), as well as nitrogen (N2) at a rate of 0 to 200 sccm. Further, step 106 applies 200 to 3000 W of plasma power and 0 to 300 W of bias power and maintains a wafer temperature at 0 to 200° C. and a pressure in the reaction chamber at 2 to 100 mTorr. One exemplary process provides HBr at a rate of 40 sccm and Cl2 at a rate of 40 sccm (i.e., a HBr:Cl2 flow ratio of about 1:1), N2 at a rate of 20 sccm, 1100 W of plasma power, 20 W of bias power, a wafer temperature of 45° C., and a pressure of 4 mTorr.
  • During step 106, the etched material combines with components of the etchant chemistry, as well as with components of the mask 214 and by-products of the etch process to form a halogen-containing residue 218. The halogen-containing residue 218 deposits on the surfaces of the film stack 202 and elsewhere on the wafer 200. When exposed to atmospheric gases and water vapor, the halogen-containing residue 218 releases (or “outgases”) gaseous reactants, such as bromine, chlorine, hydrogen chloride (HCl), and the like. Such reactants may cause corrosion and particle contamination of the processing equipment and factory interfaces, as well as metallic layers (e.g., layers of copper (Cu), aluminum (Al), and the like) on the wafer 200. Generally, wafers are transferred between the vacuumed and non-vacuumed regions of the production environment using an atmospheric pressure factory interface, such as, e.g., a factory interface of the CENTURA® system (discussed in reference to FIG. 4 below). The halogen-based residue 218 should be outgassed and/or the residue should be removed from the wafer 200 before the wafer is transferred to such factory interface.
  • In an alternative embodiment (not shown), step 106 further etches and removes the dielectric layer 204 in the regions 222 to form the gate electrode 240. Step 106 may use a gas comprising a halogen gas (e.g., chlorine, hydrogen chloride, and the like) and a reducing gas, such as carbon monoxide (CO). Such etch process is disclosed in the commonly assigned U.S. patent application Ser. No. 10/194,566, filed Jul. 12, 2002, which is incorporated herein by reference. In this embodiment, step 106 also develops a halogen-containing residue that should be outgassed and/or removed before the wafer is transferred to the non-vacuumed factory interface.
  • At step 108, the wafer 200 is transferred under vacuum using, e.g., a robot of the exemplary CENTURA® system to a remote plasma reactor, such as the AXIOM® reactor. The AXIOM® reactor is a remote plasma reactor in which the radio-frequency plasma is confined such that only reactive neutrals are allowed to enter a reaction volume of the process chamber. Such confinement scheme precludes plasma-related damage of the substrate or circuits formed on the substrate. In the AXIOM® reactor, a wafer backside may be heated radiantly by quartz halogen lamps or resistively heated or cooled using heat transfer (e.g., coolant circulating through the wafer support), such that the wafer temperature can be maintained at 20 to 450° C. Similar to the referred to above DPS reactor, the AXIOM® reactor may use an endpoint detection system. The AXIOM® reactor is described in detail in U.S. patent application Ser. No. 10/264,664, filed Oct. 4, 2002, which is herein incorporated by reference. The salient features of the reactor are briefly described below in reference to FIG. 3.
  • At step 110, the halogen-containing residue 218 is outgassed to release the halogen-based reactants and, in most applications, removed from the wafer 200 (FIG. 2D). Step 110 comprises sub-step 112 of pre-heating the wafer 200, decision sub-step 114, and decision sub-step 116 of exposing the wafer to an oxygen-containing gas (e.g., oxygen, water vapor, and the like) and optionally an additive such as for example nitrogen, argon, helium, and the like. Alternatively, the wafer may be exposed to a hydrogen-containing gas (e.g., hydrogen, forming gas, water vapor, alkanes, alkenes, and the like) and optionally an additive such as oxygen, argon, helium and the like, or sub-step 118 of exposing the wafer to a gas comprising oxygen, nitrogen, and a hydrogen-containing gas, such as at least one of hydrogen (H2), water vapor (H2O), and the like. When the mask 214 is formed from photoresist or APF (both not shown), sub-steps 116 and 118 also simultaneously remove the halogen-containing residue 218 and the mask.
  • During step 110, the outgassed halogen-based reactants are converted in non-corrosive volatile compounds that are then pumped out from the processing reactor. Upon completion of step 110, the wafer 200 may be transferred for further processing to another processing environment using, e.g., the non-vacuumed factory interface that interconnects various vacuumed and non-vacuumed regions of a semiconductor fab. Alternatively, the wafer may be transferred to another processing chamber within the same processing system (e.g., CENTURA® system).
  • During sub-step 112, the gas is energized to a plasma in the remote plasma reactor and the wafer 200 is pre-heated to a temperature of at least 150 degrees to about 400° C. Then, the wafer is maintained at such temperature during the remaining portion of step 110. Alternatively, the wafer may be heated and processed simultaneously for improved throughput.
  • In one embodiment, the wafer 200 is pre-heated, to about 250° C. in a gas mixture of oxygen and nitrogen. Oxygen and nitrogen are provided for a duration of about 10-20 sec to the chamber at flow rates of about 5000 sccm and 500 sccm, respectively (i.e., at O2:N2flow ratio of about 10:1) at a pressure greater than 1 Torr.
  • At sub-step 114, the method 100 queries whether the halogen-containing residue 218 comprises bromine. Generally, the residue 218 may contain bromine when step 106 uses a bromine-containing gas, e.g., hydrogen bromide. In a computerized etch reactor, such as the exemplary DPS reactor, at sub-step 114, the decision making routine may be automated using, e.g., a residual gas analyzer (RGA). If the query of step 116 is affirmatively answered, the method 100 proceeds to sub-step 116 or, when the query of sub-step 114 is negatively answered, the method 100 proceeds to sub-step 118.
  • At sub-step 116, the wafer 200 is exposed to reactants that are formed from a source gas by the plasma source of a remote plasma reactor, e.g., source 306 of the AXIOM® reactor. In one illustrative embodiment, sub-step 116 provides the source gas comprising oxygen and nitrogen at flow rates of about 1000 to 9000 sccm and about 100 to 900 sccm respectively (i.e at O2:N2 flow ratio of about 10:1). Further, sub-step 116 applies 3000 to 5000 W at about 200 to 600 kHz to form the remote plasma, maintains a wafer temperature between at least 150 and about 400° C. and a gas pressure in the process chamber at about 0.5 to 2 Torr. The duration of substep 116 is generally about 15 to 60 sec.
  • One exemplary process provides 3500 sccm of O2 and 350 sccm of N2 (i.e., a O2:N2 flow ratio of about 10:1), 5000 W of plasma power, a wafer temperature of 250° C., a gas pressure of 0.7 Torr, and has a duration of 20 sec. Such process reduces the amount of bromine on the 300 mm wafer from about 250 μg (photoresist mask 214) or about 50-70 μg (hard mask 214) to about or below the detection limit of 3-5 μg. It is believed that, during sub-step 116, the remaining portion (i.e., traces) of bromine becomes oxidized and trapped on the sidewalls of the polysilicon electrode 216.
  • When the halogen-containing residue 218 comprises a combination of chlorine and bromine, the process of sub-steps 112 and 116 reduces the amount of chlorine from about 300-350 μg to about 5 μg (photoresist mask 214) and to about 10 μg (hard mask 214). However, when the halogen-containing residue 218 comprises chlorine and does not comprise bromine (e.g., step 106 uses a bromine-free gas), the amount of chlorine on the wafer 200 may be as high as 1000 to 3000 μg. In this application, sub-step 116 can reduce the amount of chlorine on the wafer only by a factor of 5-6 (i.e., to about 200-600 μg).
  • At sub-step 118, the wafer 200 having the halogen-containing residue 218 that does not comprise bromine but comprises chlorine is exposed to the reactants formed by the plasma source of the remote plasma reactor from a source gas comprising oxygen, and a reducing gas to facilitate forming volatile components. The reducing gas may consist of a hydrogen-containing gas such as hydrogen, forming gas (2-5% hydrogen in nitrogen, and in one embodiment, about 4% hydrogen in nitrogen), water vapor, and the like.
  • In one illustrative embodiment, sub-step 118 provides oxygen at a flow rate of about 1500 to 10,000 sccm and hydrogen-containing gas at a flow rate of about 10 to 2000 sccm (i.e., at O2:H2 flow ratio of from about 150:1 and 5:1, and H2:(H2 or H2O) flow ratio of from about 2:1 and 1:1). Further, sub-step 118 applies 3000 to 6000 W at about 200 to 600 kHz to form the remote plasma and maintains a wafer temperature between at least 50 and about 450° C. and a gas pressure in the process chamber at about 0.5 to 2 Torr. The duration of sub-step 118 is generally about 15 to 60 sec.
  • One exemplary process provides 3500 sccm of O2 and 800 sccm of forming gas (i.e. O2:forming gas flow ratio of about 5:1, 5000 W of plasma power, a wafer temperature of 250° C., a gas pressure of 0.7 Torr, and has a duration of 20 to 40 sec. Such process reduces the amount of chlorine on the wafer 200 from about 2000-3000 μg to about 7-10 μg.
  • One exemplary process provides 1000 to 9000 sccm of O2 and 100 to 3000 sccm of water vapor, (i.e. O2:water vapor ratio of about 10:1 to 3:1), 1000 to 6000 W of plasma power, a wafer temperature of 250° C., a gas pressure of 0.5 to 4 Torr, and has a duration of 20 to 60 sec. Such process reduces the amount of chlorine on the wafer 200 from about 2000-3000 μg to about 7-10 μg.
  • Another exemplary process provides 3500 sccm of O2 and 500 sccm of water vapor (i.e. O2:water vapor flow ratio of 7:1), 5000 W of plasma power, a wafer temperature of 250° C., a gas pressure of 0.7 Torr, and has a duration of 20 sec. Such process reduces the amount of chlorine on the wafer 200 from about 2000-3000 μg to about 7-10 μg.
  • Another exemplary process provides 500 to 5000 sccm of forming gas, 5000 W of plasma power, a wafer temperature of 250° C., a gas pressure of 0.7 Torr, and has a duration of 20 to 40 sec. Such process reduces the amount of chlorine on the wafer 200 from about 2000-3000 μg to about 7-10 μg.
  • In one particular embodiment, an exemplary process provides 1000 sccm of forming gas, 5000 W of plasma power, a wafer temperature of 250° C., a gas pressure of 0.7 Torr, and has a duration of 20 to 40 sec. Such process reduces the amount of chlorine on the wafer 200 from about 2000-3000 μg to about 7-10 μg.
  • Another exemplary process provides 100 to 3000 sccm water vapor, 1000 to 6000 W of plasma power, a wafer temperature of 250° C., a gas pressure of 0.5 to 4 Torr, and has a duration of 20 to 60 sec. Such process reduces the amount of chlorine on the wafer 200 from about 2000-3000 μg to about 7-10 μg.
  • In one particular embodiment, an exemplary process provides 500 sccm of water vapor, 5000 W of plasma power, a wafer temperature of 250° C., a gas pressure of 0.7 Torr, and has a duration of 20 sec. Such process reduces the amount of chlorine on the wafer 200 from about 2000-3000 μg to about 7-10 μg.
  • Robust rapid bromine removal requires a high temperature (150-400° C.) and plasma. Inert plasma such as argon, nitrogen, helium and the like may be used. The oxidizing plasma such as O2 also removes photoresist for cases where a photoresist mask is present. Other oxidizers such as water vapor and ozone are also suitable. Oxidizing gases also oxidize all surfaces of the wafer. Additive gases such as nitrogen, argon, helium and water vapor and the like enhance the lifetime of the oxygen radicals.
  • Robust rapid chlorine (no bromine in etch process) removal requires a high temperature (150-400° C.) and a hydrogen containing plasma. A purely reducing plasma such as hydrogen, forming gas and water vapor and the like may be used. The oxidizing plasma such as O2, water vapor and ozone also removes photoresist for cases where a photoresist mask is present. The oxidation process creates an oxide barrier between any residual chlorine (not removed by the reducing gas) and the atmospheric moisture when the wafer is removed from the vacuum environment. One embodiment uses gas mixture comprising oxygen and forming gas for both chlorine reduction and oxide barrier formation.
  • High productivity of step 110 allows, using an integrated semiconductor wafer processing system such as the CENTURA® system, to have one remote plasma reactor (AXIOM® reactor) for removing the halogen-containing residue and several plasma etch reactors (e.g., the DPS reactors) for etching the polysilicon and high-K dielectrics (discussed in reference to FIG. 4 below). Alternatively, this process is suitable for use during STI fabrication.
  • At step 120, the method 100 ends.
  • FIG. 3 depicts a schematic diagram of the AXIOM® reactor 300 that may be used to practice portions of the method 100. The reactor 300 comprises a process chamber 302, a remote plasma source 306, and a controller 308.
  • The process chamber 302 generally is a vacuum vessel, which comprises a first portion 310 and a second portion 312. In one embodiment, the first portion 310 comprises a substrate pedestal 304, a sidewall 316 and a vacuum pump 314. The second portion 312 comprises a lid 318 and a gas distribution plate (showerhead) 320, which defines a gas mixing volume 322 and a reaction volume 324. The lid 318 and sidewall 316 are generally formed from a metal (e.g., aluminum (Al), stainless steel, and the like) and electrically coupled to a ground reference 360.
  • The substrate pedestal 304 supports a substrate (wafer) 326 within the reaction volume 324. In one embodiment, the substrate pedestal 304 may comprise a source of radiant heat, such as gas-filled lamps 328, as well as an embedded resistive heater 330 and a conduit 332. The conduit 332 provides cooling water from a source 334 to the backside of the substrate pedestal 304. The wafer sits on the pedestal surface. Gas conduction transfers heat from the pedestal 304 to the wafer 326. The temperature of the wafer 326 may be controlled between about 20 and 400° C.
  • The vacuum pump 314 is adapted to an exhaust port 336 formed in the sidewall or a bottom wall 316 of the process chamber 302. The vacuum pump 314 is used to maintain a desired gas pressure in the process chamber 302, as well as evacuate the post-processing gases and other volatile compounds from the chamber. In one embodiment, the vacuum pump 314 comprises a throttle valve 338 to control a gas pressure in the process chamber 302.
  • The process chamber 302 also comprises conventional systems for retaining and releasing the wafer 326, detecting an end of a process, internal diagnostics, and the like. Such systems are collectively depicted in FIG. 3 as support systems 340.
  • The remote plasma source 306 comprises a power source 346, a gas panel 344, and a remote plasma chamber 342. In one embodiment, the power source 346 comprises a radio-frequency (RF) generator 348, a tuning assembly 350, and an applicator 352. The RF generator 348 is capable of producing of about 200 to 6000 W at a frequency of about 200 to 600 kHz. The applicator 352 is inductively coupled to the remote plasma chamber 342 to inductively couple RF power to process gas (or gas mixture) 364 to form a plasma 362 in the chamber. In this embodiment, the remote plasma chamber 342 has a toroidal geometry that confines the plasma and facilitates efficient generation of radical species, as well as lowers the electron temperature of the plasma. In other embodiments, the remote plasma source 306 may be a microwave plasma source, however, the stripping rates are generally higher using the inductively coupled plasma.
  • The gas panel 344 uses a conduit 366 to deliver the process gas 364 to the remote plasma chamber 342. The gas panel 344 (or conduit 366) comprises means (not shown), such as mass flow controllers and shut-off valves, to control gas pressure and flow rate for each individual gas supplied to the chamber 342. In the plasma 362, the process gas 364 is ionized and dissociated to form reactive species.
  • The reactive species are directed into the mixing volume 322 through an inlet port 368 in the lid 318. To minimize charge-up plasma damage to devices on the wafer 326, the ionic species of the process gas 364 are substantially neutralized within the mixing volume 322 before the gas reaches the reaction volume 324 through a plurality of openings 370 in the showerhead 320.
  • The controller 308 comprises a central processing unit (CPU) 354, a memory 356, and a support circuit 358. The CPU 354 may be of any form of a general-purpose computer processor used in an industrial setting. Software routines can be stored in the memory 356, such as random access memory, read only memory, floppy or hard disk, or other form of digital storage. The support circuit 358 is conventionally coupled to the CPU 354 and may comprise cache, clock circuits, input/output sub-systems, power supplies, and the like.
  • The software routines, when executed by the CPU 354, transform the CPU into a specific purpose computer (controller) 308 that controls the reactor 300 such that the processes are performed in accordance with the present invention. The software routines may also be stored and/or executed by a second controller (not shown) that is located remotely from the reactor 300.
  • FIG. 4 is a schematic, top plan view of the exemplary CENTURA® integrated processing system 400. The particular embodiment of the system 400 is provided to illustrate the invention and should not be used to limit the scope of the invention.
  • The system 400 generally includes load-lock chambers 422, process chambers 410, 412, 414, 416, 420, and a robot 430. The load-lock chambers 422 protect vacuumed plenum 428 (or buffer chamber) of the system 400 from atmospheric contaminants. The robot 430 uses a blade 434 to transfer the substrates between the load lock chambers and process chambers. At least one of the process chambers is a DPS chamber described above in reference to step 106. Further, one or more process chambers may be the AXIOM® chambers described above in reference to step 110. Optionally, at least one of the process chambers may be an annealing chamber or other thermal processing chamber, such as the RADIANCE™ chamber available from Applied Materials, Inc (also mention HART etch chamber from AMHT). The system 400 may also comprise other types of process chambers and/or interfaces to processing systems. Further, the system 400 may comprise one or more external metrology chambers 418 connected thereto using, e.g., a terminal 426 of a factory interface 424. The factory interface 424 is an atmospheric pressure interface that is used to transfer cassettes with the pre-processed and post-processed wafers between various processing systems and manufacturing regions within a semiconductor fabrication process.
  • The system controller 436 is coupled to and controls each module of the integrated processing system 400. Generally the system controller 436 controls all aspects of operation of the system 400 using a direct control of modules and apparatus of the system 400, or alternatively, by controlling the computers associated with these modules and apparatus. In operation, the system controller 436 enables feedback from the respective modules and apparatus to optimize substrate throughput.
  • The system controller 436 comprises a central processing unit (CPU) 438, a memory 440, and a support circuit 442. The CPU 438 may be one of any form of a general purpose computer processor that can be used in an industrial setting. The support circuit 442 is conventionally coupled to the CPU 438, and may comprise cache, clock circuits, input/output subsystems, power supplies, and the like. The software routines, when executed by the CPU 438, transform the CPU into a specific purpose compute (controller) 436. The software routines may also be stored and/or executed by a second controller (not shown) that is located remotely from the system 400.
  • One example of a possible configuration of the system 400 for removing halogen-containing residue in accordance with the present invention includes two load-lock chambers (chambers 422), the PRECLEAN II™ chamber (chamber 410), the AXIOM® chamber (chamber 414), three DPS chambers ( chambers 412, 416 and 420), and the metrology chamber (chamber 418).
  • The invention may be practiced in other semiconductor systems wherein the processing parameters may be adjusted to achieve acceptable characteristics by those skilled in the arts by utilizing the teachings disclosed herein without departing from the spirit of the invention.
  • Although the foregoing discussion refers to the fabrication of the gate structure of a field effect transistor, fabrication of other devices used in the integrated circuits can benefit from the invention.
  • While the foregoing is directed to the illustrative embodiment of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (18)

1. An integrated processing system for removing from a substrate a halogen-containing residue, the residue formed during etching of the substrate, the system comprising:
a central transfer chamber;
at least one load lock chamber coupled to the central transfer chamber;
an etch chamber coupled to the central transfer chamber;
a residue removal chamber coupled to the central transfer chamber and adapted to remove the halogen-containing residue, the residue removal chamber having a remote plasma source coupled thereto and a substrate heater configured to maintain the temperature of a substrate disposed in the residue removal chamber between 50° C. and 400° C.;
a robot disposed in the transfer chamber and adapted to transfer the substrate between the load lock chamber, the etch chamber and the residue removal chamber; and
a controller for controlling operation of the system.
2. The system of claim 1, further comprising:
at least one of an oxygen-containing or a hydrogen-containing gas source coupled to the residue removal chamber for providing a gas mixture thereto.
3. The system of claim 1, further comprising:
a power source inductively coupled to the remote plasma source to form a plasma from the gas mixture.
4. The system of claim 3, wherein the power source is configured to produce an RF signal having a frequency of about 200 to 600 kHz.
5. The system of claim 3, wherein the power source is capable of producing an RF signal having a power of about 200 to 6000 W.
6. The system of claim 3, wherein the power source further comprises a toroidal geometry that confines the plasma.
7. The system of claim 3, wherein the residue removal chamber further comprises:
a reaction volume for processing a substrate therein; and
a mixing volume where ionic species of a process gas are substantially neutralized during processing before the process gas reaches the reaction volume.
8. The system of claim 1, wherein the remote plasma source further comprises a microwave plasma source.
9. The system of claim 1, further comprising:
a pre-clean chamber coupled to the central transfer chamber;
two additional etch chambers coupled to the central transfer chamber; and
a metrology chamber coupled to the load lock chamber.
10. The system of claim 1, wherein the controller further comprises a computer readable media having instructions that, when executed, cause the residue removal chamber to:
heat the etched substrate disposed in the residue removal chamber to a temperature of at least 50° C.; and
expose the heated substrate to reactants formed by the remote plasma source to remove the halogen-containing residue.
11. The system of claim 1, wherein the controller further comprises a computer readable media having instructions that, when executed, cause the residue removal chamber to:
heat the etched substrate disposed in the residue removal chamber to a temperature of at least 50° C. in a non-plasma gas mixture comprising oxygen and nitrogen; and
expose the heated substrate to a plasma that removes the halogen-containing residue.
12. The system of claim 1, wherein the controller further comprises a computer readable media having instructions that, when executed, cause the residue removal chamber to:
heat the etched substrate disposed in the residue removal chamber to a temperature of at least 50° C.; and
expose the heated substrate to a plasma formed from hydrogen, water vapor, oxygen, and nitrogen that removes the halogen-containing residue.
13. An integrated processing system for removing from a substrate a halogen-containing residue, the residue formed during etching of the substrate, the system comprising:
a central transfer chamber having a substrate transfer robot disposed therein;
at least one load lock chamber coupled to the central transfer chamber;
three etch chambers coupled to the central transfer chamber;
a residue removal chamber coupled to the central transfer chamber and adapted to remove the halogen-containing residue, the residue removal chamber having a substrate heater configured to maintain the temperature of a substrate disposed in the residue removal chamber between 50° C. and 400° C. and a remote plasma source coupled to the residue removal chamber and having an inductively coupled power source to form a plasma from the gas mixture;
at least one of an oxygen-containing or a hydrogen-containing gas source coupled to the residue removal chamber for providing a gas mixture thereto; and
a controller for adjusting the parameters of the system as a function of the measurements performed by an optical metrology tool.
14. The system of claim 13, further comprising:
a pre-clean chamber coupled to the central transfer chamber.
15. The system of claim 13, wherein the power source is configured to produce about 200 to 6000 W of RF power having a frequency of about 200 to 600 kHz.
16. The system of claim 13, wherein the controller further comprises a computer readable media having instructions that, when executed, cause the residue removal chamber to:
heat the etched substrate disposed in the residue removal chamber to a temperature of at least 50° C.; and
expose the heated substrate to reactants formed by the remote plasma source to remove the halogen-containing residue.
17. The system of claim 13, wherein the controller further comprises a computer readable media having instructions that, when executed, cause the residue removal chamber to:
heat the etched substrate disposed in the residue removal chamber to a temperature of at least 50° C. in a non-plasma gas mixture comprising oxygen and nitrogen; and
expose the heated substrate to a plasma that removes the halogen-containing residue.
18. The system of claim 13, wherein the controller further comprises a computer readable media having instructions that, when executed, cause the residue removal chamber to:
heat the etched substrate disposed in the residue removal chamber to a temperature of at least 50° C.; and
expose the heated substrate to a plasma formed from hydrogen, water vapor, oxygen, and nitrogen that removes the halogen-containing residue.
US11/779,974 2003-02-14 2007-07-19 Apparatus for removing a halogen-containing residue Abandoned US20070272359A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/779,974 US20070272359A1 (en) 2003-02-14 2007-07-19 Apparatus for removing a halogen-containing residue

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US44740603P 2003-02-14 2003-02-14
US10/777,026 US7374696B2 (en) 2003-02-14 2004-02-11 Method and apparatus for removing a halogen-containing residue
US11/779,974 US20070272359A1 (en) 2003-02-14 2007-07-19 Apparatus for removing a halogen-containing residue

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/777,026 Division US7374696B2 (en) 2003-02-14 2004-02-11 Method and apparatus for removing a halogen-containing residue

Publications (1)

Publication Number Publication Date
US20070272359A1 true US20070272359A1 (en) 2007-11-29

Family

ID=33134981

Family Applications (3)

Application Number Title Priority Date Filing Date
US10/777,026 Active 2025-01-16 US7374696B2 (en) 2003-02-14 2004-02-11 Method and apparatus for removing a halogen-containing residue
US11/779,974 Abandoned US20070272359A1 (en) 2003-02-14 2007-07-19 Apparatus for removing a halogen-containing residue
US11/779,972 Expired - Fee Related US7846347B2 (en) 2003-02-14 2007-07-19 Method for removing a halogen-containing residue

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/777,026 Active 2025-01-16 US7374696B2 (en) 2003-02-14 2004-02-11 Method and apparatus for removing a halogen-containing residue

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/779,972 Expired - Fee Related US7846347B2 (en) 2003-02-14 2007-07-19 Method for removing a halogen-containing residue

Country Status (1)

Country Link
US (3) US7374696B2 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100139708A1 (en) * 2007-05-23 2010-06-10 Meidensha Corporation Method of removing resist and apparatus therefor
US20100300482A1 (en) * 2007-12-04 2010-12-02 Meidensha Corporation Method of removing resist and apparatus therefor
US20140377958A1 (en) * 2013-06-25 2014-12-25 Hitachi High-Technologies Corporation Plasma processing method and vacuum processing apparatus
US10867843B2 (en) * 2016-12-05 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for fabrication semiconductor device
US11955318B2 (en) 2021-03-12 2024-04-09 Applied Materials, Inc. Ash rate recovery method in plasma strip chamber

Families Citing this family (316)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7431795B2 (en) * 2004-07-29 2008-10-07 Applied Materials, Inc. Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor
US20060032833A1 (en) * 2004-08-10 2006-02-16 Applied Materials, Inc. Encapsulation of post-etch halogenic residue
US20060102197A1 (en) * 2004-11-16 2006-05-18 Kang-Lie Chiang Post-etch treatment to remove residues
US20070123049A1 (en) * 2005-11-17 2007-05-31 Kao-Su Huang Semiconductor process and method for removing condensed gaseous etchant residues on wafer
US7709402B2 (en) * 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
KR100843204B1 (en) * 2006-09-14 2008-07-02 삼성전자주식회사 Method for Etching Semiconductor Device and Method for Fabricating Semiconductor Device using the same
JP4661753B2 (en) * 2006-09-29 2011-03-30 東京エレクトロン株式会社 Substrate processing method, cleaning method, and storage medium
US7655571B2 (en) * 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
US7694688B2 (en) 2007-01-05 2010-04-13 Applied Materials, Inc. Wet clean system design
US7704888B2 (en) * 2007-01-23 2010-04-27 Globalfoundries Inc. Methods for removing photoresist from semiconductor structures having high-k dielectric material layers
KR20080076173A (en) * 2007-02-15 2008-08-20 삼성전자주식회사 Method of forming a metal oxide layer pattern and method of forming a semiconductor device using the same
US8021514B2 (en) 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
US20090302002A1 (en) * 2008-02-29 2009-12-10 Applied Materials, Inc. Method and apparatus for removing polymer from a substrate
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8236706B2 (en) * 2008-12-12 2012-08-07 Mattson Technology, Inc. Method and apparatus for growing thin oxide films on silicon while minimizing impact on existing structures
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8603292B2 (en) * 2009-10-28 2013-12-10 Lam Research Corporation Quartz window for a degas chamber
US8584612B2 (en) * 2009-12-17 2013-11-19 Lam Research Corporation UV lamp assembly of degas chamber having rotary shutters
US8492736B2 (en) 2010-06-09 2013-07-23 Lam Research Corporation Ozone plenum as UV shutter or tunable UV filter for cleaning semiconductor substrates
US20120211029A1 (en) * 2011-02-22 2012-08-23 Pandit Viraj S Load lock assembly and method for particle reduction
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
KR101895307B1 (en) 2011-03-01 2018-10-04 어플라이드 머티어리얼스, 인코포레이티드 Abatement and strip process chamber in a dual loadrock configuration
US8992689B2 (en) * 2011-03-01 2015-03-31 Applied Materials, Inc. Method for removing halogen-containing residues from substrate
CN203205393U (en) 2011-03-01 2013-09-18 应用材料公司 Hoop assembly for transferring substrate and limiting free radical
US8845816B2 (en) 2011-03-01 2014-09-30 Applied Materials, Inc. Method extending the service interval of a gas distribution plate
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP6545460B2 (en) 2012-02-29 2019-07-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Abatement and stripping process chamber in load lock configuration
US10279365B2 (en) 2012-04-27 2019-05-07 Progressive Surface, Inc. Thermal spray method integrating selected removal of particulates
US20130284203A1 (en) * 2012-04-27 2013-10-31 Progressive Surface, Inc. Plasma spray apparatus integrating water cleaning
US9875916B2 (en) * 2012-07-09 2018-01-23 Tokyo Electron Limited Method of stripping photoresist on a single substrate system
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN105097486B (en) * 2014-05-08 2019-04-12 无锡华润上华科技有限公司 A kind of polysilicon etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
JP6644070B2 (en) 2014-12-04 2020-02-12 プログレッシヴ・サーフェス・インコーポレイテッドProgressive Surface, Inc. Thermal spraying method integrating selective removal of particles
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10903055B2 (en) 2015-04-17 2021-01-26 Applied Materials, Inc. Edge ring for bevel polymer reduction
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
JP6693846B2 (en) * 2016-09-28 2020-05-13 株式会社Screenホールディングス Substrate processing apparatus and substrate processing method
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (en) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 Method for depositing ruthenium-containing films on substrates by cyclical deposition processes
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
KR102638425B1 (en) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for filling a recess formed within a substrate surface
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
TW202125596A (en) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
CN114639631A (en) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 Fixing device for measuring jumping and swinging
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
CN113539818A (en) * 2021-07-16 2021-10-22 长鑫存储技术有限公司 Method for manufacturing semiconductor structure and semiconductor device etching equipment
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4936967A (en) * 1987-01-05 1990-06-26 Hitachi, Ltd. Method of detecting an end point of plasma treatment
US5174856A (en) * 1991-08-26 1992-12-29 Applied Materials, Inc. Method for removal of photoresist over metal which also removes or inactivates corrosion-forming materials remaining from previous metal etch
US5200031A (en) * 1991-08-26 1993-04-06 Applied Materials, Inc. Method for removal of photoresist over metal which also removes or inactivates corrosion-forming materials remaining from one or more previous metal etch steps
US5221424A (en) * 1991-11-21 1993-06-22 Applied Materials, Inc. Method for removal of photoresist over metal which also removes or inactivates corosion-forming materials remaining from previous metal etch
US5306671A (en) * 1990-07-09 1994-04-26 Mitsubishi Denki Kabushiki Kaisha Method of treating semiconductor substrate surface and method of manufacturing semiconductor device including such treating method
US5384009A (en) * 1993-06-16 1995-01-24 Applied Materials, Inc. Plasma etching using xenon
US5397432A (en) * 1990-06-27 1995-03-14 Fujitsu Limited Method for producing semiconductor integrated circuits and apparatus used in such method
US5505780A (en) * 1992-03-18 1996-04-09 International Business Machines Corporation High-density plasma-processing tool with toroidal magnetic field
US5545289A (en) * 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
US5871658A (en) * 1997-01-13 1999-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Optical emisson spectroscopy (OES) method for monitoring and controlling plasma etch process when forming patterned layers
US5877032A (en) * 1995-10-12 1999-03-02 Lucent Technologies Inc. Process for device fabrication in which the plasma etch is controlled by monitoring optical emission
US5975740A (en) * 1996-05-28 1999-11-02 Applied Materials, Inc. Apparatus, method and medium for enhancing the throughput of a wafer processing facility using a multi-slot cool down chamber and a priority transfer scheme
US5986747A (en) * 1998-09-24 1999-11-16 Applied Materials, Inc. Apparatus and method for endpoint detection in non-ionizing gaseous reactor environments
US6133102A (en) * 1998-06-19 2000-10-17 Wu; Shye-Lin Method of fabricating double poly-gate high density multi-state flat mask ROM cells
US6171981B1 (en) * 1997-09-11 2001-01-09 Lg Semicon Co., Ltd. Electrode passivation layer of semiconductor device and method for forming the same
US6204203B1 (en) * 1998-10-14 2001-03-20 Applied Materials, Inc. Post deposition treatment of dielectric films for interface control
US6263830B1 (en) * 1999-04-12 2001-07-24 Matrix Integrated Systems, Inc. Microwave choke for remote plasma generator
US6265297B1 (en) * 1999-09-01 2001-07-24 Micron Technology, Inc. Ammonia passivation of metal gate electrodes to inhibit oxidation of metal
US20010041453A1 (en) * 1998-07-09 2001-11-15 Masahiko Ohuchi Process for patterning conductive line without after-corrosion
US6331380B1 (en) * 1997-12-12 2001-12-18 Applied Materials, Inc. Method of pattern etching a low K dielectric layer
US6352870B1 (en) * 2000-06-12 2002-03-05 Advanced Micro Devices, Inc. Method of endpointing plasma strip process by measuring wafer temperature
US6395563B1 (en) * 1998-12-28 2002-05-28 Matsushita Electric Industrial Co., Ltd. Device for manufacturing semiconductor device and method of manufacturing the same
US6492186B1 (en) * 1999-08-05 2002-12-10 Eaton Corporation Method for detecting an endpoint for an oxygen free plasma process
US20020198682A1 (en) * 2001-06-21 2002-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for determining end-point in a chamber cleaning process
US20030015660A1 (en) * 2001-07-19 2003-01-23 Chie Shishido Method and system for monitoring a semiconductor device manufacturing process
US6521003B2 (en) * 1998-09-02 2003-02-18 Sasol Technology (Pty) Limited Treatment of solid carbonaceous material
US6562713B1 (en) * 2002-02-19 2003-05-13 International Business Machines Corporation Method of protecting semiconductor areas while exposing a gate
US20040007561A1 (en) * 2002-07-12 2004-01-15 Applied Materials, Inc. Method for plasma etching of high-K dielectric materials
US6774045B1 (en) * 2001-07-11 2004-08-10 Lam Research Corporation Residual halogen reduction with microwave stripper
US6834656B2 (en) * 2001-05-23 2004-12-28 Axcelis Technology, Inc. Plasma process for removing polymer and residues from substrates

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030183245A1 (en) * 2002-04-01 2003-10-02 Min-Shyan Sheu Surface silanization

Patent Citations (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4936967A (en) * 1987-01-05 1990-06-26 Hitachi, Ltd. Method of detecting an end point of plasma treatment
US5397432A (en) * 1990-06-27 1995-03-14 Fujitsu Limited Method for producing semiconductor integrated circuits and apparatus used in such method
US5306671A (en) * 1990-07-09 1994-04-26 Mitsubishi Denki Kabushiki Kaisha Method of treating semiconductor substrate surface and method of manufacturing semiconductor device including such treating method
US5174856A (en) * 1991-08-26 1992-12-29 Applied Materials, Inc. Method for removal of photoresist over metal which also removes or inactivates corrosion-forming materials remaining from previous metal etch
US5200031A (en) * 1991-08-26 1993-04-06 Applied Materials, Inc. Method for removal of photoresist over metal which also removes or inactivates corrosion-forming materials remaining from one or more previous metal etch steps
US5221424A (en) * 1991-11-21 1993-06-22 Applied Materials, Inc. Method for removal of photoresist over metal which also removes or inactivates corosion-forming materials remaining from previous metal etch
US5505780A (en) * 1992-03-18 1996-04-09 International Business Machines Corporation High-density plasma-processing tool with toroidal magnetic field
US5384009A (en) * 1993-06-16 1995-01-24 Applied Materials, Inc. Plasma etching using xenon
US5545289A (en) * 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
US5877032A (en) * 1995-10-12 1999-03-02 Lucent Technologies Inc. Process for device fabrication in which the plasma etch is controlled by monitoring optical emission
US5975740A (en) * 1996-05-28 1999-11-02 Applied Materials, Inc. Apparatus, method and medium for enhancing the throughput of a wafer processing facility using a multi-slot cool down chamber and a priority transfer scheme
US5871658A (en) * 1997-01-13 1999-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Optical emisson spectroscopy (OES) method for monitoring and controlling plasma etch process when forming patterned layers
US6171981B1 (en) * 1997-09-11 2001-01-09 Lg Semicon Co., Ltd. Electrode passivation layer of semiconductor device and method for forming the same
US6331380B1 (en) * 1997-12-12 2001-12-18 Applied Materials, Inc. Method of pattern etching a low K dielectric layer
US6133102A (en) * 1998-06-19 2000-10-17 Wu; Shye-Lin Method of fabricating double poly-gate high density multi-state flat mask ROM cells
US20010041453A1 (en) * 1998-07-09 2001-11-15 Masahiko Ohuchi Process for patterning conductive line without after-corrosion
US6521003B2 (en) * 1998-09-02 2003-02-18 Sasol Technology (Pty) Limited Treatment of solid carbonaceous material
US5986747A (en) * 1998-09-24 1999-11-16 Applied Materials, Inc. Apparatus and method for endpoint detection in non-ionizing gaseous reactor environments
US6204203B1 (en) * 1998-10-14 2001-03-20 Applied Materials, Inc. Post deposition treatment of dielectric films for interface control
US6395563B1 (en) * 1998-12-28 2002-05-28 Matsushita Electric Industrial Co., Ltd. Device for manufacturing semiconductor device and method of manufacturing the same
US6263830B1 (en) * 1999-04-12 2001-07-24 Matrix Integrated Systems, Inc. Microwave choke for remote plasma generator
US6492186B1 (en) * 1999-08-05 2002-12-10 Eaton Corporation Method for detecting an endpoint for an oxygen free plasma process
US6265297B1 (en) * 1999-09-01 2001-07-24 Micron Technology, Inc. Ammonia passivation of metal gate electrodes to inhibit oxidation of metal
US6352870B1 (en) * 2000-06-12 2002-03-05 Advanced Micro Devices, Inc. Method of endpointing plasma strip process by measuring wafer temperature
US6834656B2 (en) * 2001-05-23 2004-12-28 Axcelis Technology, Inc. Plasma process for removing polymer and residues from substrates
US20020198682A1 (en) * 2001-06-21 2002-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for determining end-point in a chamber cleaning process
US6774045B1 (en) * 2001-07-11 2004-08-10 Lam Research Corporation Residual halogen reduction with microwave stripper
US20030015660A1 (en) * 2001-07-19 2003-01-23 Chie Shishido Method and system for monitoring a semiconductor device manufacturing process
US6562713B1 (en) * 2002-02-19 2003-05-13 International Business Machines Corporation Method of protecting semiconductor areas while exposing a gate
US20040007561A1 (en) * 2002-07-12 2004-01-15 Applied Materials, Inc. Method for plasma etching of high-K dielectric materials

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100139708A1 (en) * 2007-05-23 2010-06-10 Meidensha Corporation Method of removing resist and apparatus therefor
US8187389B2 (en) * 2007-05-23 2012-05-29 Meidensha Corporation Method of removing resist and apparatus therefor
US20100300482A1 (en) * 2007-12-04 2010-12-02 Meidensha Corporation Method of removing resist and apparatus therefor
US8574369B2 (en) 2007-12-04 2013-11-05 Meidensha Corporation Method of removing resist and apparatus therefor
US20140377958A1 (en) * 2013-06-25 2014-12-25 Hitachi High-Technologies Corporation Plasma processing method and vacuum processing apparatus
US10867843B2 (en) * 2016-12-05 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for fabrication semiconductor device
US11955318B2 (en) 2021-03-12 2024-04-09 Applied Materials, Inc. Ash rate recovery method in plasma strip chamber

Also Published As

Publication number Publication date
US7846347B2 (en) 2010-12-07
US20070254489A1 (en) 2007-11-01
US20040203251A1 (en) 2004-10-14
US7374696B2 (en) 2008-05-20

Similar Documents

Publication Publication Date Title
US7846347B2 (en) Method for removing a halogen-containing residue
US11177136B2 (en) Abatement and strip process chamber in a dual loadlock configuration
US9735002B2 (en) Integrated apparatus for efficient removal of halogen residues from etched substrates
US7431795B2 (en) Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor
US6767824B2 (en) Method of fabricating a gate structure of a field effect transistor using an alpha-carbon mask
US20060032833A1 (en) Encapsulation of post-etch halogenic residue
US7094613B2 (en) Method for controlling accuracy and repeatability of an etch process
US6933239B2 (en) Method for removing conductive residue
US7368394B2 (en) Etch methods to form anisotropic features for high aspect ratio applications
US7846845B2 (en) Integrated method for removal of halogen residues from etched substrates in a processing system
US8501626B2 (en) Methods for high temperature etching a high-K material gate structure
US20070202700A1 (en) Etch methods to form anisotropic features for high aspect ratio applications
US8101025B2 (en) Method for controlling corrosion of a substrate
US20040229470A1 (en) Method for etching an aluminum layer using an amorphous carbon mask
US20040209468A1 (en) Method for fabricating a gate structure of a field effect transistor
US6855643B2 (en) Method for fabricating a gate structure
US20050064714A1 (en) Method for controlling critical dimensions during an etch process
US20040237997A1 (en) Method for removal of residue from a substrate
US20150064880A1 (en) Post etch treatment technology for enhancing plasma-etched silicon surface stability in ambient
US20040132311A1 (en) Method of etching high-K dielectric materials
US11171008B2 (en) Abatement and strip process chamber in a dual load lock configuration
US11955318B2 (en) Ash rate recovery method in plasma strip chamber
US20220293395A1 (en) Ash rate recovery method in plasma strip chamber

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:KAWAGUCHI, MARK N.;PAPANU, JAMES S.;WILLIAMS, SCOTT;AND OTHERS;REEL/FRAME:019748/0179;SIGNING DATES FROM 20040602 TO 20040608

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:KAWAGUCHI, MARK N.;PAPANU, JAMES S.;WILLIAMS, SCOTT;AND OTHERS;SIGNING DATES FROM 20040602 TO 20040608;REEL/FRAME:019748/0179

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION