US20070221495A1 - Electropolish assisted electrochemical mechanical polishing apparatus - Google Patents

Electropolish assisted electrochemical mechanical polishing apparatus Download PDF

Info

Publication number
US20070221495A1
US20070221495A1 US11/690,180 US69018007A US2007221495A1 US 20070221495 A1 US20070221495 A1 US 20070221495A1 US 69018007 A US69018007 A US 69018007A US 2007221495 A1 US2007221495 A1 US 2007221495A1
Authority
US
United States
Prior art keywords
polishing
substrate
electrochemical
station
planarizing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/690,180
Inventor
Antoine P. Manens
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US11/690,180 priority Critical patent/US20070221495A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MANENS, ANTOINE P.
Publication of US20070221495A1 publication Critical patent/US20070221495A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • H01L21/32125Planarisation by chemical mechanical polishing [CMP] by simultaneously passing an electrical current, i.e. electrochemical mechanical polishing, e.g. ECMP
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23HWORKING OF METAL BY THE ACTION OF A HIGH CONCENTRATION OF ELECTRIC CURRENT ON A WORKPIECE USING AN ELECTRODE WHICH TAKES THE PLACE OF A TOOL; SUCH WORKING COMBINED WITH OTHER FORMS OF WORKING OF METAL
    • B23H5/00Combined machining
    • B23H5/06Electrochemical machining combined with mechanical working, e.g. grinding or honing
    • B23H5/08Electrolytic grinding

Definitions

  • Embodiments of the present invention generally relate to a method and apparatus for electrochemical processing of a substrate.
  • Electrochemical mechanical planarizing is a technique used to remove conductive materials from a substrate surface by electrochemical dissolution while concurrently polishing the substrate with reduced mechanical abrasion compared to conventional planarization processes.
  • Ecmp systems may generally be adapted for deposition of conductive material on the substrate by reversing the polarity of the bias.
  • Electrochemical dissolution is performed by applying a bias between a second electrode and a substrate surface to remove conductive materials from the substrate surface into a surrounding electrolyte.
  • the bias is applied to the substrate surface by a conductive polishing material on which the substrate is processed.
  • a mechanical component of the polishing process is performed by providing relative motion between the substrate and the conductive polishing material that enhances the removal of the conductive material from the substrate.
  • Ecmp of the conductive film is followed by conventional chemical mechanical processing for barrier removal.
  • This dichotomy of processing e.g., Ecmp and CMP on a single system
  • the heads utilized to retain the substrate during processing do not provide robust processing performance when utilized for conventional CMP processes, which typically have high contact pressures, which results in high erosion of conductive material disposed in trenches or other features.
  • the removal rate of low pressure conventional CMP barrier layer processing is generally less than about 100 ⁇ /min, conventional CMP processing of barrier materials using low pressure is not suitable for large scale commercialization.
  • barrier materials such as ruthenium, tantalum, tantalum nitride, titanium, titanium nitride and the like, through an electrochemical process.
  • an apparatus for processing a substrate including a planarizing module, at least one electrochemical mechanical polishing station disposed on the planarizing module, at least one polishing head disposed above the planarizing module and the at least one polishing head adapted to selectively lower a substrate retained in the polishing head to the electrochemical mechanical polishing station, a factory interface disposed adjacent the planarizing module, a loading robot disposed adjacent both the factory interface and the planarizing module, and an electrochemical polishing station disposed on or adjacent the planarizing module, the factory interface, or a combination thereof.
  • the electrochemical polishing station may be disposed on the planarizing module, adjacent the planarizing module, in the factory interface, adjacent the factory interface, or between the planarizing module and the factory interface.
  • a method of polishing a substrate comprising feature definitions formed in a dielectric material, a barrier layer deposited conformally over the dielectric layer and in the feature definitions, and a conductive layer disposed on the barrier layer to fill the feature definitions.
  • a system comprising a factory interface, an electrochemical polishing station, and a planarizing module containing three electrochemical mechanical polishing stations is provided.
  • a substrate is introduced to the electrochemical polishing station configured to remove conductive material from a substrate in a mechanical free polishing process by the application of a bias in the presence of a conductive polishing solution to remove conductive material by anodic dissolution.
  • a bulk portion of the conductive layer is removed from the substrate.
  • the substrate is transferred to the first electrochemical mechanical polishing station.
  • the substrate is polished to remove a bulk portion of the conductive layer from the substrate.
  • the substrate is transferred to the second electrochemical mechanical polishing station. Any remaining conductive material is removed from the substrate to expose the barrier layer.
  • the substrate is transferred to the third electrochemical mechanical polishing station and the barrier layer is removed from the substrate.
  • FIG. 1A is a plan view of one embodiment of an electrochemical mechanical planarizing system
  • FIG. 1B is a plan view of another embodiment of an electrochemical mechanical planarizing system
  • FIG. 2 is a sectional view of one embodiment of a first electrochemical mechanical planarizing (Ecmp) station of the system of FIG. 1 ;
  • Ecmp electrochemical mechanical planarizing
  • FIG. 3A is a partial sectional view of the bulk Ecmp station through two contact assemblies
  • FIGS. 3B-C are sectional views of alternative embodiments of contact assemblies
  • FIGS. 3D-E are sectional views of plugs
  • FIGS. 4A-B are side, exploded and sectional views of one embodiment of a contact assembly
  • FIG. 5 is one embodiment of a contact element
  • FIG. 6 is a perspective view of another embodiment of another Ecmp station
  • FIG. 7 illustrates a partial sectional perspective view of an exemplary electrochemical polishing cell of the invention.
  • FIG. 8 illustrates a perspective view of a first electrode/second electrode base plate of the invention.
  • FIG. 9 illustrates a perspective view of an exemplary first electrode/second electrode base plate of the invention having a first electrode positioned therein.
  • FIG. 10 illustrates an exploded perspective view of an exemplary membrane support member of the invention.
  • FIG. 11 illustrates a partial sectional view of an edge of the polishing cell of the invention.
  • Embodiments for a system and method for removal of conductive and barrier materials from a substrate are provided. Although the embodiments disclosed below focus primarily on removing material from, e.g., planarizing, a substrate, it is contemplated that the teachings disclosed herein may be used to electroplate a substrate by reversing the polarity of an electrical bias applied between the substrate and an electrode of the system.
  • FIG. 1A is a plan view of one embodiment of a planarization system 100 having an apparatus for electrochemically processing a substrate.
  • the exemplary system 100 generally comprises a factory interface 102 , a loading robot 104 , and a planarizing module 106 .
  • the loading robot 104 is disposed proximate the factory interface 102 and the planarizing module 106 to facilitate the transfer of substrates 122 therebetween.
  • a controller 108 is provided to facilitate control and integration of the modules of the system 100 .
  • the controller 108 comprises a central processing unit (CPU) 110 , a memory 112 , and support circuits 114 .
  • the controller 108 is coupled to the various components of the system 100 to facilitate control of, for example, the planarizing, cleaning, and transfer processes.
  • the factory interface 102 generally includes a cleaning module 116 and one or more wafer cassettes 118 .
  • An interface robot 120 is employed to transfer substrates 122 between the wafer cassettes 118 , the cleaning module 116 and an input module 124 .
  • the input module 124 is positioned to facilitate transfer of substrates 122 between the planarizing module 106 and the factory interface 102 by grippers, for example vacuum grippers or mechanical clamps.
  • the planarizing module 106 includes at least a first electrochemical mechanical planarizing (Ecmp) station 128 , disposed in an environmentally controlled enclosure 188 .
  • Ecmp electrochemical mechanical planarizing
  • Examples of planarizing modules 106 that can be adapted to benefit from the invention include MIRRA®, MIRRA MESATM, REFLEXION®, REFLEXION® LK, and REFLEXION LK EcmpTM Chemical Mechanical Planarizing Systems, all available from Applied Materials, Inc. of Santa Clara, Calif.
  • Other planarizing modules, including those that use processing pads, planarizing webs, or a combination thereof, and those that move a substrate relative to a planarizing surface in a rotational, linear or other planar motion may also be adapted to benefit from the invention.
  • the planarizing module 106 includes the first Ecmp station 128 , a second Ecmp station 130 , and a third Ecmp station 132 .
  • Bulk removal of conductive material disposed on the substrate 122 may be performed through an electrochemical dissolution process at the first Ecmp station 128 .
  • the remaining conductive material is removed from the substrate at the second Ecmp station 130 through a multi-step electrochemical mechanical process, wherein part of the multi-step process is configured to remove residual conductive material. It is contemplated that more than one Ecmp station may be utilized to perform the multi-step removal process after the bulk removal process performed at a different station.
  • each of the first and second Ecmp stations 128 , 130 may be utilized to perform both the bulk and multi-step conductive material removal on a single station. It is also contemplated that all Ecmp stations (for example 3 stations of the module 106 depicted in FIG. 1 ) may be configured to process the conductive layer with a two step removal process.
  • CMP chemical mechanical polishing
  • the exemplary planarizing module 106 also includes a transfer station 136 and a carousel 134 that are disposed on an upper or first side 138 of a machine base 140 .
  • the transfer station 136 includes an input buffer station 142 , an output buffer station 144 , a transfer robot 146 , and a load cup assembly 148 .
  • the input buffer station 142 receives substrates from the factory interface 102 by means of the loading robot 104 .
  • the loading robot 104 is also utilized to return polished substrates from the output buffer station 144 to the factory interface 102 .
  • the transfer robot 146 is utilized to move substrates between the buffer stations 142 , 144 and the load cup assembly 148 .
  • the transfer robot 146 includes two gripper assemblies, each having pneumatic gripper fingers that hold the substrate by the substrate's edge.
  • the transfer robot 146 may simultaneously transfer a substrate to be processed from the input buffer station 142 to the load cup assembly 148 while transferring a processed substrate from the load cup assembly 148 to the output buffer station 144 .
  • An example of a transfer station that may be used to advantage is described in U.S. Pat. No. 6,156,124, entitled WAFER TRANSFER STATION FOR A CHEMICAL MECHANICAL POLISHER, issued Dec. 5, 2000 to Tobin, which is herein incorporated by reference in its entirety.
  • the carousel 134 is centrally disposed on the base 140 .
  • the carousel 134 typically includes a plurality of arms 150 , each supporting a planarizing head assembly 152 . Two of the arms 150 depicted in FIG. 1 are shown in phantom such that the transfer station 136 and a planarizing surface 126 of the first Ecmp station 128 may be seen.
  • the carousel 134 is indexable such that the planarizing head assemblies 152 may be moved between the planarizing stations 128 , 130 , 132 and the transfer station 136 .
  • One carousel that may be utilized to advantage is described in U.S. Pat. No. 5,804,507, issued Sep. 8, 1998 to Perlov, et al., which is hereby incorporated by reference in its entirety.
  • a conditioning device 182 is disposed on the base 140 adjacent each of the planarizing stations 128 , 130 , 132 .
  • the conditioning device 182 periodically conditions the planarizing material disposed in the stations 128 , 130 , 132 to maintain uniform planarizing results.
  • An electropolishing cell 700 as described herein as follows and in FIGS. 7-11 may be disposed on the system 100 .
  • the electropolishing cell 700 allows for removal of conductive material from the substrate in a mechanical free polishing process by the application of bias in the presence of a conductive electrolyte solution to remove conductive material by anodic dissolution.
  • An example of a suitable cell for electropolishing is the SlimCell ECPTM commercially available from Applied Materials, of Santa Clara, Calif.
  • the electropolishing cell may be position in several areas of the system 100 as noted as 190 A, 190 B, 190 C, 190 D, 190 E, 190 F, and 190 G, among others. In other embodiments of the system 100 , multiple electropolishing cells may be used and positioned in various places around the system 100 . Preferably, the electropolishing cell is disposed adjacent both a cleaning station/load cup and a robot for substrate transfer.
  • the electropolishing cell 700 is generally attached to the system 100 to provide for efficient processing of the substrate within the system 100 without breaking the clean environment of the system.
  • the electropolishing cell 190 A may be positioned adjacent the wafer cassettes 118 and interface robot 120 of the factory interface 102 .
  • the electropolishing cell 190 B may be positioned adjacent the cleaning module 116 of the factory interface 102 .
  • the electropolishing cell 190 C may be positioned within the cleaning module 116 as shown with broken lines. In such a position in or adjacent the cleaning module 116 , the electropolishing of the substrate may be performed in conjunction with cleaning of the substrate before transfer to the planarizing module 106 .
  • the electropolishing cell 190 D may be positioned on the planarizing module 106 .
  • the electropolishing cell 700 may be disposed adjacent the transfer station 136 and an Ecmp station, such as Ecmp station 132 , as shown in FIG. 1 , or Ecmp station 128 .
  • the electropolishing cell 190 D may be positioned between two Ecmp stations, for example, between the second Ecmp station 130 and the third Ecmp station 132 or between the first Ecmp staion 128 and the second Ecmp station 130 .
  • FIG. 1B is a plan view of another embodiment of a planarization system 200 having an apparatus for electrochemically processing a substrate.
  • the exemplary system 200 generally comprises a factory interface 192 , a loading robot 194 , and a planarizing module 196 .
  • the cleaning module 116 of the factory interface 192 has the cleaning module 116 positioned in parallel with the loading robot 194 rather than the perpendicular position of the cleaning module 116 in the system 100 of FIG. 1A .
  • the factory interface comprises an input module disposed adjacent the cassettes 118 , and a cleaning module 116 disposed adjacent the input module.
  • the loading robot 194 is positioned within the factory interface 192 on a running beam 195 to allow movement between the interface robot 120 , the cleaning module 116 , and the planarizing module 196 as well as another other devices or processing cells disposed in the factory interface 192 to facilitate the transfer of substrates 122 therebetween.
  • the electropolishing cell 700 of the system 200 may be positioned adjacent the wafer cassettes 118 as shown as polishing cell 190 F adjacent the interface robot 120 of the factory interface 102 .
  • the electropolishing cell 190 E may be positioned adjacent the cleaning module 116 of the factory interface 102 .
  • the electropolishing cell 190 E may be positioned on the cleaning module 116 or in a stack with another device in the factory interface.
  • the electropolishing cell 190 G may be positioned on the planarizing module 196 .
  • the electropolishing cell 190 G may be disposed in the position of the transfer station 136 or adjacent any transfer station, such as transfer station 136 shown in FIG.
  • electropolishing cell 190 G may be positioned between any transfer station and an Ecmp station, such as Ecmp station 132 shown in FIG. 1B or Ecmp station 128 .
  • the electropolishing cell 190 G may be positioned between two Ecmp stations.
  • FIG. 2 depicts a sectional view of one of the planarizing head assemblies 152 positioned over one embodiment of the first Ecmp station 128 .
  • the second and third Ecmp stations 130 , 132 may be similarly configured.
  • the planarizing head assembly 152 generally comprises a drive system 202 coupled to a planarizing head 204 .
  • the drive system 202 generally provides at least rotational motion to the planarizing head 204 .
  • the planarizing head 204 additionally may be actuated toward the first Ecmp station 128 such that the substrate 122 retained in the planarizing head 204 may be disposed against the planarizing surface 126 of the first Ecmp station 128 during processing.
  • the drive system 202 is coupled to the controller 108 that provides a signal to the drive system 202 for controlling the rotational speed and direction of the planarizing head 204 .
  • the planarizing head may be a TITAN HEADTM or TITAN PROFILERTM wafer carrier manufactured by Applied Materials, Inc.
  • the planarizing head 204 comprises a housing 214 and retaining ring 224 that defines a center recess in which the substrate 122 is retained.
  • the retaining ring 224 circumscribes the substrate 122 disposed within the planarizing head 204 to prevent the substrate from slipping out from under the planarizing head 204 while processing.
  • the retaining ring 224 can be made of plastic materials such as PPS, PEEK, and the like, or conductive materials such as stainless steel, Cu, Au, Pd, and the like, or some combination thereof.
  • a conductive retaining ring 224 may be electrically biased to control the electric field during Ecmp. Conductive or biased retaining rings tend to slow the polishing rate proximate the edge of the substrate. It is contemplated that other planarizing heads may be utilized.
  • the first Ecmp station 128 generally includes a platen assembly 230 that is rotationally disposed on the base 140 .
  • the platen assembly 230 is supported above the base 140 by a bearing 238 so that the platen assembly 230 may be rotated relative to the base 140 .
  • An area of the base 140 circumscribed by the bearing 238 is open and provides a conduit for the electrical, mechanical, pneumatic, control signals and connections communicating with the platen assembly 230 .
  • rotary coupler 276 Conventional bearings, rotary unions and slip rings, collectively referred to as rotary coupler 276 , are provided such that electrical, mechanical, fluid, pneumatic, control signals and connections may be coupled between the base 140 and the rotating platen assembly 230 .
  • the platen assembly 230 is typically coupled to a motor 232 that provides the rotational motion to the platen assembly 230 .
  • the motor 232 is coupled to the controller 108 that provides a signal for controlling for the rotational speed and direction of the platen assembly 230 .
  • a top surface 260 of the platen assembly 230 supports a processing pad assembly 222 thereon.
  • the processing pad assembly may be coupled with the platen assembly 230 by magnetic attraction, vacuum, clamps, adhesives and the like.
  • a plenum 206 is defined in the platen assembly 230 to facilitate uniform distribution of electrolyte to the planarizing surface 126 .
  • a plurality of passages, described in greater detail below, are formed in the platen assembly 230 to allow electrolyte, provided to the plenum 206 from an electrolyte source 248 , to flow uniformly though the platen assembly 230 and into contact with the substrate 122 during processing. It is contemplated that different electrolyte compositions may be provided during different stages of processing or at different Ecmp stations 128 , 130 , 132 .
  • the processing pad assembly 222 includes an electrode 292 and at least a planarizing portion 290 .
  • the electrode 292 comprises a conductive material, such as stainless steel, copper, aluminum, gold, silver and tungsten, among others.
  • the electrode 292 may be solid, impermeable to electrolyte, permeable to electrolyte or perforated.
  • At least one contact assembly 250 extends above the processing pad assembly 222 and is adapted to electrically couple the substrate being processing on the processing pad assembly 222 to the power source 242 .
  • the electrode 292 is also coupled to the power source 242 so that an electrical potential may be established between the substrate and electrode 292 .
  • a meter 244 is provided to detect a metric indicative of the electrochemical process.
  • the meter 244 may be coupled or positioned between the power source 242 and at least one of the electrode 292 or contact assembly 250 .
  • the meter 244 may also be integral to the power source 242 .
  • the meter 244 is configured to provide the controller 108 with a metric indicative of processing, such as a charge, current and/or voltage. This metric may be utilized by the controller 108 to adjust the processing parameters in-situ or to facilitate endpoint or other process stage detection.
  • a window 246 is provided through the pad assembly 222 and/or platen assembly 230 , and is configured to allow a sensor 254 , positioned below the pad assembly 222 , to sense a metric indicative of polishing performance.
  • the sensor 254 may be an eddy current sensor or an interferometer, among other sensors.
  • the metric provided by the sensor 254 to the controller 108 , provides information that may be utilized for processing profile adjustment in-situ, endpoint detection or detection of another point in the electrochemical process.
  • the sensor 254 an interferometer capable of generating a collimated light beam, which during processing, is directed at and impinges on a side of the substrate 122 that is being polished.
  • the interference between reflected signals is indicative of the thickness of the conductive layer of material being processed.
  • One sensor that may be utilized to advantage is described in U.S. Pat. No. 5,893,796, entitled FORMING A TRANSPARENT WINDOW IN A POLISHING PAD FOR A CHEMICAL MECHANICAL POLISHING APPARATUS, issued Apr. 13, 1999, to Birang, et al., which is hereby incorporated by reference in its entirety.
  • Embodiments of the processing pad assembly 222 suitable for removal of conductive material from the substrate 122 may generally include a planarizing surface 126 that is substantially dielectric. Other embodiments of the processing pad assembly 222 suitable for removal of conductive material from the substrate 122 may generally include a planarizing surface 126 that is substantially conductive. At least one contact assembly 250 is provided to couple the substrate with the power source 242 so that the substrate may be biased relative to the electrode 292 during processing. Apertures 210 , formed through the planarizing portion 290 , allow the electrolyte to establish a conductive path between the substrate 122 and electrode 292 .
  • the planarizing portion 290 of the processing pad assembly 222 is a dielectric, such as polyurethane.
  • a dielectric such as polyurethane. Examples of processing pad assemblies that may be adapted to benefit from the invention are described in U.S. Pat. No. 6,991,528, entitled CONDUCTIVE PLANARIZING ARTICLE FOR ELECTROCHEMICAL MECHANICAL PLANARIZING, Jan. 31, 2006, and U.S. patent application Ser. No. 10/455,895, filed Jun. 6, 2003 by Y. Hu et al., entitled CONDUCTIVE PLANARIZING ARTICLE FOR ELECTROCHEMICAL MECHANICAL PLANARIZING, both of which are hereby incorporated by reference in their entireties.
  • FIG. 3A is a partial sectional view of the first Ecmp station 128 through two contact assemblies 250
  • FIGS. 4A-C are side, exploded and sectional views of one of the contact assemblies 250 shown in FIG. 3A
  • the platen assembly 230 includes at least one contact assembly 250 projecting therefrom and coupled to the power source 242 that is adapted to bias a surface of the substrate 122 during processing.
  • the contact assemblies 250 may be coupled to the platen assembly 230 , part of the processing pad assembly 222 , or a separate element. Although two contact assemblies 250 are shown in FIG. 3A , any number of contact assemblies may be utilized and may be distributed in any number of configurations relative to the centerline of the platen assembly 230 .
  • the contact assemblies 250 are generally electrically coupled with the power source 242 through the platen assembly 230 and are movable to extend at least partially through respective apertures 368 formed in the processing pad assembly 222 .
  • the positions of the contact assemblies 250 may be chosen to have a predetermined configuration across the platen assembly 230 .
  • individual contact assemblies 250 may be repositioned in different apertures 368 , while apertures not containing contact assemblies may be plugged with a stopper 392 or filled with a nozzle 394 (as shown in FIGS. 3D-E ) that allows flow of electrolyte from the plenum 206 to the substrate.
  • One contact assembly that may be adapted to benefit from the invention is described in U.S. Pat. No. 6,854,153, entitled APPARATUS FOR ELECTROCHEMICAL PROCESSING, issued Apr. 26, 2005, and is hereby incorporated by reference in its entirety.
  • the contact assembly 250 may alternatively comprise a structure or assembly having a conductive upper layer or surface suitable for electrically biasing the substrate 122 during processing.
  • the contact assembly 250 may include a pad structure 350 having an upper layer 352 made from a conductive material or a conductive composite (i.e., the conductive elements are dispersed integrally with or comprise the material comprising the upper surface), such as a polymer matrix 354 having conductive particles 356 dispersed therein or a conductive coated fabric, among others.
  • the pad structure 350 may include one or more of the apertures 210 formed therethrough for electrolyte delivery to the upper surface of the pad assembly.
  • suitable contact assemblies are described in U.S. patent application Ser. No. 10/880,752, filed Jun. 30, 2004, entitled METHOD AND APPARATUS FOR ELECTROCHEMICAL MECHANICAL PROCESSING, which is hereby incorporated by reference in its entirety.
  • each of the contact assemblies 250 includes a hollow housing 302 , an adapter 304 , a ball 306 , a contact element 314 and a clamp bushing 316 .
  • the ball 306 has a conductive outer surface and is movably disposed in the housing 302 .
  • the ball 306 may be disposed in a first position having at least a portion of the ball 306 extending above the planarizing surface 126 and at least a second position where the ball 306 is substantially flush with the planarizing surface 126 . It is also contemplated that the ball 306 may move completely below the planarizing surface 126 .
  • the ball 306 is generally suitable for electrically coupling the substrate 122 to the power source 242 . It is contemplated that a plurality of balls 306 for biasing the substrate may be disposed in a single housing 358 as depicted in FIG. 3C .
  • the power source 242 generally provides a positive electrical bias to the ball 306 during processing. Between planarizing substrates, the power source 242 may optionally apply a negative bias to the ball 306 to minimize attack on the ball 306 by process chemistries.
  • the housing 302 is configured to provide a conduit for the flow of electrolyte from the source 248 to the substrate 122 during processing.
  • the housing 302 is fabricated from a dielectric material compatible with process chemistries.
  • a seat 326 formed in the housing 302 prevents the ball 306 from passing out of the first end 308 of the housing 302 .
  • the seat 326 optionally may include one or more grooves 348 formed therein that allow fluid flow to exit the housing 302 between the ball 306 and seat 326 . Maintaining fluid flow past the ball 306 may minimize the propensity of process chemistries to attack the ball 306 .
  • the contact element 314 is coupled between the clamp bushing 316 and the adapter 304 .
  • the contact element 314 is generally configured to electrically connect the adapter 304 and ball 306 substantially or completely through the range of ball positions within the housing 302 .
  • the contact element 314 may be configured as a spring form.
  • the contact element 314 includes an annular base 342 having a plurality of flexures 344 extending therefrom in a polar array.
  • the flexure 344 is generally fabricated from a resilient and conductive material suitable for use with process chemistries.
  • the flexure 344 is fabricated from gold plated beryllium copper.
  • the clamp bushing 316 includes a flared head 424 having a threaded post 426 extending therefrom.
  • the clamp bushing 316 may be fabricated from either a dielectric or conductive material, or a combination thereof, and in certain embodiments, is fabricated from the same material as the housing 302 .
  • the flared head 424 maintains the flexures 344 at an acute angle relative to the centerline of the contact assembly 250 so that the flexures 344 of the contact elements 314 are positioned to spread around the surface of the ball 306 to prevent bending, binding and/or damage to the flexures 344 during assembly of the contact assembly 250 and through the range of motion of the ball 306 .
  • the ball 306 may be solid or hollow and is typically fabricated from a conductive material.
  • the ball 306 may be fabricated from a metal, conductive polymer or a polymeric material filled with conductive material, such as metals, conductive carbon or graphite, among other conductive materials.
  • the ball 306 may be formed from a solid or hollow core that is coated with a conductive material.
  • the core may be non-conductive and at least partially coated with a conductive covering.
  • the ball 306 is generally actuated toward the planarizing surface 126 by at least one of spring, buoyant or flow forces.
  • flow through the passages formed through the adapter 304 and clamp bushing 316 and the platen assembly 230 from the electrolyte source 248 urge the ball 306 into contact with the substrate during processing.
  • FIG. 6 is a sectional view of one embodiment of the second Ecmp station 130 .
  • the first and third Ecmp stations 128 , 132 may be configured similarly.
  • the second Ecmp station 130 generally includes a platen 602 that supports a fully conductive processing pad assembly 604 .
  • the platen 602 may be configured similar to the platen assembly 230 described above to deliver electrolyte through the processing pad assembly 604 , or the platen 602 may have a fluid delivery arm (not shown) disposed adjacent thereto configured to supply electrolyte to a planarizing surface of the processing pad assembly 604 .
  • the platen assembly 602 includes at least one of a meter 244 or sensor 254 (shown in FIG. 2 ) to facilitate endpoint detection.
  • the processing pad assembly 604 includes interposed pad 612 sandwiched between a conductive pad 610 and an electrode 614 .
  • the conductive pad 610 is substantially conductive across its top processing surface and is generally made from a conductive material or a conductive composite (i.e., the conductive elements are dispersed integrally with or comprise the material comprising the planarizing surface), such as a polymer matrix having conductive particles dispersed therein or a conductive coated fabric, among others.
  • the conductive pad 610 , the interposed pad 612 , and the electrode 614 may be fabricated into a single, replaceable assembly.
  • the processing pad assembly 604 is generally permeable or perforated to allow electrolyte to pass between the electrode 614 and top surface 620 of the conductive pad 610 .
  • the processing pad assembly 604 is perforated by apertures 622 to allow electrolyte to flow therethrough.
  • the conductive pad 610 is comprised of a conductive material disposed on a polymer matrix disposed on a conductive fiber, for example, tin particles in a polymer matrix disposed on a woven copper coated polymer.
  • the conductive pad 610 may also be utilized for the contact assembly 250 in the embodiment of FIG. 3C .
  • a conductive foil 616 may additionally be disposed between the conductive pad 610 and the subpad 612 .
  • the foil 616 is coupled with a power source 242 and provides uniform distribution of voltage applied by the source 242 across the conductive pad 610 .
  • the conductive pad 610 may be coupled directly, for example, via a terminal integral to the pad 610 , to the power source 242 .
  • the pad assembly 604 may include an interposed pad 618 , which, along with the foil 616 , provides mechanical strength to the overlying conductive pad 610 . Examples of suitable pad assemblies are described in the previously incorporated U.S. Patent No. 6,991,528 and U.S. patent application Ser. No. 10/455,895.
  • the electropolishing cell 700 provides an electrochemical polishing and polishing cell configured to remove and/or deposit metal onto semiconductor substrates.
  • a polishing cell capable of electropolishing may be used as the electropolishing cell 700 .
  • An example of such a processing cell is a SlimCell ECPTM processing chamber commercially available from Applied Materials, Inc., of Santa Clara, Calif.
  • the following apparatus description is illustrative, and should not be construed or interpreted as limiting the scope of the invention.
  • One embodiment of the electropolishing cell 700 for the system described herein uses a small volume cell, i.e., a cell weir volume that houses less than about 4 liters of electrolyte in the cell itself, preferably between about 1 and 3 liters, and potentially between about 2 and about 8 liters of electrolyte solution in an adjacent fluidly connected supply tank.
  • the electrochemical polishing cell 700 is generally configured to fluidly isolate a first electrode and a second electrode, for example, the substrate surface that is to be electropolished, from each other via a cation membrane positioned between the substrate being processed and the first and second electrodes of the polishing cell.
  • the polishing cell of the invention is generally configured to provide a first fluid solution to a first electrode compartment, i.e., the volume between the upper surface of the first electrode and the lower surface of the membrane, and a second fluid solution (a polishing solution) to the second electrode compartment, i.e., the volume of fluid positioned above the upper membrane surface.
  • a first fluid solution to a first electrode compartment
  • a second fluid solution to the second electrode compartment
  • the same fluid solution, polishing composition may be supplied to the first and second compartments.
  • the first electrode of the polishing cell generally includes a plurality of slots formed therein, the plurality of slots being positioned parallel to each other.
  • a membrane support having a plurality of slots or channels formed in a first side of the assembly, along with a plurality of bores formed into a second side of the membrane support, wherein the plurality of bores are in fluid communication with the slots on the opposing side of the membrane support.
  • FIG. 7 illustrates a perspective and partial sectional view of an exemplary electrochemical polishing cell 700 of the invention.
  • Polishing cell 700 generally includes an outer basin 701 and an inner basin 702 positioned within outer basin 701 .
  • Inner basin 702 is generally configured to contain a solution that is used to polish a metal, e.g., copper, onto a substrate during an electrochemical polishing process.
  • the polishing solution is generally continuously supplied to inner basin 702 (at about 1 gallon per minute for a 10 liter polishing cell, for example), and therefore, the polishing solution continually overflows the uppermost point of inner basin 702 and runs into outer basin 701 .
  • the overflow polishing solution is then collected by outer basin 701 and drained therefrom for recirculation into basin 702 .
  • the polishing cell 700 may be positioned at a tilt angle, i.e., the frame portion 703 of polishing cell 700 is generally elevated on one side such that the components of polishing cell 700 are tilted between about 3° and about 30°. Therefore, in order to contain an adequate depth of polishing solution within inner basin 702 during plating operations, the uppermost portion of basin 702 may be extended upward on one side of polishing cell 700 , such that the uppermost point of inner basin 702 is generally horizontal and allows for contiguous overflow of the polishing solution supplied thereto around the perimeter of basin 702 .
  • the frame member 703 of polishing cell 700 generally includes an annular base member 704 secured to frame member 703 . Since frame member 703 is elevated on one side, the upper surface of base member 704 is generally tilted from the horizontal at an angle that corresponds to the angle of frame member 703 relative to a horizontal position.
  • Base member 704 includes an annular or disk shaped recess formed therein, the annular recess being configured to receive a disk shaped electrode member 705 .
  • Base member 704 further includes a plurality of fluid inlets/drains 709 positioned on a lower surface thereof. Each of the fluid inlets/drains 709 are generally configured to individually supply or drain a fluid to or from either the first electrode compartment or the second electrode compartment of polishing cell 700 .
  • the electrode member 705 generally includes a plurality of slots 707 formed therethrough, wherein the slots 707 are generally positioned in parallel orientation with each other across the surface of the electrode member 705 .
  • the parallel orientation allows for the electrolyte to flow downwardly across the first electrode surface and into one of the slots 707 .
  • Polishing cell 700 further includes a membrane support assembly 706 .
  • Membrane support assembly 706 is generally secured at an outer periphery thereof to base member 704 , and includes an interior region 708 configured to allow fluids to pass therethrough via a sequence of oppositely positioned slots and bores.
  • the membrane support assembly may include an o-ring type seal positioned near a perimeter of the membrane, wherein the seal is configured to prevent fluids from traveling from one side of the membrane secured on the membrane support 706 to the other side of the membrane.
  • FIG. 8 illustrates a perspective view of base member 704 .
  • the upper surface of base member 704 generally includes an annular recess 801 configured to receive a disk shaped electrode member 705 in the recessed portion.
  • the surface of annular recess 801 generally includes a plurality of channels 802 formed therein. Each of channels 802 are generally positioned in parallel orientation with each other and terminate at the periphery of recess region 801 .
  • the periphery of recessed region 801 also includes an annular drain channel 803 that extends around the perimeter of recessed region 801 .
  • Each of the plurality of parallel positioned channels 802 terminate at opposing ends into annular drain channel 803 .
  • channels 802 may receive fluids from channels 802 and transmit the fluids to a drain channel 803 via base channels 802 .
  • the vertical wall that defines recessed region 801 generally includes a plurality of slots 804 formed into the wall.
  • the slots 804 are generally positioned in parallel orientation with each other, and further, are generally positioned in parallel orientation with the plurality of channels 802 formed into the lower surface of recessed region 801 .
  • Base member 704 also includes at least one fluid supply conduit 805 configured to dispense a fluid into the first electrode region of polishing cell 700 , along with at least one polishing solution supply conduit 806 that is configured to dispense a polishing solution into the an electrode compartment of polishing cell 700 .
  • the respective supply conduits 805 and 806 are generally in fluid communication with at least one fluid inlet 709 positioned on a lower surface of base member 704 , as illustrated in FIG. 7 .
  • Base member 704 generally includes a plurality of conduits formed therethrough (not shown), wherein the conduits are configured to direct fluids received by individual fluid inlet 709 to the respective electrode chambers of polishing cell 700 .
  • FIG. 9 illustrates a perspective view of base member 704 having the disk shaped electrode member 705 positioned therein.
  • the electrode member 705 which is generally a disk shaped member, i.e., a insoluble-type generally used to support electrochemical polishing operations, generally includes a plurality of slots 902 formed therein.
  • the slots 902 generally extend through the interior of the electrode member 705 and are in fluid communication with both the upper surface and the lower surface of the electrode member 705 . As such, slots 902 allow fluids to travel through the interior of the electrode member 705 from the upper surface to the lower surface. Slots 902 are positioned in parallel orientation with each other.
  • the parallel slots 902 of the electrode member 705 are generally positioned orthogonal to both slots 804 and channels 802 of base member 704 , as illustrated in FIG. 9 .
  • slots 902 generally do not continuously extend across the upper surface of the electrode member 705 . Rather, slots 902 are broken into a longer segment 903 and a shorter segment 904 , with a space 905 between the two segments, which operates to generate a longer current path through anode 10 from one side to the other. Further, adjacently positioned slots 902 have the space 905 positioned on opposite sides of the first electrode member's upper surface.
  • the current path from the lower side of first electrode member to the upper side of the first electrode member generally includes a back and forth type path between the respective channels 902 through the spaces 905 . Further, the positioning of spaces 905 and channels 902 provides for improved concentrated Newtonian fluid removal from the surface of the electrode member 705 , as the positioning of channels 902 provides a shortest possible distance of travel for the dense fluids to be received in channels 902 .
  • FIG. 10 illustrates an exploded perspective view of an exemplary membrane support assembly 706 of the invention.
  • Membrane support assembly 706 generally includes an upper ring shaped support member 1001 , an intermediate membrane support member 1000 , and a lower support member 1012 .
  • Upper and lower support member's 1001 and 1012 are generally configured to provide structural support to intermediate membrane support member 1000 , i.e., upper support member 1001 operates to secure intermediate membrane support member 1000 to lower support member 1012 , while lower support member 1012 receives intermediate membrane support member 1000 .
  • Intermediate membrane support member 1000 generally includes a substantially planar upper surface having a plurality of bores partially formed therethrough.
  • a lower surface of intermediate membrane support member 1000 generally includes a tapered outer portion 1003 and a substantially planar inner membrane engaging surface 1006 .
  • An upper surface of lower support member 1012 may include a corresponding tapered portion configured to receive the tapered section 1003 of intermediate membrane support member 1000 thereon.
  • the membrane engaging surface 1006 generally includes a plurality of parallel positioned/orientated channels 1005 .
  • Each of the channels 1005 formed into the lower surface of intermediate membrane support member 1000 are in fluid communication with at least one of the plurality of bores partially formed through the planar upper surface.
  • the channels 1005 operate to allow a membrane positioned in the membrane support assembly to deform slightly upward or downward in the region of the channels 1005 , which provides a flow path for air bubbles and fluids to travel to the perimeter of the membrane and be evacuated from the first electrode chamber.
  • polishing cell 700 of the invention provides a small volume (electrolyte volume) processing cell that may be used for copper electrochemical polishing processes, for example.
  • Polishing cell 700 may be horizontally positioned or positioned in a tilted orientation, i.e., where one side of the cell is elevated vertically higher than the opposing side of the cell, as illustrated in FIG. 7 .
  • a tilted head assembly and substrate support member may be utilized to immerse the substrate at a constant immersion angle, i.e., immerse the substrate such that the angle between the substrate and the upper surface of the electrolyte does not change during the immersion process.
  • the immersion process may include a varying immersion velocity, i.e., an increasing velocity as the substrate becomes immersed in the electrolyte solution. The combination of the constant immersion angle and the varying immersion velocity operates to eliminate air bubbles on the substrate surface.
  • a substrate is first immersed into a polishing solution contained within inner basin 702 .
  • an electrical polishing bias is applied between a conductive material layer on the substrate and the electrode member 705 positioned in a lower portion of polishing cell 700 .
  • the electrical plating bias generally operates to cause metal ions to dissolute from the anodic substrate surface.
  • the polishing solution supplied to inner basin 702 is continually circulated through inner basin 702 via fluid inlet/outlets 709 . More particularly, the polishing solution may be introduced in polishing cell 700 via a fluid inlet 709 .
  • the solution may travel across the lower surface of base member 704 and upward through one of fluid apertures 806 .
  • the polishing solution may then be introduced into the first electrode chamber via a channel formed into polishing cell 700 that communicates with the first electrode chamber at a point above membrane support 706 .
  • the polishing solution may be removed from the first electrode chamber via a fluid drain positioned above membrane support 706 , where the fluid drain is in fluid communication with one of fluid drains 709 positioned on the lower surface of base member 704 .
  • base member 704 may include first and second fluid apertures 806 positioned on opposite sides of base member 404 .
  • the oppositely positioned fluid apertures 806 may operate to individually introduce and drain the polishing solution from the first electrode chamber in a predetermined direction, which also allows for directional flow control.
  • the flow control direction provides control over removal of light fluids at the lower membrane surface, removal of bubbles from the chamber, and assists in the removal fluids from the first electrode surface via the channels 802 formed into base 704 .
  • Diffusion plate 710 which is generally a ceramic or other porous disk shaped member, generally operates as a fluid flow restrictor to even out the flow pattern across the surface of the substrate. Further, the diffusion plate 710 operates to resistively damp electrical variations in the electrochemically active area the anode or cation membrane surface. Additionally, embodiments of the invention contemplate that the ceramic diffusion plate 710 may be replaced by a hydrophilic plastic member, i.e., a treated PE member, a PVDF member, a PP member, or other material that is known to be porous and provide the electrically resistive damping characteristics provided by ceramics.
  • a hydrophilic plastic member i.e., a treated PE member, a PVDF member, a PP member, or other material that is known to be porous and provide the electrically resistive damping characteristics provided by ceramics.
  • the polishing solution introduced into the second electrode chamber is not permitted to travel downward through the membrane (not shown) positioned on the lower surface 1006 of membrane support assembly 706 into the first electrode chamber, as the first electrode chamber is fluidly isolated from the second electrode chamber by the membrane.
  • the first electrode chamber includes separate individual fluid supply and drain sources configured to supply a polishing solution to the first electrode chamber.
  • the solution supplied to the first electrode chamber circulates exclusively through the first electrode chamber and does not diffuse or otherwise travel into the second electrode chamber, as the membrane positioned on membrane support assembly 706 is not fluid permeable in either direction.
  • the flow of the fluid solution into the first electrode chamber is directionally controlled in order to maximize polishing parameters.
  • the polishing solution may be communicated to the first electrode chamber via an individual fluid inlet 709 .
  • Fluid inlet 709 is in fluid communication with a fluid channel formed into a lower portion of base member 704 and the fluid channel communicates the substrate surface to one of apertures 805 .
  • a seal positioned radially outward of apertures 805 in conjunction with the surrounding structure, directs the polishing solution flowing out of apertures 805 upward and into slots 804 . Thereafter, the polishing solution generally travels across the upper surface of the electrode member 705 towards the opposing side of base member 704 , which in a tilted configuration, is generally the higher side of polishing cell 700 .
  • the polishing solution travels across the surface of the first electrode below the membrane positioned immediately above. Once the polishing solution reaches the opposing side of the electrode member 705 , it is received into a corresponding fluid channel 804 and drained from polishing cell 700 for recirculation thereafter.
  • slots 902 are generally parallel to each other and are orthogonal to channels 804 . Therefore, slots 902 are also orthogonal to channels 802 and formed into the lower surface of base member 704 . As such, each of slots 902 or finally intersect several of channels 802 .
  • This configuration allows the polishing solution received within slots 902 to be communicated to one or more of channels 802 . Thereafter, the polishing solution may be communicated via channels 802 to the annular drain channel 803 positioned within recess 801 .
  • the drain 803 in communication with channels 802 may generally be communicated through base plate 704 and back to a central supply tank, where the polishing solution may be treated and resupplied to the cell.
  • the polishing solution may be removed from the first electrode chamber via an air vent/drain 1101 , as illustrated in FIG. 11 .
  • Air vent/drain 1101 which may include multiple ports, is generally positioned on the upper side of electrochemical polishing cell 700 , and therefore, is positioned to receive contaminants generated at the membrane surface. Air vents 1101 are generally in fluid communication with the polishing solution tank discussed above, and therefore, communicates the diluted polishing solution received therein back to the polishing solution tank. Any bubbles trapped by air vent 1101 may also be removed from the second electrode chamber vented to atmosphere or simply maintained within the polishing solution tank and not recirculated into the anode chamber.
  • Substrates 122 may be polished in one embodiment using the systems 100 , 200 described herein.
  • the substrate 122 may comprise feature definitions formed in a dielectric material, a barrier layer deposited conformally over the dielectric layer and in the feature definitions, and a conductive layer disposed on the barrier to fill feature definitions.
  • the conductive layer may be tungsten, copper, a layer having both exposed tungsten and copper, and the like.
  • the barrier layer may be ruthenium, tantalum, tantalum nitride, titanium, titanium nitride and the like and the dielectric layer includes one or more dielectric layers including oxides, carbon doped silicon oxides, silicon carbide, silicon carbide derivatives including nitrogen/and/oxygen doped silicon carbide, and amorphous carbon as examples.
  • the polishing methods described herein may also be practiced on other electroprocessing systems.
  • the polishing methods may comprise a computer readable media and may be stored in the memory 112 of the controller 108 , typically as a software routine.
  • the software routine may also be stored and/or executed by a second CPU (not shown) that is remotely located from the hardware being controlled by the CPU 110 .
  • the process of the present invention is discussed as being implemented as a software routine, some of the method steps that are disclosed therein may be performed in hardware as well as by the software controller. As such, the invention may be implemented in software as executed upon a computer system, in hardware as an application specific integrated circuit or other type of hardware implementation, or a combination of software and hardware.
  • a substrate 122 is introduced into the system and transferred to an electropolishing cell 700 .
  • the conductive material for example, tungsten, which may be deposited to a thickness of 15,000 ⁇ above the level of the dielectric layer is removed in a first polishing step to a pre-determined level in the electropolishing cell 700 .
  • the first portion of the conductive material, the bulk portion is removed by an electropolishing process to leave a residual amount or thickness of conductive material, for example, a thickness of about 500 ⁇ .
  • the amount of material to be removed is based on the embodiment of the polishing process to be performed in the system and the selected material to be polished.
  • the conductive material may be removed to the extent the conductive material is discontinuous over the surface of the substrate. Such discontinuous conductive material may also be referred to as residual material.
  • the substrate 122 may then be transferred to the planarizing module 106 , 196 for subsequent polishing.
  • the conductive material of the substrate may be removed by a chemical mechanical polishing process that may be performed on one or more stations.
  • the residual conductive material may be polished in a CMP apparatus at station 128 , then polished to remove any remaining conductive material on a CMP apparatus at station 130 , followed by a CMP or Ecmp barrier removal process at station 132 .
  • the conductive material of the substrate may be removed by an electrochemical mechanical polishing process that may be performed on one or more stations.
  • the residual conductive material may be polished first in an Ecmp apparatus at station 128 , then polished to remove any remaining conductive material on an Ecmp apparatus at station 130 , followed by a CMP or Ecmp barrier removal process at station 132 .
  • the Ecmp apparatus may include the Ecmp apparatus described herein with regard to FIGS. 2-6 .
  • the conductive material of the substrate may be removed by chemical mechanical polishing, electrochemical chemical mechanical polishing, or both, that may be performed on one or more stations.
  • the residual conductive material may be polished first in an Ecmp apparatus at station 128 , then polished to remove any remaining conductive material on an Ecmp or CMP apparatus at station 130 , followed by a CMP or Ecmp barrier removal process at station 132 .
  • the residual material may be removed with a CMP process performed at a first station 128 followed by an Ecmp process on the same station using an apparatus adapted to perform both types of processes. The remaining barrier material may then be removed at a second station.
  • a portion of the barrier layer may be removed at the first station.
  • the residual material and a portion of the barrier material may be removed with a CMP or Ecmp process at a first station 128 followed by removing the remaining barrier material with an Ecmp or CMP process at a second station, such as station 132 .
  • any residual conductive material following the electropolishing cell process may be removed at either station 128 or 132 by a chemical mechanical polishing process or an electrochemical mechanical process followed by removing the remaining barrier material at another station, such as station 132 .
  • An Ecmp process may be performed as follows at one of the Ecmp stations 128 , 130 .
  • the substrate 122 is transferred from the electropolishing cell 700 to the planarizing module 106 and the substrate 122 retained in the planarizing head 204 over the processing pad assembly 222 disposed in, for example, the first Ecmp station 128 .
  • the pad assembly of FIGS. 2 , 3 A, 4 A-C and 5 is utilized in one embodiment it is contemplated that pad and contact assemblies as described in FIGS. 3B-C may alternatively be utilized.
  • the planarizing head 204 is lowered toward the platen assembly 222 to place the substrate 122 in contact with the top surface of the pad assembly 222 .
  • the substrate 122 is urged against the pad assembly 222 with a force of less than about 1.5 pounds per square inch (psi), for example, between about 0.1 psi and about 1 psi. In one embodiment, the force is about 0.3 psi.
  • Relative motion between the substrate 122 and processing pad assembly 222 is provided.
  • the planarizing head 204 is rotated between about 30 and about 60 revolutions per minute, while the pad assembly 222 is rotated between about 7 and about 35 revolutions per minute.
  • the polishing solution is an electrolyte that is supplied to the processing pad assembly 604 to establish a conductive path therethrough between the substrate 122 and the electrode 614 .
  • An example of a tungsten polishing solution is further described in U.S. patent application Ser. No. 10/948,958, entitled METHOD AND COMPOSITION FOR POLISHING A SUBSTRATE, filed on Sep. 24, 2004, published as U.S. 2006/0021974, which is incorporated by reference to the extent not inconsistent with the disclosure and claims aspects herein.
  • the power source 242 provides a bias voltage between the top surface of the pad assembly 222 and the electrode 292 .
  • One or more of the contact elements 250 of the pad assembly 222 are in contact with the substrate 122 and allows the voltage to be coupled thereto.
  • Electrolyte filling the apertures 210 between the electrode 292 and the substrate 122 provides a conductive path between the power source 242 and substrate 122 to drive an electrochemical mechanical planarizing process that results in the removal of the tungsten material, or other conductive film disposed on the substrate, by an anodic dissolution method.
  • the process generally has a tungsten removal rate of about 4000 ⁇ /min.
  • An endpoint of the Ecmp process is determined.
  • the endpoint may be determined using a first metric of processing provided by the meter 244 .
  • the meter 244 may provide charge, voltage or current information utilized to determine the remaining thickness of the conductive material (e.g., the tungsten or copper layer) on the substrate.
  • optical techniques such as an interferometer utilizing the sensor 254 , may be utilized.
  • the remaining thickness may be directly measured or calculated by subtracting the amount of material removed from a predetermined starting film thickness.
  • the endpoint is determined by comparing the charge removed from the substrate to a target charge amount for a predetermined area of the substrate. Examples of endpoint techniques that may be utilized are described in U.S. patent application Ser. No.
  • One example of a substrate handling process comprises introducing a substrate 122 into a wafer cassette 118 disposed on the factory interface 102 .
  • the substrate is then retrieved by the interface robot 120 and in one embodiment transferred to an electropolishing cell 190 A, 190 B, or 190 C disposed on the factory interface 102 .
  • the substrate is cleaned in the cleaner module 116 .
  • the substrate may be cleaned in the cleaner module prior to introduction into the cell 190 A, 190 B, or 190 C.
  • the substrate 122 is then transferred to the planarizing module 106 via the input robot 104 .
  • the polishing head 148 may retrieve the substrate 122 from a load cup 142 and transfer the substrate to the first station 128 for processing as described herein.
  • the substrate 122 may then be transferred and processed on one or more additional stations as necessary.
  • the electropolishing cell 190 D is positioned on the planarizing module 106 , the substrate is then transferred to the electropolishing cell 190 D prior to polishing at one of the stations 128 , 130 , and 132 described herein. After processing, the substrate can be removed from the planarizing module 106 , transferred to the cleaner module 116 , and then returned to the cassettes 118 for retrieval from the system 100 .

Abstract

Methods and apparatus are provided for processing a substrate in an electrochemical mechanical planarizing system. An apparatus is provided for processing a substrate including a planarizing module, at least one electrochemical mechanical polishing station disposed on the planarizing module, at least one polishing head disposed above the planarizing module and the at least one polishing head adapted to selectively lower a substrate retained in the polishing head to the electrochemical mechanical polishing station, a factory interface disposed adjacent both the planarizing module, a loading robot disposed between the factory interface and the planarizing module, and an electrochemical polishing station disposed on or adjacent the planarizing module, the factory interface, or a combination thereof. The electrochemical polishing station may be disposed on the planarizing module, adjacent the planarizing module, in the factory interface, adjacent the factory interface, or between the planarizing module and the factory interface.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application claims benefit of U.S. provisional patent application Ser. No. 60/785,323, filed Mar. 23, 2006, which is herein incorporated by reference.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • Embodiments of the present invention generally relate to a method and apparatus for electrochemical processing of a substrate.
  • 2. Description of the Related Art
  • Electrochemical mechanical planarizing (Ecmp) is a technique used to remove conductive materials from a substrate surface by electrochemical dissolution while concurrently polishing the substrate with reduced mechanical abrasion compared to conventional planarization processes. Ecmp systems may generally be adapted for deposition of conductive material on the substrate by reversing the polarity of the bias. Electrochemical dissolution is performed by applying a bias between a second electrode and a substrate surface to remove conductive materials from the substrate surface into a surrounding electrolyte. Typically, the bias is applied to the substrate surface by a conductive polishing material on which the substrate is processed. A mechanical component of the polishing process is performed by providing relative motion between the substrate and the conductive polishing material that enhances the removal of the conductive material from the substrate.
  • In many conventional systems, Ecmp of the conductive film is followed by conventional chemical mechanical processing for barrier removal. This dichotomy of processing (e.g., Ecmp and CMP on a single system) requires divergent utilities and process consumables, resulting in higher cost of ownership. Moreover, as most Ecmp processes utilize lower contact pressure between the substrate being processed and a processing surface, the heads utilized to retain the substrate during processing do not provide robust processing performance when utilized for conventional CMP processes, which typically have high contact pressures, which results in high erosion of conductive material disposed in trenches or other features. As the removal rate of low pressure conventional CMP barrier layer processing is generally less than about 100 Å/min, conventional CMP processing of barrier materials using low pressure is not suitable for large scale commercialization. Thus, it would be advantageous for a system to be enabled to remove barrier materials, such as ruthenium, tantalum, tantalum nitride, titanium, titanium nitride and the like, through an electrochemical process.
  • Thus, there is a need for an improved method and apparatus for electrochemical processing of metal and barrier materials.
  • SUMMARY OF THE INVENTION
  • Embodiments of the invention as recited in the claims generally provide an apparatus for processing a substrate in an electrochemical mechanical planarizing system. In certain embodiments, an apparatus is provided for processing a substrate including a planarizing module, at least one electrochemical mechanical polishing station disposed on the planarizing module, at least one polishing head disposed above the planarizing module and the at least one polishing head adapted to selectively lower a substrate retained in the polishing head to the electrochemical mechanical polishing station, a factory interface disposed adjacent the planarizing module, a loading robot disposed adjacent both the factory interface and the planarizing module, and an electrochemical polishing station disposed on or adjacent the planarizing module, the factory interface, or a combination thereof. The electrochemical polishing station may be disposed on the planarizing module, adjacent the planarizing module, in the factory interface, adjacent the factory interface, or between the planarizing module and the factory interface.
  • In certain embodiments, a method of polishing a substrate comprising feature definitions formed in a dielectric material, a barrier layer deposited conformally over the dielectric layer and in the feature definitions, and a conductive layer disposed on the barrier layer to fill the feature definitions is provided. A system comprising a factory interface, an electrochemical polishing station, and a planarizing module containing three electrochemical mechanical polishing stations is provided. A substrate is introduced to the electrochemical polishing station configured to remove conductive material from a substrate in a mechanical free polishing process by the application of a bias in the presence of a conductive polishing solution to remove conductive material by anodic dissolution. A bulk portion of the conductive layer is removed from the substrate. The substrate is transferred to the first electrochemical mechanical polishing station. The substrate is polished to remove a bulk portion of the conductive layer from the substrate. The substrate is transferred to the second electrochemical mechanical polishing station. Any remaining conductive material is removed from the substrate to expose the barrier layer. The substrate is transferred to the third electrochemical mechanical polishing station and the barrier layer is removed from the substrate.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited embodiments of the invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIG. 1A is a plan view of one embodiment of an electrochemical mechanical planarizing system;
  • FIG. 1B is a plan view of another embodiment of an electrochemical mechanical planarizing system;
  • FIG. 2 is a sectional view of one embodiment of a first electrochemical mechanical planarizing (Ecmp) station of the system of FIG. 1;
  • FIG. 3A is a partial sectional view of the bulk Ecmp station through two contact assemblies;
  • FIGS. 3B-C are sectional views of alternative embodiments of contact assemblies;
  • FIGS. 3D-E are sectional views of plugs;
  • FIGS. 4A-B are side, exploded and sectional views of one embodiment of a contact assembly;
  • FIG. 5 is one embodiment of a contact element;
  • FIG. 6 is a perspective view of another embodiment of another Ecmp station;
  • FIG. 7 illustrates a partial sectional perspective view of an exemplary electrochemical polishing cell of the invention.
  • FIG. 8 illustrates a perspective view of a first electrode/second electrode base plate of the invention.
  • FIG. 9 illustrates a perspective view of an exemplary first electrode/second electrode base plate of the invention having a first electrode positioned therein.
  • FIG. 10 illustrates an exploded perspective view of an exemplary membrane support member of the invention.
  • FIG. 11 illustrates a partial sectional view of an edge of the polishing cell of the invention.
  • To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. It is contemplated that elements and/or process steps of one or more embodiments may be beneficially incorporated in one or more other embodiments without additional recitation.
  • DETAILED DESCRIPTION
  • Embodiments for a system and method for removal of conductive and barrier materials from a substrate are provided. Although the embodiments disclosed below focus primarily on removing material from, e.g., planarizing, a substrate, it is contemplated that the teachings disclosed herein may be used to electroplate a substrate by reversing the polarity of an electrical bias applied between the substrate and an electrode of the system.
  • FIG. 1A is a plan view of one embodiment of a planarization system 100 having an apparatus for electrochemically processing a substrate. The exemplary system 100 generally comprises a factory interface 102, a loading robot 104, and a planarizing module 106. The loading robot 104 is disposed proximate the factory interface 102 and the planarizing module 106 to facilitate the transfer of substrates 122 therebetween.
  • A controller 108 is provided to facilitate control and integration of the modules of the system 100. The controller 108 comprises a central processing unit (CPU) 110, a memory 112, and support circuits 114. The controller 108 is coupled to the various components of the system 100 to facilitate control of, for example, the planarizing, cleaning, and transfer processes.
  • The factory interface 102 generally includes a cleaning module 116 and one or more wafer cassettes 118. An interface robot 120 is employed to transfer substrates 122 between the wafer cassettes 118, the cleaning module 116 and an input module 124. The input module 124 is positioned to facilitate transfer of substrates 122 between the planarizing module 106 and the factory interface 102 by grippers, for example vacuum grippers or mechanical clamps.
  • The planarizing module 106 includes at least a first electrochemical mechanical planarizing (Ecmp) station 128, disposed in an environmentally controlled enclosure 188. Examples of planarizing modules 106 that can be adapted to benefit from the invention include MIRRA®, MIRRA MESA™, REFLEXION®, REFLEXION® LK, and REFLEXION LK Ecmp™ Chemical Mechanical Planarizing Systems, all available from Applied Materials, Inc. of Santa Clara, Calif. Other planarizing modules, including those that use processing pads, planarizing webs, or a combination thereof, and those that move a substrate relative to a planarizing surface in a rotational, linear or other planar motion may also be adapted to benefit from the invention.
  • In the embodiment depicted in FIG. 1, the planarizing module 106 includes the first Ecmp station 128, a second Ecmp station 130, and a third Ecmp station 132. Bulk removal of conductive material disposed on the substrate 122 may be performed through an electrochemical dissolution process at the first Ecmp station 128. After the bulk material removal at the first Ecmp station 128, the remaining conductive material is removed from the substrate at the second Ecmp station 130 through a multi-step electrochemical mechanical process, wherein part of the multi-step process is configured to remove residual conductive material. It is contemplated that more than one Ecmp station may be utilized to perform the multi-step removal process after the bulk removal process performed at a different station. Alternatively, each of the first and second Ecmp stations 128, 130 may be utilized to perform both the bulk and multi-step conductive material removal on a single station. It is also contemplated that all Ecmp stations (for example 3 stations of the module 106 depicted in FIG. 1) may be configured to process the conductive layer with a two step removal process.
  • Alternatively, a chemical mechanical polishing (CMP) station may be substituted for one or more of the Ecmp stations 128, 130, and 132. It is contemplated that other CMP processes may be alternatively performed. As the CMP stations 132 are conventional in nature, further description thereof has been omitted for the sake of brevity.
  • The exemplary planarizing module 106 also includes a transfer station 136 and a carousel 134 that are disposed on an upper or first side 138 of a machine base 140. In one embodiment, the transfer station 136 includes an input buffer station 142, an output buffer station 144, a transfer robot 146, and a load cup assembly 148. The input buffer station 142 receives substrates from the factory interface 102 by means of the loading robot 104. The loading robot 104 is also utilized to return polished substrates from the output buffer station 144 to the factory interface 102. The transfer robot 146 is utilized to move substrates between the buffer stations 142, 144 and the load cup assembly 148.
  • In certain embodiments, the transfer robot 146 includes two gripper assemblies, each having pneumatic gripper fingers that hold the substrate by the substrate's edge. The transfer robot 146 may simultaneously transfer a substrate to be processed from the input buffer station 142 to the load cup assembly 148 while transferring a processed substrate from the load cup assembly 148 to the output buffer station 144. An example of a transfer station that may be used to advantage is described in U.S. Pat. No. 6,156,124, entitled WAFER TRANSFER STATION FOR A CHEMICAL MECHANICAL POLISHER, issued Dec. 5, 2000 to Tobin, which is herein incorporated by reference in its entirety.
  • The carousel 134 is centrally disposed on the base 140. The carousel 134 typically includes a plurality of arms 150, each supporting a planarizing head assembly 152. Two of the arms 150 depicted in FIG. 1 are shown in phantom such that the transfer station 136 and a planarizing surface 126 of the first Ecmp station 128 may be seen. The carousel 134 is indexable such that the planarizing head assemblies 152 may be moved between the planarizing stations 128, 130, 132 and the transfer station 136. One carousel that may be utilized to advantage is described in U.S. Pat. No. 5,804,507, issued Sep. 8, 1998 to Perlov, et al., which is hereby incorporated by reference in its entirety.
  • A conditioning device 182 is disposed on the base 140 adjacent each of the planarizing stations 128, 130, 132. The conditioning device 182 periodically conditions the planarizing material disposed in the stations 128, 130, 132 to maintain uniform planarizing results.
  • An electropolishing cell 700 as described herein as follows and in FIGS. 7-11 may be disposed on the system 100. The electropolishing cell 700 allows for removal of conductive material from the substrate in a mechanical free polishing process by the application of bias in the presence of a conductive electrolyte solution to remove conductive material by anodic dissolution. An example of a suitable cell for electropolishing is the SlimCell ECP™ commercially available from Applied Materials, of Santa Clara, Calif.
  • The electropolishing cell may be position in several areas of the system 100 as noted as 190A, 190B, 190C, 190D, 190E, 190F, and 190G, among others. In other embodiments of the system 100, multiple electropolishing cells may be used and positioned in various places around the system 100. Preferably, the electropolishing cell is disposed adjacent both a cleaning station/load cup and a robot for substrate transfer. The electropolishing cell 700 is generally attached to the system 100 to provide for efficient processing of the substrate within the system 100 without breaking the clean environment of the system.
  • The electropolishing cell 190A may be positioned adjacent the wafer cassettes 118 and interface robot 120 of the factory interface 102. The electropolishing cell 190B may be positioned adjacent the cleaning module 116 of the factory interface 102. Alternatively, the electropolishing cell 190C may be positioned within the cleaning module 116 as shown with broken lines. In such a position in or adjacent the cleaning module 116, the electropolishing of the substrate may be performed in conjunction with cleaning of the substrate before transfer to the planarizing module 106. In an alternative position of the electropolishing cell 700 in the system 100, the electropolishing cell 190D may be positioned on the planarizing module 106. In such an embodiment, the electropolishing cell 700 may be disposed adjacent the transfer station 136 and an Ecmp station, such as Ecmp station 132, as shown in FIG. 1, or Ecmp station 128. Alternatively, the electropolishing cell 190D may be positioned between two Ecmp stations, for example, between the second Ecmp station 130 and the third Ecmp station 132 or between the frist Ecmp staion 128 and the second Ecmp station 130.
  • FIG. 1B is a plan view of another embodiment of a planarization system 200 having an apparatus for electrochemically processing a substrate. The exemplary system 200 generally comprises a factory interface 192, a loading robot 194, and a planarizing module 196. The cleaning module 116 of the factory interface 192 has the cleaning module 116 positioned in parallel with the loading robot 194 rather than the perpendicular position of the cleaning module 116 in the system 100 of FIG. 1A. The factory interface comprises an input module disposed adjacent the cassettes 118, and a cleaning module 116 disposed adjacent the input module. The loading robot 194 is positioned within the factory interface 192 on a running beam 195 to allow movement between the interface robot 120, the cleaning module 116, and the planarizing module 196 as well as another other devices or processing cells disposed in the factory interface 192 to facilitate the transfer of substrates 122 therebetween.
  • The electropolishing cell 700 of the system 200 may be positioned adjacent the wafer cassettes 118 as shown as polishing cell 190F adjacent the interface robot 120 of the factory interface 102. The electropolishing cell 190E may be positioned adjacent the cleaning module 116 of the factory interface 102. Alternatively, the electropolishing cell 190E may be positioned on the cleaning module 116 or in a stack with another device in the factory interface. In an alternative position of the electropolishing cell 700 in the system 200, the electropolishing cell 190G may be positioned on the planarizing module 196. In such an embodiment, the electropolishing cell 190G may be disposed in the position of the transfer station 136 or adjacent any transfer station, such as transfer station 136 shown in FIG. 1A disposed on the system 200. Additionally, electropolishing cell 190G may be positioned between any transfer station and an Ecmp station, such as Ecmp station 132 shown in FIG. 1B or Ecmp station 128. Alternatively, the electropolishing cell 190G may be positioned between two Ecmp stations.
  • FIG. 2 depicts a sectional view of one of the planarizing head assemblies 152 positioned over one embodiment of the first Ecmp station 128. The second and third Ecmp stations 130, 132 may be similarly configured. The planarizing head assembly 152 generally comprises a drive system 202 coupled to a planarizing head 204. The drive system 202 generally provides at least rotational motion to the planarizing head 204. The planarizing head 204 additionally may be actuated toward the first Ecmp station 128 such that the substrate 122 retained in the planarizing head 204 may be disposed against the planarizing surface 126 of the first Ecmp station 128 during processing. The drive system 202 is coupled to the controller 108 that provides a signal to the drive system 202 for controlling the rotational speed and direction of the planarizing head 204.
  • In certain embodiments, the planarizing head may be a TITAN HEAD™ or TITAN PROFILER™ wafer carrier manufactured by Applied Materials, Inc. Generally, the planarizing head 204 comprises a housing 214 and retaining ring 224 that defines a center recess in which the substrate 122 is retained. The retaining ring 224 circumscribes the substrate 122 disposed within the planarizing head 204 to prevent the substrate from slipping out from under the planarizing head 204 while processing. The retaining ring 224 can be made of plastic materials such as PPS, PEEK, and the like, or conductive materials such as stainless steel, Cu, Au, Pd, and the like, or some combination thereof. It is further contemplated that a conductive retaining ring 224 may be electrically biased to control the electric field during Ecmp. Conductive or biased retaining rings tend to slow the polishing rate proximate the edge of the substrate. It is contemplated that other planarizing heads may be utilized.
  • The first Ecmp station 128 generally includes a platen assembly 230 that is rotationally disposed on the base 140. The platen assembly 230 is supported above the base 140 by a bearing 238 so that the platen assembly 230 may be rotated relative to the base 140. An area of the base 140 circumscribed by the bearing 238 is open and provides a conduit for the electrical, mechanical, pneumatic, control signals and connections communicating with the platen assembly 230.
  • Conventional bearings, rotary unions and slip rings, collectively referred to as rotary coupler 276, are provided such that electrical, mechanical, fluid, pneumatic, control signals and connections may be coupled between the base 140 and the rotating platen assembly 230. The platen assembly 230 is typically coupled to a motor 232 that provides the rotational motion to the platen assembly 230. The motor 232 is coupled to the controller 108 that provides a signal for controlling for the rotational speed and direction of the platen assembly 230.
  • A top surface 260 of the platen assembly 230 supports a processing pad assembly 222 thereon. The processing pad assembly may be coupled with the platen assembly 230 by magnetic attraction, vacuum, clamps, adhesives and the like.
  • A plenum 206 is defined in the platen assembly 230 to facilitate uniform distribution of electrolyte to the planarizing surface 126. A plurality of passages, described in greater detail below, are formed in the platen assembly 230 to allow electrolyte, provided to the plenum 206 from an electrolyte source 248, to flow uniformly though the platen assembly 230 and into contact with the substrate 122 during processing. It is contemplated that different electrolyte compositions may be provided during different stages of processing or at different Ecmp stations 128, 130, 132.
  • The processing pad assembly 222 includes an electrode 292 and at least a planarizing portion 290. The electrode 292 comprises a conductive material, such as stainless steel, copper, aluminum, gold, silver and tungsten, among others. The electrode 292 may be solid, impermeable to electrolyte, permeable to electrolyte or perforated. At least one contact assembly 250 extends above the processing pad assembly 222 and is adapted to electrically couple the substrate being processing on the processing pad assembly 222 to the power source 242. The electrode 292 is also coupled to the power source 242 so that an electrical potential may be established between the substrate and electrode 292.
  • A meter 244 is provided to detect a metric indicative of the electrochemical process. The meter 244 may be coupled or positioned between the power source 242 and at least one of the electrode 292 or contact assembly 250. The meter 244 may also be integral to the power source 242. In one embodiment, the meter 244 is configured to provide the controller 108 with a metric indicative of processing, such as a charge, current and/or voltage. This metric may be utilized by the controller 108 to adjust the processing parameters in-situ or to facilitate endpoint or other process stage detection.
  • A window 246 is provided through the pad assembly 222 and/or platen assembly 230, and is configured to allow a sensor 254, positioned below the pad assembly 222, to sense a metric indicative of polishing performance. For example, the sensor 254 may be an eddy current sensor or an interferometer, among other sensors. The metric, provided by the sensor 254 to the controller 108, provides information that may be utilized for processing profile adjustment in-situ, endpoint detection or detection of another point in the electrochemical process. In one embodiment, the sensor 254 an interferometer capable of generating a collimated light beam, which during processing, is directed at and impinges on a side of the substrate 122 that is being polished. The interference between reflected signals is indicative of the thickness of the conductive layer of material being processed. One sensor that may be utilized to advantage is described in U.S. Pat. No. 5,893,796, entitled FORMING A TRANSPARENT WINDOW IN A POLISHING PAD FOR A CHEMICAL MECHANICAL POLISHING APPARATUS, issued Apr. 13, 1999, to Birang, et al., which is hereby incorporated by reference in its entirety.
  • Embodiments of the processing pad assembly 222 suitable for removal of conductive material from the substrate 122 may generally include a planarizing surface 126 that is substantially dielectric. Other embodiments of the processing pad assembly 222 suitable for removal of conductive material from the substrate 122 may generally include a planarizing surface 126 that is substantially conductive. At least one contact assembly 250 is provided to couple the substrate with the power source 242 so that the substrate may be biased relative to the electrode 292 during processing. Apertures 210, formed through the planarizing portion 290, allow the electrolyte to establish a conductive path between the substrate 122 and electrode 292.
  • In one embodiment, the planarizing portion 290 of the processing pad assembly 222 is a dielectric, such as polyurethane. Examples of processing pad assemblies that may be adapted to benefit from the invention are described in U.S. Pat. No. 6,991,528, entitled CONDUCTIVE PLANARIZING ARTICLE FOR ELECTROCHEMICAL MECHANICAL PLANARIZING, Jan. 31, 2006, and U.S. patent application Ser. No. 10/455,895, filed Jun. 6, 2003 by Y. Hu et al., entitled CONDUCTIVE PLANARIZING ARTICLE FOR ELECTROCHEMICAL MECHANICAL PLANARIZING, both of which are hereby incorporated by reference in their entireties.
  • FIG. 3A is a partial sectional view of the first Ecmp station 128 through two contact assemblies 250, and FIGS. 4A-C are side, exploded and sectional views of one of the contact assemblies 250 shown in FIG. 3A. The platen assembly 230 includes at least one contact assembly 250 projecting therefrom and coupled to the power source 242 that is adapted to bias a surface of the substrate 122 during processing. The contact assemblies 250 may be coupled to the platen assembly 230, part of the processing pad assembly 222, or a separate element. Although two contact assemblies 250 are shown in FIG. 3A, any number of contact assemblies may be utilized and may be distributed in any number of configurations relative to the centerline of the platen assembly 230.
  • The contact assemblies 250 are generally electrically coupled with the power source 242 through the platen assembly 230 and are movable to extend at least partially through respective apertures 368 formed in the processing pad assembly 222. The positions of the contact assemblies 250 may be chosen to have a predetermined configuration across the platen assembly 230. For predefined processes, individual contact assemblies 250 may be repositioned in different apertures 368, while apertures not containing contact assemblies may be plugged with a stopper 392 or filled with a nozzle 394 (as shown in FIGS. 3D-E) that allows flow of electrolyte from the plenum 206 to the substrate. One contact assembly that may be adapted to benefit from the invention is described in U.S. Pat. No. 6,854,153, entitled APPARATUS FOR ELECTROCHEMICAL PROCESSING, issued Apr. 26, 2005, and is hereby incorporated by reference in its entirety.
  • Although the embodiments of the contact assembly 250 described below with respect to FIG. 3A depicts a rolling ball contact, the contact assembly 250 may alternatively comprise a structure or assembly having a conductive upper layer or surface suitable for electrically biasing the substrate 122 during processing. For example, as depicted in FIG. 3B, the contact assembly 250 may include a pad structure 350 having an upper layer 352 made from a conductive material or a conductive composite (i.e., the conductive elements are dispersed integrally with or comprise the material comprising the upper surface), such as a polymer matrix 354 having conductive particles 356 dispersed therein or a conductive coated fabric, among others. The pad structure 350 may include one or more of the apertures 210 formed therethrough for electrolyte delivery to the upper surface of the pad assembly. Other examples of suitable contact assemblies are described in U.S. patent application Ser. No. 10/880,752, filed Jun. 30, 2004, entitled METHOD AND APPARATUS FOR ELECTROCHEMICAL MECHANICAL PROCESSING, which is hereby incorporated by reference in its entirety.
  • In certain embodiments, each of the contact assemblies 250 includes a hollow housing 302, an adapter 304, a ball 306, a contact element 314 and a clamp bushing 316. The ball 306 has a conductive outer surface and is movably disposed in the housing 302. The ball 306 may be disposed in a first position having at least a portion of the ball 306 extending above the planarizing surface 126 and at least a second position where the ball 306 is substantially flush with the planarizing surface 126. It is also contemplated that the ball 306 may move completely below the planarizing surface 126. The ball 306 is generally suitable for electrically coupling the substrate 122 to the power source 242. It is contemplated that a plurality of balls 306 for biasing the substrate may be disposed in a single housing 358 as depicted in FIG. 3C.
  • The power source 242 generally provides a positive electrical bias to the ball 306 during processing. Between planarizing substrates, the power source 242 may optionally apply a negative bias to the ball 306 to minimize attack on the ball 306 by process chemistries.
  • The housing 302 is configured to provide a conduit for the flow of electrolyte from the source 248 to the substrate 122 during processing. The housing 302 is fabricated from a dielectric material compatible with process chemistries. A seat 326 formed in the housing 302 prevents the ball 306 from passing out of the first end 308 of the housing 302. The seat 326 optionally may include one or more grooves 348 formed therein that allow fluid flow to exit the housing 302 between the ball 306 and seat 326. Maintaining fluid flow past the ball 306 may minimize the propensity of process chemistries to attack the ball 306.
  • The contact element 314 is coupled between the clamp bushing 316 and the adapter 304. The contact element 314 is generally configured to electrically connect the adapter 304 and ball 306 substantially or completely through the range of ball positions within the housing 302. In certain embodiments, the contact element 314 may be configured as a spring form.
  • In the embodiment depicted in FIGS. 3 and 4A-C and detailed in FIG. 5, the contact element 314 includes an annular base 342 having a plurality of flexures 344 extending therefrom in a polar array. The flexure 344 is generally fabricated from a resilient and conductive material suitable for use with process chemistries. In certain embodiments, the flexure 344 is fabricated from gold plated beryllium copper.
  • Returning to FIGS. 3A and 4A-B, the clamp bushing 316 includes a flared head 424 having a threaded post 426 extending therefrom. The clamp bushing 316 may be fabricated from either a dielectric or conductive material, or a combination thereof, and in certain embodiments, is fabricated from the same material as the housing 302. The flared head 424 maintains the flexures 344 at an acute angle relative to the centerline of the contact assembly 250 so that the flexures 344 of the contact elements 314 are positioned to spread around the surface of the ball 306 to prevent bending, binding and/or damage to the flexures 344 during assembly of the contact assembly 250 and through the range of motion of the ball 306.
  • The ball 306 may be solid or hollow and is typically fabricated from a conductive material. For example, the ball 306 may be fabricated from a metal, conductive polymer or a polymeric material filled with conductive material, such as metals, conductive carbon or graphite, among other conductive materials. Alternatively, the ball 306 may be formed from a solid or hollow core that is coated with a conductive material. The core may be non-conductive and at least partially coated with a conductive covering.
  • The ball 306 is generally actuated toward the planarizing surface 126 by at least one of spring, buoyant or flow forces. In the embodiment depicted in FIG. 3, flow through the passages formed through the adapter 304 and clamp bushing 316 and the platen assembly 230 from the electrolyte source 248 urge the ball 306 into contact with the substrate during processing.
  • FIG. 6 is a sectional view of one embodiment of the second Ecmp station 130. The first and third Ecmp stations 128, 132 may be configured similarly. The second Ecmp station 130 generally includes a platen 602 that supports a fully conductive processing pad assembly 604. The platen 602 may be configured similar to the platen assembly 230 described above to deliver electrolyte through the processing pad assembly 604, or the platen 602 may have a fluid delivery arm (not shown) disposed adjacent thereto configured to supply electrolyte to a planarizing surface of the processing pad assembly 604. The platen assembly 602 includes at least one of a meter 244 or sensor 254 (shown in FIG. 2) to facilitate endpoint detection.
  • In one embodiment, the processing pad assembly 604 includes interposed pad 612 sandwiched between a conductive pad 610 and an electrode 614. The conductive pad 610 is substantially conductive across its top processing surface and is generally made from a conductive material or a conductive composite (i.e., the conductive elements are dispersed integrally with or comprise the material comprising the planarizing surface), such as a polymer matrix having conductive particles dispersed therein or a conductive coated fabric, among others. The conductive pad 610, the interposed pad 612, and the electrode 614 may be fabricated into a single, replaceable assembly. The processing pad assembly 604 is generally permeable or perforated to allow electrolyte to pass between the electrode 614 and top surface 620 of the conductive pad 610. In the embodiment depicted in FIG. 6, the processing pad assembly 604 is perforated by apertures 622 to allow electrolyte to flow therethrough. In one embodiment, the conductive pad 610 is comprised of a conductive material disposed on a polymer matrix disposed on a conductive fiber, for example, tin particles in a polymer matrix disposed on a woven copper coated polymer. The conductive pad 610 may also be utilized for the contact assembly 250 in the embodiment of FIG. 3C.
  • A conductive foil 616 may additionally be disposed between the conductive pad 610 and the subpad 612. The foil 616 is coupled with a power source 242 and provides uniform distribution of voltage applied by the source 242 across the conductive pad 610. In certain embodiments not including the conductive foil 616, the conductive pad 610 may be coupled directly, for example, via a terminal integral to the pad 610, to the power source 242. Additionally, the pad assembly 604 may include an interposed pad 618, which, along with the foil 616, provides mechanical strength to the overlying conductive pad 610. Examples of suitable pad assemblies are described in the previously incorporated U.S. Patent No. 6,991,528 and U.S. patent application Ser. No. 10/455,895.
  • The Electropolishing Cell
  • The electropolishing cell 700 provides an electrochemical polishing and polishing cell configured to remove and/or deposit metal onto semiconductor substrates. A polishing cell capable of electropolishing may be used as the electropolishing cell 700. An example of such a processing cell is a SlimCell ECP™ processing chamber commercially available from Applied Materials, Inc., of Santa Clara, Calif. The following apparatus description is illustrative, and should not be construed or interpreted as limiting the scope of the invention.
  • One embodiment of the electropolishing cell 700 for the system described herein uses a small volume cell, i.e., a cell weir volume that houses less than about 4 liters of electrolyte in the cell itself, preferably between about 1 and 3 liters, and potentially between about 2 and about 8 liters of electrolyte solution in an adjacent fluidly connected supply tank. The electrochemical polishing cell 700 is generally configured to fluidly isolate a first electrode and a second electrode, for example, the substrate surface that is to be electropolished, from each other via a cation membrane positioned between the substrate being processed and the first and second electrodes of the polishing cell. Additionally, the polishing cell of the invention is generally configured to provide a first fluid solution to a first electrode compartment, i.e., the volume between the upper surface of the first electrode and the lower surface of the membrane, and a second fluid solution (a polishing solution) to the second electrode compartment, i.e., the volume of fluid positioned above the upper membrane surface. Alternatively, the same fluid solution, polishing composition, may be supplied to the first and second compartments. Optionally, the first electrode of the polishing cell generally includes a plurality of slots formed therein, the plurality of slots being positioned parallel to each other. A membrane support having a plurality of slots or channels formed in a first side of the assembly, along with a plurality of bores formed into a second side of the membrane support, wherein the plurality of bores are in fluid communication with the slots on the opposing side of the membrane support.
  • FIG. 7 illustrates a perspective and partial sectional view of an exemplary electrochemical polishing cell 700 of the invention. Polishing cell 700 generally includes an outer basin 701 and an inner basin 702 positioned within outer basin 701. Inner basin 702 is generally configured to contain a solution that is used to polish a metal, e.g., copper, onto a substrate during an electrochemical polishing process. During the polishing process, the polishing solution is generally continuously supplied to inner basin 702 (at about 1 gallon per minute for a 10 liter polishing cell, for example), and therefore, the polishing solution continually overflows the uppermost point of inner basin 702 and runs into outer basin 701. The overflow polishing solution is then collected by outer basin 701 and drained therefrom for recirculation into basin 702. Optionally, and illustrated in FIG. 7, the polishing cell 700 may be positioned at a tilt angle, i.e., the frame portion 703 of polishing cell 700 is generally elevated on one side such that the components of polishing cell 700 are tilted between about 3° and about 30°. Therefore, in order to contain an adequate depth of polishing solution within inner basin 702 during plating operations, the uppermost portion of basin 702 may be extended upward on one side of polishing cell 700, such that the uppermost point of inner basin 702 is generally horizontal and allows for contiguous overflow of the polishing solution supplied thereto around the perimeter of basin 702.
  • The frame member 703 of polishing cell 700 generally includes an annular base member 704 secured to frame member 703. Since frame member 703 is elevated on one side, the upper surface of base member 704 is generally tilted from the horizontal at an angle that corresponds to the angle of frame member 703 relative to a horizontal position. Base member 704 includes an annular or disk shaped recess formed therein, the annular recess being configured to receive a disk shaped electrode member 705. Base member 704 further includes a plurality of fluid inlets/drains 709 positioned on a lower surface thereof. Each of the fluid inlets/drains 709 are generally configured to individually supply or drain a fluid to or from either the first electrode compartment or the second electrode compartment of polishing cell 700.
  • In a polishing configuration, the electrode member 705 generally includes a plurality of slots 707 formed therethrough, wherein the slots 707 are generally positioned in parallel orientation with each other across the surface of the electrode member 705. The parallel orientation allows for the electrolyte to flow downwardly across the first electrode surface and into one of the slots 707. Polishing cell 700 further includes a membrane support assembly 706. Membrane support assembly 706 is generally secured at an outer periphery thereof to base member 704, and includes an interior region 708 configured to allow fluids to pass therethrough via a sequence of oppositely positioned slots and bores. The membrane support assembly may include an o-ring type seal positioned near a perimeter of the membrane, wherein the seal is configured to prevent fluids from traveling from one side of the membrane secured on the membrane support 706 to the other side of the membrane.
  • FIG. 8 illustrates a perspective view of base member 704. The upper surface of base member 704 generally includes an annular recess 801 configured to receive a disk shaped electrode member 705 in the recessed portion. Further, the surface of annular recess 801 generally includes a plurality of channels 802 formed therein. Each of channels 802 are generally positioned in parallel orientation with each other and terminate at the periphery of recess region 801. Additionally, the periphery of recessed region 801 also includes an annular drain channel 803 that extends around the perimeter of recessed region 801. Each of the plurality of parallel positioned channels 802 terminate at opposing ends into annular drain channel 803. Therefore, channels 802 may receive fluids from channels 802 and transmit the fluids to a drain channel 803 via base channels 802. The vertical wall that defines recessed region 801 generally includes a plurality of slots 804 formed into the wall. The slots 804 are generally positioned in parallel orientation with each other, and further, are generally positioned in parallel orientation with the plurality of channels 802 formed into the lower surface of recessed region 801. Base member 704 also includes at least one fluid supply conduit 805 configured to dispense a fluid into the first electrode region of polishing cell 700, along with at least one polishing solution supply conduit 806 that is configured to dispense a polishing solution into the an electrode compartment of polishing cell 700. The respective supply conduits 805 and 806 are generally in fluid communication with at least one fluid inlet 709 positioned on a lower surface of base member 704, as illustrated in FIG. 7. Base member 704 generally includes a plurality of conduits formed therethrough (not shown), wherein the conduits are configured to direct fluids received by individual fluid inlet 709 to the respective electrode chambers of polishing cell 700.
  • In one optional embodiment of the invention, FIG. 9 illustrates a perspective view of base member 704 having the disk shaped electrode member 705 positioned therein. The electrode member 705, which is generally a disk shaped member, i.e., a insoluble-type generally used to support electrochemical polishing operations, generally includes a plurality of slots 902 formed therein. The slots 902 generally extend through the interior of the electrode member 705 and are in fluid communication with both the upper surface and the lower surface of the electrode member 705. As such, slots 902 allow fluids to travel through the interior of the electrode member 705 from the upper surface to the lower surface. Slots 902 are positioned in parallel orientation with each other. However, when the electrode member 705 is positioned within annular recess 801 of base member 704, the parallel slots 902 of the electrode member 705 are generally positioned orthogonal to both slots 804 and channels 802 of base member 704, as illustrated in FIG. 9. Additionally, slots 902 generally do not continuously extend across the upper surface of the electrode member 705. Rather, slots 902 are broken into a longer segment 903 and a shorter segment 904, with a space 905 between the two segments, which operates to generate a longer current path through anode 10 from one side to the other. Further, adjacently positioned slots 902 have the space 905 positioned on opposite sides of the first electrode member's upper surface. The current path from the lower side of first electrode member to the upper side of the first electrode member generally includes a back and forth type path between the respective channels 902 through the spaces 905. Further, the positioning of spaces 905 and channels 902 provides for improved concentrated Newtonian fluid removal from the surface of the electrode member 705, as the positioning of channels 902 provides a shortest possible distance of travel for the dense fluids to be received in channels 902.
  • FIG. 10 illustrates an exploded perspective view of an exemplary membrane support assembly 706 of the invention. Membrane support assembly 706 generally includes an upper ring shaped support member 1001, an intermediate membrane support member 1000, and a lower support member 1012. Upper and lower support member's 1001 and 1012 are generally configured to provide structural support to intermediate membrane support member 1000, i.e., upper support member 1001 operates to secure intermediate membrane support member 1000 to lower support member 1012, while lower support member 1012 receives intermediate membrane support member 1000. Intermediate membrane support member 1000 generally includes a substantially planar upper surface having a plurality of bores partially formed therethrough. A lower surface of intermediate membrane support member 1000 generally includes a tapered outer portion 1003 and a substantially planar inner membrane engaging surface 1006. An upper surface of lower support member 1012 may include a corresponding tapered portion configured to receive the tapered section 1003 of intermediate membrane support member 1000 thereon. The membrane engaging surface 1006 generally includes a plurality of parallel positioned/orientated channels 1005. Each of the channels 1005 formed into the lower surface of intermediate membrane support member 1000 are in fluid communication with at least one of the plurality of bores partially formed through the planar upper surface. The channels 1005 operate to allow a membrane positioned in the membrane support assembly to deform slightly upward or downward in the region of the channels 1005, which provides a flow path for air bubbles and fluids to travel to the perimeter of the membrane and be evacuated from the first electrode chamber.
  • In operation, the polishing cell 700 of the invention provides a small volume (electrolyte volume) processing cell that may be used for copper electrochemical polishing processes, for example. Polishing cell 700 may be horizontally positioned or positioned in a tilted orientation, i.e., where one side of the cell is elevated vertically higher than the opposing side of the cell, as illustrated in FIG. 7. If polishing cell 700 is implemented in a tilted configuration, then a tilted head assembly and substrate support member may be utilized to immerse the substrate at a constant immersion angle, i.e., immerse the substrate such that the angle between the substrate and the upper surface of the electrolyte does not change during the immersion process. Further, the immersion process may include a varying immersion velocity, i.e., an increasing velocity as the substrate becomes immersed in the electrolyte solution. The combination of the constant immersion angle and the varying immersion velocity operates to eliminate air bubbles on the substrate surface.
  • Assuming a tilted implementation is utilized, a substrate is first immersed into a polishing solution contained within inner basin 702. Once the substrate is immersed in the polishing solution, an electrical polishing bias is applied between a conductive material layer on the substrate and the electrode member 705 positioned in a lower portion of polishing cell 700. The electrical plating bias generally operates to cause metal ions to dissolute from the anodic substrate surface. The polishing solution supplied to inner basin 702 is continually circulated through inner basin 702 via fluid inlet/outlets 709. More particularly, the polishing solution may be introduced in polishing cell 700 via a fluid inlet 709. The solution may travel across the lower surface of base member 704 and upward through one of fluid apertures 806. The polishing solution may then be introduced into the first electrode chamber via a channel formed into polishing cell 700 that communicates with the first electrode chamber at a point above membrane support 706. Similarly, the polishing solution may be removed from the first electrode chamber via a fluid drain positioned above membrane support 706, where the fluid drain is in fluid communication with one of fluid drains 709 positioned on the lower surface of base member 704. For example, base member 704 may include first and second fluid apertures 806 positioned on opposite sides of base member 404. The oppositely positioned fluid apertures 806 may operate to individually introduce and drain the polishing solution from the first electrode chamber in a predetermined direction, which also allows for directional flow control. The flow control direction provides control over removal of light fluids at the lower membrane surface, removal of bubbles from the chamber, and assists in the removal fluids from the first electrode surface via the channels 802 formed into base 704.
  • Once the polishing solution is introduced into the first electrode chamber, the polishing solution travels upward through diffusion plate 710. Diffusion plate 710, which is generally a ceramic or other porous disk shaped member, generally operates as a fluid flow restrictor to even out the flow pattern across the surface of the substrate. Further, the diffusion plate 710 operates to resistively damp electrical variations in the electrochemically active area the anode or cation membrane surface. Additionally, embodiments of the invention contemplate that the ceramic diffusion plate 710 may be replaced by a hydrophilic plastic member, i.e., a treated PE member, a PVDF member, a PP member, or other material that is known to be porous and provide the electrically resistive damping characteristics provided by ceramics. However, the polishing solution introduced into the second electrode chamber is not permitted to travel downward through the membrane (not shown) positioned on the lower surface 1006 of membrane support assembly 706 into the first electrode chamber, as the first electrode chamber is fluidly isolated from the second electrode chamber by the membrane. The first electrode chamber includes separate individual fluid supply and drain sources configured to supply a polishing solution to the first electrode chamber. The solution supplied to the first electrode chamber circulates exclusively through the first electrode chamber and does not diffuse or otherwise travel into the second electrode chamber, as the membrane positioned on membrane support assembly 706 is not fluid permeable in either direction.
  • Additionally, the flow of the fluid solution into the first electrode chamber is directionally controlled in order to maximize polishing parameters. For example, the polishing solution may be communicated to the first electrode chamber via an individual fluid inlet 709. Fluid inlet 709 is in fluid communication with a fluid channel formed into a lower portion of base member 704 and the fluid channel communicates the substrate surface to one of apertures 805. A seal positioned radially outward of apertures 805, in conjunction with the surrounding structure, directs the polishing solution flowing out of apertures 805 upward and into slots 804. Thereafter, the polishing solution generally travels across the upper surface of the electrode member 705 towards the opposing side of base member 704, which in a tilted configuration, is generally the higher side of polishing cell 700. The polishing solution travels across the surface of the first electrode below the membrane positioned immediately above. Once the polishing solution reaches the opposing side of the electrode member 705, it is received into a corresponding fluid channel 804 and drained from polishing cell 700 for recirculation thereafter.
  • As discussed above, slots 902 are generally parallel to each other and are orthogonal to channels 804. Therefore, slots 902 are also orthogonal to channels 802 and formed into the lower surface of base member 704. As such, each of slots 902 or finally intersect several of channels 802. This configuration allows the polishing solution received within slots 902 to be communicated to one or more of channels 802. Thereafter, the polishing solution may be communicated via channels 802 to the annular drain channel 803 positioned within recess 801. The drain 803 in communication with channels 802 may generally be communicated through base plate 704 and back to a central supply tank, where the polishing solution may be treated and resupplied to the cell.
  • The polishing solution may be removed from the first electrode chamber via an air vent/drain 1101, as illustrated in FIG. 11. Air vent/drain 1101, which may include multiple ports, is generally positioned on the upper side of electrochemical polishing cell 700, and therefore, is positioned to receive contaminants generated at the membrane surface. Air vents 1101 are generally in fluid communication with the polishing solution tank discussed above, and therefore, communicates the diluted polishing solution received therein back to the polishing solution tank. Any bubbles trapped by air vent 1101 may also be removed from the second electrode chamber vented to atmosphere or simply maintained within the polishing solution tank and not recirculated into the anode chamber.
  • System Operation
  • Substrates 122 may be polished in one embodiment using the systems 100, 200 described herein. The substrate 122 may comprise feature definitions formed in a dielectric material, a barrier layer deposited conformally over the dielectric layer and in the feature definitions, and a conductive layer disposed on the barrier to fill feature definitions. The conductive layer may be tungsten, copper, a layer having both exposed tungsten and copper, and the like. The barrier layer may be ruthenium, tantalum, tantalum nitride, titanium, titanium nitride and the like and the dielectric layer includes one or more dielectric layers including oxides, carbon doped silicon oxides, silicon carbide, silicon carbide derivatives including nitrogen/and/oxygen doped silicon carbide, and amorphous carbon as examples.
  • The polishing methods described herein may also be practiced on other electroprocessing systems. The polishing methods may comprise a computer readable media and may be stored in the memory 112 of the controller 108, typically as a software routine. The software routine may also be stored and/or executed by a second CPU (not shown) that is remotely located from the hardware being controlled by the CPU 110.
  • Although the process of the present invention is discussed as being implemented as a software routine, some of the method steps that are disclosed therein may be performed in hardware as well as by the software controller. As such, the invention may be implemented in software as executed upon a computer system, in hardware as an application specific integrated circuit or other type of hardware implementation, or a combination of software and hardware.
  • In one embodiment of the process, a substrate 122 is introduced into the system and transferred to an electropolishing cell 700. The conductive material, for example, tungsten, which may be deposited to a thickness of 15,000 Å above the level of the dielectric layer is removed in a first polishing step to a pre-determined level in the electropolishing cell 700. In one example, the first portion of the conductive material, the bulk portion, is removed by an electropolishing process to leave a residual amount or thickness of conductive material, for example, a thickness of about 500 Å. The amount of material to be removed is based on the embodiment of the polishing process to be performed in the system and the selected material to be polished. Alternatively, the conductive material may be removed to the extent the conductive material is discontinuous over the surface of the substrate. Such discontinuous conductive material may also be referred to as residual material.
  • The substrate 122 may then be transferred to the planarizing module 106, 196 for subsequent polishing. In one embodiment of the polishing process following the electropolishing cell process, the conductive material of the substrate may be removed by a chemical mechanical polishing process that may be performed on one or more stations. For example, the residual conductive material may be polished in a CMP apparatus at station 128, then polished to remove any remaining conductive material on a CMP apparatus at station 130, followed by a CMP or Ecmp barrier removal process at station 132.
  • In another embodiment of the polishing process following the electropolishing cell process, the conductive material of the substrate may be removed by an electrochemical mechanical polishing process that may be performed on one or more stations. For example, the residual conductive material may be polished first in an Ecmp apparatus at station 128, then polished to remove any remaining conductive material on an Ecmp apparatus at station 130, followed by a CMP or Ecmp barrier removal process at station 132. The Ecmp apparatus may include the Ecmp apparatus described herein with regard to FIGS. 2-6.
  • In a further embodiment of the polishing process following the electropolishing cell process, the conductive material of the substrate may be removed by chemical mechanical polishing, electrochemical chemical mechanical polishing, or both, that may be performed on one or more stations. For example, the residual conductive material may be polished first in an Ecmp apparatus at station 128, then polished to remove any remaining conductive material on an Ecmp or CMP apparatus at station 130, followed by a CMP or Ecmp barrier removal process at station 132. In another example, the residual material may be removed with a CMP process performed at a first station 128 followed by an Ecmp process on the same station using an apparatus adapted to perform both types of processes. The remaining barrier material may then be removed at a second station. In such an example, a portion of the barrier layer may be removed at the first station. In another example, the residual material and a portion of the barrier material may be removed with a CMP or Ecmp process at a first station 128 followed by removing the remaining barrier material with an Ecmp or CMP process at a second station, such as station 132. In another example, any residual conductive material following the electropolishing cell process may be removed at either station 128 or 132 by a chemical mechanical polishing process or an electrochemical mechanical process followed by removing the remaining barrier material at another station, such as station 132.
  • An Ecmp process may be performed as follows at one of the Ecmp stations 128, 130. The substrate 122 is transferred from the electropolishing cell 700 to the planarizing module 106 and the substrate 122 retained in the planarizing head 204 over the processing pad assembly 222 disposed in, for example, the first Ecmp station 128. Although the pad assembly of FIGS. 2, 3A, 4A-C and 5, is utilized in one embodiment it is contemplated that pad and contact assemblies as described in FIGS. 3B-C may alternatively be utilized. The planarizing head 204 is lowered toward the platen assembly 222 to place the substrate 122 in contact with the top surface of the pad assembly 222. The substrate 122 is urged against the pad assembly 222 with a force of less than about 1.5 pounds per square inch (psi), for example, between about 0.1 psi and about 1 psi. In one embodiment, the force is about 0.3 psi. Relative motion between the substrate 122 and processing pad assembly 222 is provided. In one embodiment, the planarizing head 204 is rotated between about 30 and about 60 revolutions per minute, while the pad assembly 222 is rotated between about 7 and about 35 revolutions per minute.
  • The polishing solution is an electrolyte that is supplied to the processing pad assembly 604 to establish a conductive path therethrough between the substrate 122 and the electrode 614. An example of a tungsten polishing solution is further described in U.S. patent application Ser. No. 10/948,958, entitled METHOD AND COMPOSITION FOR POLISHING A SUBSTRATE, filed on Sep. 24, 2004, published as U.S. 2006/0021974, which is incorporated by reference to the extent not inconsistent with the disclosure and claims aspects herein. The power source 242 provides a bias voltage between the top surface of the pad assembly 222 and the electrode 292. One or more of the contact elements 250 of the pad assembly 222 are in contact with the substrate 122 and allows the voltage to be coupled thereto. Electrolyte filling the apertures 210 between the electrode 292 and the substrate 122 provides a conductive path between the power source 242 and substrate 122 to drive an electrochemical mechanical planarizing process that results in the removal of the tungsten material, or other conductive film disposed on the substrate, by an anodic dissolution method. The process generally has a tungsten removal rate of about 4000 Å/min.
  • An endpoint of the Ecmp process is determined. The endpoint may be determined using a first metric of processing provided by the meter 244. The meter 244 may provide charge, voltage or current information utilized to determine the remaining thickness of the conductive material (e.g., the tungsten or copper layer) on the substrate. In another embodiment, optical techniques, such as an interferometer utilizing the sensor 254, may be utilized. The remaining thickness may be directly measured or calculated by subtracting the amount of material removed from a predetermined starting film thickness. In one embodiment, the endpoint is determined by comparing the charge removed from the substrate to a target charge amount for a predetermined area of the substrate. Examples of endpoint techniques that may be utilized are described in U.S. patent application Ser. No. 10/949,160, filed Sep. 24, 2004, published as U.S. 2005/0061674, and U.S. Pat. No. 6,837,983, issued Jan. 4, 2005, and U.S. Pat. No. 7,112,270, issued Sep. 26, 2006, all of which are hereby incorporated by reference in their entireties.
  • One example of a substrate handling process comprises introducing a substrate 122 into a wafer cassette 118 disposed on the factory interface 102. The substrate is then retrieved by the interface robot 120 and in one embodiment transferred to an electropolishing cell 190A, 190B, or 190C disposed on the factory interface 102. Following electropolishing in the cell 190A, 190B, or 190C, the substrate is cleaned in the cleaner module 116. Alternatively, the substrate may be cleaned in the cleaner module prior to introduction into the cell 190A, 190B, or 190C.
  • The substrate 122 is then transferred to the planarizing module 106 via the input robot 104. In the planarizing module 106, the substrate 122, the polishing head 148 may retrieve the substrate 122 from a load cup 142 and transfer the substrate to the first station 128 for processing as described herein. The substrate 122 may then be transferred and processed on one or more additional stations as necessary. In an alternative embodiment, the electropolishing cell 190D is positioned on the planarizing module 106, the substrate is then transferred to the electropolishing cell 190D prior to polishing at one of the stations 128, 130, and 132 described herein. After processing, the substrate can be removed from the planarizing module 106, transferred to the cleaner module 116, and then returned to the cassettes 118 for retrieval from the system 100.
  • While the foregoing is directed to embodiments of the invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (20)

1. A polishing apparatus, comprising:
a planarizing module;
at least one electrochemical mechanical polishing station disposed on the planarizing module;
at least one polishing head disposed above the planarizing module, wherein the at least one polishing head is adapted to selectively lower a substrate retained in the polishing head to the electrochemical mechanical polishing station;
a factory interface disposed adjacent the planarizing module;
a loading robot disposed adjacent both the factory interface and the planarizing module; and
an electrochemical polishing station.
2. The apparatus of claim 1, wherein the factory interface comprises:
a cleaning module;
an input module disposed adjacent the cleaning module;
an interface robot disposed adjacent the cleaning module and the input module; and
one or more wafer cassettes disposed adjacent the interface robot.
3. The apparatus of claim 2, wherein the electrochemical polishing station is disposed adjacent the cleaning module.
4. The apparatus of claim 2, wherein the electrochemical polishing station is disposed in the cleaning module.
5. The apparatus of claim 2, wherein the electrochemical polishing station is disposed adjacent the input module.
6. The apparatus of claim 1, wherein the at least one electrochemical mechanical polishing stations comprises:
at least one pad support;
a conductive polishing pad retained by the pad support;
an electrode disposed between the conductive polishing pad and the pad support; and
an electrolyte delivery system adapted to provide electrolyte to a processing surface of the conductive polishing pad.
7. The apparatus of claim 1, wherein the electrochemical polishing station comprises:
a cell body configured to contain a polishing solution;
a first electrode positioned in the cell body, wherein the first electrode is configured to anodically bias a substrate; and
a second electrode positioned in the cell body, wherein the second electrode is configured to function as a cathode.
8. The apparatus of claim 7, wherein the electrochemical polishing station is configured to remove conductive material from a substrate in a mechanical free polishing process.
9. A polishing apparatus, comprising:
a planarizing module;
three electrochemical mechanical polishing stations disposed on the planarizing module;
four polishing heads disposed above the planarizing module, wherein each of the polishing heads is adapted to selectively lower a substrate retained in the polishing head to the electrochemical mechanical polishing station;
a factory interface disposed adjacent the planarizing module;
a loading robot disposed adjacent both the factory interface and the planarizing module; and
an electrochemical polishing station disposed on the planarizing module.
10. The apparatus of claim 9, wherein the planarizing module further comprises a load cup disposed adjacent at least one of the electrochemical mechanical polishing stations.
11. The apparatus of claim 10, wherein the electrochemical polishing station is disposed between the load cup and at least one of the electrochemical mechanical polishing stations.
12. The apparatus of claim 9, wherein the electrochemical polishing station is disposed between two of the electrochemical mechanical polishing stations.
13. The apparatus of claim 10, wherein the electrochemical mechanical polishing stations comprise:
at least one pad support;
a conductive polishing pad retained by the pad support;
an electrode disposed between the conductive polishing pad and the pad support; and
an electrolyte delivery system adapted to provide electrolyte to a processing surface of the conductive polishing pad.
14. The apparatus of claim 10, wherein the electrochemical polishing station comprises:
a cell body configured to contain a polishing solution;
a first electrode positioned in the cell body, wherein the first electrode is configured to anodically bias a substrate;
a second electrode positioned in the cell body, wherein the second electrode is configured to function as a cathode; and
a polishing solution delivery system adapted to provide electrolyte to the cell body.
15. The polishing apparatus of claim 14, wherein the electrochemical polishing station is configured to remove conductive material from a substrate in a mechanical free polishing process by the application of a bias in the presence of a conductive polishing solution to remove conductive material by anodic dissolution.
16. A method of polishing a substrate comprising feature definitions formed in a dielectric material, a barrier layer deposited conformally over the dielectric layer and in the feature definitions, and a conductive layer disposed on the barrier layer to fill the feature definitions, comprising:
providing a system comprising a factory interface, an electrochemical polishing station, and a planarizing module containing three electrochemical mechanical polishing stations;
introducing a substrate to the electrochemical polishing station configured to remove conductive material from a substrate in a mechanical free polishing process by the application of a bias in the presence of a conductive polishing solution to remove conductive material by anodic dissolution;
removing a bulk portion of the conductive layer from the substrate;
transferring the substrate to the first electrochemical mechanical polishing station;
polishing the substrate to remove a residual portion of the conductive layer of the substrate;
transferring the substrate to the second electrochemical mechanical polishing station;
removing any remaining conductive material from the surface of the substrate to expose the barrier layer;
transferring the substrate to the third electrochemical mechanical polishing station; and
removing the barrier layer from the substrate.
17. The method of claim 16, wherein transferring the substrate from the electrochemical polishing station comprises retrieving the substrate from a load cup and transferring the substrate to the first electrochemical mechanical processing station.
18. The method of claim 16, wherein polishing the substrate to remove a residual portion of the conductive layer from the substrate comprises:
contacting the substrate with a pad assembly; and
providing relative motion between the pad assembly and the substrate.
19. The method of claim 16, wherein the electrochemical polishing station is located in the planarizing module.
20. The method of claim 16, wherein the electrochemical polishing station is located adjacent to and between the factory interface and the planarizing module.
US11/690,180 2006-03-23 2007-03-23 Electropolish assisted electrochemical mechanical polishing apparatus Abandoned US20070221495A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/690,180 US20070221495A1 (en) 2006-03-23 2007-03-23 Electropolish assisted electrochemical mechanical polishing apparatus

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US78532306P 2006-03-23 2006-03-23
US11/690,180 US20070221495A1 (en) 2006-03-23 2007-03-23 Electropolish assisted electrochemical mechanical polishing apparatus

Publications (1)

Publication Number Publication Date
US20070221495A1 true US20070221495A1 (en) 2007-09-27

Family

ID=38532192

Family Applications (1)

Application Number Title Priority Date Filing Date
US11/690,180 Abandoned US20070221495A1 (en) 2006-03-23 2007-03-23 Electropolish assisted electrochemical mechanical polishing apparatus

Country Status (1)

Country Link
US (1) US20070221495A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120034570A1 (en) * 2010-08-04 2012-02-09 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method of manufacturing semiconductor device
US20150136590A1 (en) * 2012-07-02 2015-05-21 Vallourec Oil And Gas France Electro plating device
US20160298251A1 (en) * 2013-12-13 2016-10-13 Nippon Steel & Sumitomo Metal Corporation Electroplating apparatus for steel pipes

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040016636A1 (en) * 2002-07-24 2004-01-29 Applied Materials, Inc. Electrochemical processing cell
US6811680B2 (en) * 2001-03-14 2004-11-02 Applied Materials Inc. Planarization of substrates using electrochemical mechanical polishing
US20040248412A1 (en) * 2003-06-06 2004-12-09 Liu Feng Q. Method and composition for fine copper slurry for low dishing in ECMP
US20050218010A1 (en) * 2001-03-14 2005-10-06 Zhihong Wang Process and composition for conductive material removal by electrochemical mechanical polishing
US6977036B2 (en) * 2002-03-13 2005-12-20 Applied Materials, Inc. Method and apparatus for substrate polishing
US20060006074A1 (en) * 2001-03-14 2006-01-12 Liu Feng Q Method and composition for polishing a substrate
US20060102872A1 (en) * 2003-06-06 2006-05-18 Applied Materials, Inc. Method and composition for electrochemical mechanical polishing processing
US7084064B2 (en) * 2004-09-14 2006-08-01 Applied Materials, Inc. Full sequence metal and barrier layer electrochemical mechanical processing
US20060169597A1 (en) * 2001-03-14 2006-08-03 Applied Materials, Inc. Method and composition for polishing a substrate
US20060175298A1 (en) * 2005-02-07 2006-08-10 Junzi Zhao Method and composition for polishing a substrate
US20060249395A1 (en) * 2005-05-05 2006-11-09 Applied Material, Inc. Process and composition for electrochemical mechanical polishing
US20060249394A1 (en) * 2005-05-05 2006-11-09 Applied Materials, Inc. Process and composition for electrochemical mechanical polishing
US7223323B2 (en) * 2002-07-24 2007-05-29 Applied Materials, Inc. Multi-chemistry plating system
US7232514B2 (en) * 2001-03-14 2007-06-19 Applied Materials, Inc. Method and composition for polishing a substrate

Patent Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7160432B2 (en) * 2001-03-14 2007-01-09 Applied Materials, Inc. Method and composition for polishing a substrate
US6811680B2 (en) * 2001-03-14 2004-11-02 Applied Materials Inc. Planarization of substrates using electrochemical mechanical polishing
US20050056537A1 (en) * 2001-03-14 2005-03-17 Liang-Yuh Chen Planarization of substrates using electrochemical mechanical polishing
US20050218010A1 (en) * 2001-03-14 2005-10-06 Zhihong Wang Process and composition for conductive material removal by electrochemical mechanical polishing
US20060006074A1 (en) * 2001-03-14 2006-01-12 Liu Feng Q Method and composition for polishing a substrate
US20060169597A1 (en) * 2001-03-14 2006-08-03 Applied Materials, Inc. Method and composition for polishing a substrate
US7232514B2 (en) * 2001-03-14 2007-06-19 Applied Materials, Inc. Method and composition for polishing a substrate
US6977036B2 (en) * 2002-03-13 2005-12-20 Applied Materials, Inc. Method and apparatus for substrate polishing
US20040016636A1 (en) * 2002-07-24 2004-01-29 Applied Materials, Inc. Electrochemical processing cell
US7223323B2 (en) * 2002-07-24 2007-05-29 Applied Materials, Inc. Multi-chemistry plating system
US20040248412A1 (en) * 2003-06-06 2004-12-09 Liu Feng Q. Method and composition for fine copper slurry for low dishing in ECMP
US20060102872A1 (en) * 2003-06-06 2006-05-18 Applied Materials, Inc. Method and composition for electrochemical mechanical polishing processing
US7084064B2 (en) * 2004-09-14 2006-08-01 Applied Materials, Inc. Full sequence metal and barrier layer electrochemical mechanical processing
US20060175298A1 (en) * 2005-02-07 2006-08-10 Junzi Zhao Method and composition for polishing a substrate
US20060249394A1 (en) * 2005-05-05 2006-11-09 Applied Materials, Inc. Process and composition for electrochemical mechanical polishing
US20060249395A1 (en) * 2005-05-05 2006-11-09 Applied Material, Inc. Process and composition for electrochemical mechanical polishing

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120034570A1 (en) * 2010-08-04 2012-02-09 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method of manufacturing semiconductor device
US20150136590A1 (en) * 2012-07-02 2015-05-21 Vallourec Oil And Gas France Electro plating device
US9790610B2 (en) * 2012-07-02 2017-10-17 Nippon Steel & Sumitomo Metal Corporation Electro plating device
US20160298251A1 (en) * 2013-12-13 2016-10-13 Nippon Steel & Sumitomo Metal Corporation Electroplating apparatus for steel pipes
US9957631B2 (en) * 2013-12-13 2018-05-01 Nippon Steel & Sumitomo Metal Corporation Electroplating apparatus for steel pipes

Similar Documents

Publication Publication Date Title
US6776693B2 (en) Method and apparatus for face-up substrate polishing
US20050077188A1 (en) Endpoint for electrochemical processing
US6402925B2 (en) Method and apparatus for electrochemical mechanical deposition
US7446041B2 (en) Full sequence metal and barrier layer electrochemical mechanical processing
US7341649B2 (en) Apparatus for electroprocessing a workpiece surface
US7059948B2 (en) Articles for polishing semiconductor substrates
US20070020918A1 (en) Substrate processing method and substrate processing apparatus
US7655118B2 (en) Electrolytic processing apparatus and method
US20030121797A1 (en) Electro-chemical plating with reduced thickness and integration with chemical mechanical polisher into a single platform
US20070221495A1 (en) Electropolish assisted electrochemical mechanical polishing apparatus
US7504018B2 (en) Electrochemical method for Ecmp polishing pad conditioning
US20080003931A1 (en) System and method for in-situ head rinse
US7422982B2 (en) Method and apparatus for electroprocessing a substrate with edge profile control
JP2009522810A (en) Electrochemical processing by dynamic processing control
US6863794B2 (en) Method and apparatus for forming metal layers
US7316602B2 (en) Constant low force wafer carrier for electrochemical mechanical processing and chemical mechanical polishing
US20070151866A1 (en) Substrate polishing with surface pretreatment
US7476303B2 (en) Electrolytic processing apparatus and electrolytic processing method
JP2008198673A (en) Compound electrolytic polishing apparatus
US7025860B2 (en) Method and apparatus for the electrochemical deposition and removal of a material on a workpiece surface
US20070181442A1 (en) Method and apparatus for foam removal in an electrochemical mechanical substrate polishing process
US7563356B2 (en) Composite processing apparatus and method
US20230390887A1 (en) Face-up wafer electrochemical planarization apparatus
WO2006031366A2 (en) Full sequence metal and barrier layer electrochemical mechanical processing
JP2004060027A (en) Electro-chemical machining method and apparatus

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:MANENS, ANTOINE P.;REEL/FRAME:019268/0844

Effective date: 20070328

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION