US20070196989A1 - Semiconductor device with strained transistors and its manufacture - Google Patents

Semiconductor device with strained transistors and its manufacture Download PDF

Info

Publication number
US20070196989A1
US20070196989A1 US11/471,559 US47155906A US2007196989A1 US 20070196989 A1 US20070196989 A1 US 20070196989A1 US 47155906 A US47155906 A US 47155906A US 2007196989 A1 US2007196989 A1 US 2007196989A1
Authority
US
United States
Prior art keywords
semiconductor
semiconductor device
field effect
effect transistor
semiconductor material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/471,559
Inventor
Young Kim
Yosuke Shimamune
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Semiconductor Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Assigned to FUJITSU LIMITED reassignment FUJITSU LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: KIM, YOUNG SUK, SHIMAMUNE, YOSUKE
Publication of US20070196989A1 publication Critical patent/US20070196989A1/en
Assigned to FUJITSU MICROELECTRONICS LIMITED reassignment FUJITSU MICROELECTRONICS LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: FUJITSU LIMITED
Priority to US12/434,944 priority Critical patent/US7985641B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82385Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled

Definitions

  • the present invention relates to a semiconductor device and its manufacture method, and more particularly to a semiconductor device having strained transistors and its manufacture method.
  • Micro patterning is progressing in order to improve the integration density and operation speed of a silicon semiconductor integrated circuit.
  • the gate length of a field effect transistor is shortened.
  • a gate length of 65 nm or shorter there appears a limit in expecting the performance improvements through miniaturization.
  • strained transistors which improve the mobility of carriers by strain have been paid attention as a technique of improving the performance of a field effect transistor. Strain is generated in the channel region of a field effect transistor to increase the mobility of electrons or holes and improve the on-current characteristics.
  • Field effect transistors are classified by the gate electrode structure into junction type that a channel is controlled by a pn junction, MOS type that a channel is controlled from a gate electrode via an insulating film such as an oxide film, and MIS type that a channel is controlled by a Schottky gate electrode.
  • the following description will be made by taking as an example the MOS type using a Si substrate. Mobility of electrons of an n-channel (N) MOS transistor is improved by tensile stress and a mobility of holes of a p-channel (P) MOS transistor is improved by compressive stress, along the channel length (gate length) direction.
  • the source/drain regions of an NMOS transistor are made of silicon-carbon (Si-C) mixed crystals having a lattice constant smaller than that of a Si substrate, tensile stress is applied to Si crystals in the channel along the channel length direction, so that electron mobility is increased (Refer to K. Ang et al: IEDM Tech. Dig., 2004, p. 1069).
  • Si-C silicon-carbon
  • the source/drain regions of a PMOS transistor are made of by silicon-germanium (Si-Ge) mixed crystals having a lattice constant larger than that of a Si substrate, compressive stress is applied to Si crystals in the channel along the channel length direction, so that hole mobility is increased (Refer to T. Ghani et al: IEDM Tech. Dig., 2003, p. 978 and Y. S. Kim et al: Proceedings of ESSDERC 2005, p. 305).
  • Si-Ge silicon-germanium
  • an undoped silicide layer is formed on source/drain regions, a doped dielectric layer is vapor-deposited on the silicide layer, impurities in the dielectric layer are diffused into the suicide layer by pulse laser annealing, impurities in the silicide layer are moved by annealing to form a junction having a depth of 100 nm or shallower.
  • the source/drain regions are made of silicon, silicon-germanium, silicon carbide, or gallium arsenide (Refer to PCT National Publication No. HEI-11-506567).
  • Electron mobility of an n-channel transistor is improved by tensile stress, and hole mobility of a p-channel transistor is improved by compressive stress.
  • An object of the present invention is to provide a semiconductor device having n- and p-channel transistors whose performances are respectively improved by utilizing stress.
  • a semiconductor device comprising: a semiconductor substrate made of a first semiconductor material; an n-channel field effect transistor formed in the semiconductor substrate and having n-type source/drain regions made of a second semiconductor material different from the first semiconductor material; and a p-channel field effect transistor formed in the semiconductor substrate and having p-type source/drain regions made of a third semiconductor material different from the first semiconductor material, wherein the second and third semiconductor materials are different materials.
  • a method of manufacturing a semiconductor device comprising the steps of: (1) forming gate electrodes above an n-channel field effect transistor region and a p-channel field effect transistor region of a semiconductor substrate made of a first semiconductor material; (2) forming a first insulating mask layer on the semiconductor substrate, covering the gate electrodes; (3) covering one of the n-channel field effect transistor region and the p-type field effect transistor region with a resist mask, anisotropically etching the first insulating mask layer in the other field effect transistor region to leave the first insulating mask layer of a sidewall spacer shape on sidewalls of the gate electrode of the other field effect transistor; (4) etching the semiconductor substrate in the other field effect transistor region by using the first insulating mask layer as an etching mask, to form first recesses; (5) epitaxially growing source/drain regions of a second semiconductor material different from the first semiconductor material, on the first recesses; (6) removing the first insulating mask layer;
  • FIGS. 1A, 1B and 1 C are cross sectional views illustrating processes of forming an isolation region in a semiconductor substrate and depositing a gate electrode forming layer.
  • FIG. 2 is a cross sectional view illustrating a gate electrode forming process.
  • FIGS. 3A and 3B are cross sectional views illustrating processes of forming an insulating mask layer for forming source/drain regions of an NMOS transistor.
  • FIGS. 4A and 4B are cross sectional views illustrating processes of etching the source/drain regions of the NMOS transistor.
  • FIGS. 5A and 5B are cross sectional views illustrating processes of forming source/drain regions of the NMOS transistor by epitaxial growth.
  • FIGS. 6A and 6B are cross sectional views illustrating ion implantation processes for forming pocket regions and extension regions.
  • FIGS. 7A and 7B are cross sectional views illustrating processes of forming sidewall spacers on the side walls of gate electrodes.
  • FIGS. 8A and 8B are cross sectional views illustrating processes of implanting ions to form the source/drain regions.
  • FIGS. 9A and 9B are cross sectional views illustrating processes of forming an insulating mask layer for forming source/drain regions of the PMOS transistor.
  • FIGS. 10A and 10B are cross sectional views illustrating processes of etching the source/drain regions of the PMOS transistor.
  • FIGS. 11A and 11B are cross sectional views illustrating processes of forming source/drain regions of the PMOS transistor by epitaxial growth
  • FIG. 11C is a schematic cross sectional view showing stresses in the NMOS and PMOS transistors.
  • FIG. 12 is a cross sectional view illustrating a silicidation process.
  • a semiconductor substrate 1 made of a first semiconductor such as silicon is formed with an isolation region 2 defining active regions in which semiconductor elements are to be formed.
  • the isolation region 2 can be formed, for example, by shallow trench isolation (STI).
  • a buffer layer of silicon oxide is formed on the surface of the silicon substrate, and a silicon nitride film pattern having an opening above the isolation region is formed on the buffer layer.
  • the silicon substrate 1 in the opening is etched to form a trench.
  • the silicon substrate surface exposed in the trench is thermally oxidized to form a first liner of a silicon oxide film 2 a .
  • a second liner of a silicon nitride film 2 b is formed, for example, by chemical vapor deposition (CVD), the second liner covering the silicon oxide film 2 a .
  • CVD chemical vapor deposition
  • the trench is buried with a silicon oxide film 2 c formed by high density plasma (HDP) CVD.
  • HDP high density plasma
  • Unnecessary silicon oxide film deposited on the substrate surface is polished and removed by chemical mechanical polishing (CMP). During this CMP, the silicon nitride film pattern functions as a stopper.
  • the silicon nitride film pattern is removed, for example, by hot phosphoric acid.
  • the buffer layer of silicon oxide is also removed by dilute hydrofluoric acid or the like. In this manner, the isolation region by STI shown in FIG. 1B can be formed.
  • FIG. 1C shows another example of the STI structure.
  • the liner 2 b of silicon nitride is not formed, and the silicon oxide film 2 C is directly deposited on the liner 2 a of silicon oxide to bury the trench.
  • the HDP silicon oxide film generates compressive stress. Therefore, electron mobility in an NMOS transistor lowers and the transistor performance is degraded.
  • the liner 2 b of silicon nitride generates tensile stress, so that degradation of the performance of the NMOS transistor can be suppressed.
  • the liner 2 b of silicon nitride may not be formed in some cases. The process can be simplified in such cases.
  • a p-type well PW is formed in an NMOS transistor region by implanting p-type impurities and an n-type well NW is formed in a PMOS transistor region by implanting n-type impurities, respectively by isolating the regions by using resist masks.
  • a silicon oxide film on the active region surface is removed by dilute hydrofluoric acid or the like, and the substrate surface is thermally oxidized again to form a gate insulating film 4 having a thickness of, e.g., 1.2 nm. Nitrogen may be introduced into the silicon oxide film.
  • Another insulating film having a high dielectric constant may be stacked on the silicon oxide film.
  • a polysilicon layer 5 having a thickness of, e.g., 100 nm is formed on the gate insulating film to form a gate electrode layer.
  • a silicon nitride film 6 functioning as an etching mask is deposited on the polysilicon layer 5 by CVD or the like.
  • a photoresist pattern PR having a gate electrode shape is formed, and the silicon nitride film 6 , polysilicon layer 5 and gate insulating film 4 under the photoresist pattern are patterned by anisotropical etching.
  • An affix n is added to constituent elements of the NMOS transistor and an affix p is added to constituent elements of the PMOS transistor.
  • the photoresist pattern PR is thereafter removed by ashing or the like.
  • a mask is formed which is used for forming source/drain regions of the NMOS transistor.
  • a silicon oxide 7 having a thickness of 5 nm to 30 nm and covering the gate electrode structures is deposited on the substrate by thermal CVD at a temperature of 550° C. to 700° C. by using as Si source gas, for example, tetraethoxysilane (TEOS).
  • Si source gas for example, tetraethoxysilane (TEOS).
  • TEOS tetraethoxysilane
  • a silicon nitride film 8 having a thickness of 10 nm to 60 nm is deposited on the silicon oxide film 7 by thermal CVD at a temperature of 600° C. to 800° C. by using SiH 2 Cl 2 as Si source gas.
  • the PMOS transistor region is covered with a photoresist pattern PR, and the silicon nitride film 8 and silicon oxide film 7 in the NMOS transistor region are etched by anisotropical etching using hydrofluorocarbon as etching gas, to leave portions of a sidewall spacer shape on the sidewalls of the gate electrode structure.
  • the photoresist pattern PR is thereafter removed. A mask is therefore formed exposing the source/drain regions of the NMOS transistor region.
  • the NMOS transistor region is etched.
  • FIG. 4A illustrates a first etching process.
  • the exposed silicon regions are anisotropically etched to a depth of 20 nm to 100 nm by reactive ion etching (RIE) using HBr as an etching gas.
  • RIE reactive ion etching
  • FIG. 4B illustrates a second etching process following the first etching process.
  • a hydrofluoric acid pre-process is performed to remove a natural oxide film
  • isotropical chemical etching is performed by using the silicon nitride film 8 and silicon oxide film 7 as an etching mask.
  • silicon is etched by 5 nm to 40 nm not only in a depth direction but also in a lateral direction, at 600° C. to 900° C. by using HCl as an etching gas.
  • a length of extension regions to be later formed can be controlled by an isotropical etching depth.
  • First recess are therefore formed on both side of the channel region, for forming source/drain regions of a different material.
  • Cl 2 may be used instead of HCl.
  • Wet etching may be used instead of dry etching. However, it is necessary to take out the substrate in the atmospheric air for executing wet etching. If dry etching is used, it is advantageous in that it is easy to advance to the next epitaxial growth.
  • Si-C mixed crystal of a second semiconductor having a smaller lattice constant than that of the first semiconductor is epitaxially grown selectively on the exposed surfaces of silicon of the first semiconductor.
  • Si-C mixed crystal is epitaxially grown by low pressure thermal CVD selectively on the silicon surfaces exposed outside the insulating films 7 and 8 in the NMOS transistor region.
  • Growth temperature (substrate temperature) is set, for example, at 600° C. to 900° C.
  • Gases, SiH 2 Cl 2 (source gas for Si) at a flow rate of 50 sccm to 300 sccm, SiH 3 (CH 3 ) (source gas for C) at a flow rate of 2 sccm to 50 sccm, HCl at a flow rate of 30 sccm to 300 sccm, and H 2 are flowed.
  • Pressure in a growth chamber is set, for example, at 100 Pa to 5000 Pa.
  • Si-C crystal grows on the silicon surface and does not grow on the insulating films.
  • the crystal grows first on the surface of the first recesses, filling the space under the overhanging insulating films 7 and 8 , and continue to grow beside the insulating films 7 and 8 to form Si-C source/drain regions 10 having a protruded upper surface.
  • the Si-C source/drain regions 10 bury the first recesses and have an uneven surface.
  • the C composition of Si-C of the second semiconductor having a lattice constant smaller than that of the first semiconductor is preferably set to 0.1 to 5.0 at %.
  • SiH 2 Cl 2 instead of SiH 2 Cl 2 , other silane gasses such as SiH 4 , Si 2 H 6 , Si 3 H 8 and Si 3 Cl 6 may be used as a source gas of Si. Instead of HCl, Cl 2 may be used. SiH 3 (CH 3 ) is used as a source gas of C.
  • the insulating films 7 and 8 are removed.
  • the silicon nitride film 8 is removed by hot phosphoric acid, and the silicon oxide film 7 is removed by dilute hydrofluoric acid.
  • the silicon nitride film 6 on the gate electrode is also removed at the same time.
  • ion implantation is performed for forming extension regions of the source/drain regions and the pocket regions.
  • a photoresist pattern PR covering the PMOS transistor region is formed, and p-type impurity ions are implanted into the NMOS transistor region.
  • p-type impurity ions are implanted along four directions inclined from the plane normal under the conditions of an acceleration energy of 50 keV and a dose of 5 ⁇ 10 13 cm ⁇ 2 (hereinafter a notation such as 5E13 is used).
  • a notation such as 5E13 is used.
  • pocket regions 11 having an increased p-type impurity concentration are formed in surface regions of the p-type well PW.
  • n-type impurity ions are implanted to form n-type extension regions 12 .
  • As ions are implanted along a vertical direction under the conditions of an acceleration energy of 5 keV and a dose of 1 El 5 .
  • the peripheries of the extension regions 12 are covered with the pocket regions 11 , so that a shallow junction can be formed.
  • the photoresist pattern PR covering the PMOS transistor region is thereafter removed.
  • a photoresist pattern PR covering the NMOS transistor region is formed, n-type impurity ions are implanted into the PMOS transistor region to form n-type pocket regions 13 , and p-type impurity ions are implanted to form p-type extension regions 14 .
  • the n-type pocket regions 13 are formed by implanting n-type impurity ions, Sb ions, along four directions inclined from the plane normal under the conditions of an acceleration energy of 60 keV and a dose of 5E13
  • the p-type extension regions 14 are formed by implanting p-type impurity ions, B ions, under the conditions of an acceleration energy of 5 keV and a dose of 1E15.
  • the photoresist pattern PR covering the NMOS transistor region is thereafter removed.
  • a silicon oxide film 16 having a thickness of 30 nm to 100 nm is deposited on the substrate by thermal CVD, covering the gate electrode structures.
  • the thermal CVD is performed by using bistertialbuthylaminosilane (BTBAS) and O2 used as source gases at a growth temperature of 500° C. to 580° C.
  • BBAS bistertialbuthylaminosilane
  • O2 used as source gases
  • the silicon oxide film 16 is anisotropically etched by RIE to leave the silicon oxide film only on the sidewalls of the gate electrode structures, as sidewall spacers. Ion implantation for the source/drain regions are performed by using the sidewall spacers as an ion implantation mask.
  • a photoresist pattern PR covering the PMOS transistor region is formed, and n-type impurity ions are implanted into the NMOS transistor region.
  • n-type impurity ions, P ions are implanted at an acceleration energy of 6 keV and a dose of 1E16 to form low resistance n-type source/drain regions 17 .
  • P ions are implanted at an acceleration energy of 6 keV and a dose of 1E16 to form low resistance n-type source/drain regions 17 .
  • the photoresist pattern PR is thereafter removed.
  • a photoresist pattern PR covering the NMOS transistor region is formed, and p-type impurity ions are implanted into the PMOS transistor region.
  • p-type impurity ions, B ions are implanted at an acceleration energy of 8 keV and a dose of 5E15 to form p-type source/drain regions 18 .
  • the photoresist pattern PR is thereafter removed.
  • RTA rapid thermal annealing
  • a silicon oxide film 19 is deposited on the substrate to a thickness of 20 nm to 100 nm by plasma enhanced (PE) CVD.
  • PE-CVD is performed by using TEOS as Si source gas at a growth temperature of 400° C. to 600° C.
  • a photoresist pattern PR is formed covering the NMOS transistor region and the gate electrode of the PMOS transistor, and at least the silicon oxide film 19 on the source/drain regions of the PMOS transistor region is etched by using hydrofluorocarbon as etching gas.
  • the silicon oxide film 16 formed by thermal CVD using BTBAS as Si source gas is hard to be etched more than the silicon oxide film 19 formed by PE-CVD using TEOS as Si source gas. It is therefore possible to etch the silicon oxide film 19 with priority over the silicon oxide film 16 .
  • the surfaces of the source/drain regions 18 of the PMOS transistor region are therefore exposed.
  • the photoresist pattern PR is thereafter removed.
  • FIG. 10A shows a first etching process.
  • the silicon oxide film 19 and sidewall spacers 16 as an etching mask, the silicon substrate exposed on both sides of the sidewall spacers of the PMOS transistor is anisotropically etched.
  • RIE using HBr as etching gas is performed to etch the silicon substrate by a depth of 20 to 100 nm.
  • FIG. 10B shows a second etching process.
  • the silicon substrate is isotropically etched by chemical etching to a depth of 5 nm to 40 nm.
  • this etching is performed by using HCl as etching gas at 600° C. to 900° C. Etching progresses in the depth direction and also in the lateral direction. In this manner, a second recess is formed.
  • Cl 2 may be used instead of HCl.
  • the first and second etching processes shown in FIGS. 10A and 10B are similar to the first and second etching processes shown in FIGS. 4A and 4B .
  • wet etching may be performed instead of dry etching, the dry etching is advantageous in that the next epitaxial growth can be performed immediately thereafter.
  • Si-Ge or Si-Ge-C mixed crystal of a third semiconductor having a lattice constant larger than that of the first semiconductor is epitaxially grown selectively on the exposed surface of silicon of the first semiconductor.
  • Si-Ge or Si-Ge-C is epitaxially grown on the exposed silicon surface of the PMOS transistor by low pressure thermal CVD to form an epitaxial layer 21 .
  • gases SiH 2 Cl 2 (source gas for Si) at a flow rate of 50 sccm to 300 sccm, GeH 4 (source gas for Ge) at a flow rate of 50 sccm to 300 sccm, SiH 3 (CH 3 ) (source gas for C) at a flow rate of 2 sccm to 50 sccm, HCl gas at a flow rate of 30 sccm to 300 sccm, and H 2 gas are flowed, at a growth temperature of 500° C. to 800° C.
  • p-type impurity ions B are doped.
  • Pressure in a CVD chamber is set, for example, at 100 Pa to 5000 Pa.
  • a Ge composition is preferably set to 5 to 40 at %.
  • thermal stability can be improved although a strain amount reduces. It is effective to use Si-Ge-C having a good composition balance.
  • Epitaxial growth progresses only on a silicon surface and does not progress on an insulator surface. Growth progresses first on the surface of the second recess, and continues to progress beside the sidewall spacers to form epitaxial layers having a protruded upper surface.
  • the silicon oxide film 19 is left on the gate electrode of the PMOS transistor, the silicon oxide film 19 on the gate electrode may not be left.
  • the polysilicon gate electrode is etched during the etching process for the source/drain regions.
  • Si-Ge grows also on polysilicon during the Si-Ge growth process, so that a concavity once formed is filled with Si-Ge.
  • the sidewall spacers in the NMOS transistor region are formed after the Si-C source/drain regions 10 are formed. Therefore, the sidewall spacers have an uneven bottom surface in conformity with the uneven upper surfaces of the source/drain regions 10 .
  • the sidewall spacers 16 of the PMOS transistor region have a flat bottom surface because the sidewall spacers are formed before the Si-Ge source/drain regions 21 are grown.
  • the growth temperature of Si-C epitaxial growth shown in FIG. 5A is set higher than that of Si-Ge or Si-Ge-C epitaxial growth shown in FIG. 11A .
  • Si-C has a higher growth temperature and higher thermal stability than those of Si-Ge or Si-Ge-C. It is possible to improve stability of the epitaxial growth films and provide thermally stable processes, by forming first the Si-C source/drain regions and thereafter forming the Si-Ge or Si-Ge-C source/drain regions.
  • source gas for Si may be SiH 4 , Si 2 H 6 , Si 3 H 8 , or Si 3 Cl 6 .
  • Cl 2 may be used instead of HCl. These are similar to the epitaxial growth for Si-C.
  • GeH 2 Cl 2 may be used instead of GeH 4 .
  • the insulating mask 19 of silicon oxide is removed by hydrofluoric acid or the like.
  • FIG. 11C is a schematic cross sectional view showing stress in NMOS and PMOS transistors.
  • the Si-C source/drain regions 10 of the NMOS transistor have a smaller lattice constant than that of Si of the semiconductor substrate, so that the source/drain regions tend to contract and apply a tensile stress to the channel Chn under the gate electrode Gn along a gate (or channel) length direction.
  • the Si-Ge source/drain regions 21 of the PMOS transistor have a larger lattice constant than that of Si of the semiconductor substrate, so that the source/drain regions tend to expand and apply a compressive stress to the channel Chp under the gate electrode Gp along a gate (or channel) length direction. Therefore, mobilities of carriers in NMOS and PMOS transistors increase, allowing a high speed operation.
  • a silicide layer is formed.
  • a Ni film preferably having a thickness of 5 nm or thicker is deposited on the substrate by sputtering, and annealed to effect a silicidation reaction. After an unreacted Ni layer is removed, annealing may be performed again. In this manner, NiSi layers 23 are formed on the silicon surfaces.
  • other silicide may be formed such as Co silicide.
  • a semiconductor device having NMOS and PMOS transistors is manufactured in the manner described above.
  • the source/drain regions of the NMOS transistor apply a tensile stress to the channel region made of the first semiconductors, because the source/drain regions are made of Si-C of the second semiconductor having a smaller lattice constant than that of Si of the first semiconductor.
  • the source/drain regions of the PMOS transistor apply a compressive stress to the channel region made of the first semiconductor Si, because the source/drain regions are made of Si-Ge or Si-Ge-C of the third semiconductor having a larger lattice constant than that of Si of the first semiconductor. Accordingly, electron mobility in the NMOS transistor is improved and hole mobility in the PMOS transistor is improved. Drain current of the transistor increases and a high performance device can be manufactured.
  • Si is used as the first semiconductor constituting the channel region
  • Si-C mixed crystal is used as the second semiconductor constituting the source/drain regions of the NMOS transistor
  • Si-Ge or Si-Ge-C mixed crystal is used as the third semiconductor constituting the source/drain regions of the PMOS transistor.
  • the invention is not limited thereto.
  • the first semiconductor may be made of Si-Ge (—C) mixed crystals
  • the second semiconductor may be made of Si or Si-Ge (—C) having a smaller Ge composition than that of the first semiconductor
  • the third semiconductor may be made of Si-Ge (—C) having a larger Ge composition than that of the first semiconductor.

Abstract

A semiconductor device has: a semiconductor substrate made of a first semiconductor material; an n-channel field effect transistor formed in the semiconductor substrate and having n-type source/drain regions made of a second semiconductor material different from the first semiconductor material; and a p-channel field effect transistor formed in the semiconductor substrate and having p-type source/drain regions made of a third semiconductor material different from the first semiconductor material, wherein the second and third semiconductor materials are different materials. The semiconductor device having n- and p-channel transistors has improved performance by utilizing stress.

Description

    CROSS REFERENCE TO RELATED APPLICATION
  • This application is based on and claims priority of Japanese Patent Application No. 2006-045740 filed on Feb. 22, 2006, the entire contents of which are incorporated herein by reference.
  • BACKGROUND OF THE INVENTION
  • A) Field of the Invention
  • The present invention relates to a semiconductor device and its manufacture method, and more particularly to a semiconductor device having strained transistors and its manufacture method.
  • B) Description of the Related Art
  • Micro patterning is progressing in order to improve the integration density and operation speed of a silicon semiconductor integrated circuit. As miniaturization advances, the gate length of a field effect transistor is shortened. At a gate length of 65 nm or shorter, there appears a limit in expecting the performance improvements through miniaturization.
  • Apart from miniaturization, strained transistors which improve the mobility of carriers by strain have been paid attention as a technique of improving the performance of a field effect transistor. Strain is generated in the channel region of a field effect transistor to increase the mobility of electrons or holes and improve the on-current characteristics.
  • Field effect transistors are classified by the gate electrode structure into junction type that a channel is controlled by a pn junction, MOS type that a channel is controlled from a gate electrode via an insulating film such as an oxide film, and MIS type that a channel is controlled by a Schottky gate electrode. The following description will be made by taking as an example the MOS type using a Si substrate. Mobility of electrons of an n-channel (N) MOS transistor is improved by tensile stress and a mobility of holes of a p-channel (P) MOS transistor is improved by compressive stress, along the channel length (gate length) direction.
  • If the source/drain regions of an NMOS transistor are made of silicon-carbon (Si-C) mixed crystals having a lattice constant smaller than that of a Si substrate, tensile stress is applied to Si crystals in the channel along the channel length direction, so that electron mobility is increased (Refer to K. Ang et al: IEDM Tech. Dig., 2004, p. 1069).
  • If the source/drain regions of a PMOS transistor are made of by silicon-germanium (Si-Ge) mixed crystals having a lattice constant larger than that of a Si substrate, compressive stress is applied to Si crystals in the channel along the channel length direction, so that hole mobility is increased (Refer to T. Ghani et al: IEDM Tech. Dig., 2003, p. 978 and Y. S. Kim et al: Proceedings of ESSDERC 2005, p. 305).
  • Apart from the strained transistor, a channeling phenomenon is known wherein as impurity ions are implanted into Si crystals, some impurity ions are implanted deeply. In order to prevent the channeling phenomenon, there is a proposal to grow Si-C or Si-Ge in a single-crystal state having a high dislocation density or in a polycrystalline state, on source/drain regions, grow an Si film thereon and then implant impurity ions (Refer to Japanese Patent Laid-open Publication No. JP-A-2001-24194).
  • Various techniques have been proposed to form a shallow junction in source/drain regions. In one proposal, an undoped silicide layer is formed on source/drain regions, a doped dielectric layer is vapor-deposited on the silicide layer, impurities in the dielectric layer are diffused into the suicide layer by pulse laser annealing, impurities in the silicide layer are moved by annealing to form a junction having a depth of 100 nm or shallower. It is described that the source/drain regions are made of silicon, silicon-germanium, silicon carbide, or gallium arsenide (Refer to PCT National Publication No. HEI-11-506567).
  • SUMMARY OF THE INVENTION
  • As stress is applied to a channel, carrier mobility is increased and a transistor performance can be improved. Electron mobility of an n-channel transistor is improved by tensile stress, and hole mobility of a p-channel transistor is improved by compressive stress.
  • An object of the present invention is to provide a semiconductor device having n- and p-channel transistors whose performances are respectively improved by utilizing stress.
  • According to one aspect of the present invention, there is provided a semiconductor device comprising: a semiconductor substrate made of a first semiconductor material; an n-channel field effect transistor formed in the semiconductor substrate and having n-type source/drain regions made of a second semiconductor material different from the first semiconductor material; and a p-channel field effect transistor formed in the semiconductor substrate and having p-type source/drain regions made of a third semiconductor material different from the first semiconductor material, wherein the second and third semiconductor materials are different materials.
  • According to another aspect of the present invention, there is provided a method of manufacturing a semiconductor device comprising the steps of: (1) forming gate electrodes above an n-channel field effect transistor region and a p-channel field effect transistor region of a semiconductor substrate made of a first semiconductor material; (2) forming a first insulating mask layer on the semiconductor substrate, covering the gate electrodes; (3) covering one of the n-channel field effect transistor region and the p-type field effect transistor region with a resist mask, anisotropically etching the first insulating mask layer in the other field effect transistor region to leave the first insulating mask layer of a sidewall spacer shape on sidewalls of the gate electrode of the other field effect transistor; (4) etching the semiconductor substrate in the other field effect transistor region by using the first insulating mask layer as an etching mask, to form first recesses; (5) epitaxially growing source/drain regions of a second semiconductor material different from the first semiconductor material, on the first recesses; (6) removing the first insulating mask layer; (7) forming sidewall spacers of an insulating material on sidewalls of the gate electrodes; (8) forming a second insulating mask layer covering the other field effect transistor region; (9) etching the semiconductor substrate in the one field effect transistor region by using the second insulating mask layer and the sidewall spacers, as an etching mask, to form second recesses; and (10) epitaxially growing source/drain regions of a third semiconductor material different from the first semiconductor material, on the second recesses.
  • It is possible to apply tensile stress to the channel of an n-channel transistor, and compressive stress to the channel of a p-channel transistor.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1A, 1B and 1C are cross sectional views illustrating processes of forming an isolation region in a semiconductor substrate and depositing a gate electrode forming layer.
  • FIG. 2 is a cross sectional view illustrating a gate electrode forming process.
  • FIGS. 3A and 3B are cross sectional views illustrating processes of forming an insulating mask layer for forming source/drain regions of an NMOS transistor.
  • FIGS. 4A and 4B are cross sectional views illustrating processes of etching the source/drain regions of the NMOS transistor.
  • FIGS. 5A and 5B are cross sectional views illustrating processes of forming source/drain regions of the NMOS transistor by epitaxial growth.
  • FIGS. 6A and 6B are cross sectional views illustrating ion implantation processes for forming pocket regions and extension regions.
  • FIGS. 7A and 7B are cross sectional views illustrating processes of forming sidewall spacers on the side walls of gate electrodes.
  • FIGS. 8A and 8B are cross sectional views illustrating processes of implanting ions to form the source/drain regions.
  • FIGS. 9A and 9B are cross sectional views illustrating processes of forming an insulating mask layer for forming source/drain regions of the PMOS transistor.
  • FIGS. 10A and 10B are cross sectional views illustrating processes of etching the source/drain regions of the PMOS transistor.
  • FIGS. 11A and 11B are cross sectional views illustrating processes of forming source/drain regions of the PMOS transistor by epitaxial growth, and FIG. 11C is a schematic cross sectional view showing stresses in the NMOS and PMOS transistors.
  • FIG. 12 is a cross sectional view illustrating a silicidation process.
  • DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • In the following, description is made on a method of manufacturing a semiconductor device according to an embodiment of the present invention, with reference to the accompanying drawings.
  • As shown in FIG. 1A, a semiconductor substrate 1 made of a first semiconductor such as silicon is formed with an isolation region 2 defining active regions in which semiconductor elements are to be formed. The isolation region 2 can be formed, for example, by shallow trench isolation (STI). A buffer layer of silicon oxide is formed on the surface of the silicon substrate, and a silicon nitride film pattern having an opening above the isolation region is formed on the buffer layer. The silicon substrate 1 in the opening is etched to form a trench.
  • As shown in FIG. 1B, after the trench is formed, the silicon substrate surface exposed in the trench is thermally oxidized to form a first liner of a silicon oxide film 2 a. A second liner of a silicon nitride film 2 b is formed, for example, by chemical vapor deposition (CVD), the second liner covering the silicon oxide film 2 a. After the liners of two types are formed, the trench is buried with a silicon oxide film 2 c formed by high density plasma (HDP) CVD. Unnecessary silicon oxide film deposited on the substrate surface is polished and removed by chemical mechanical polishing (CMP). During this CMP, the silicon nitride film pattern functions as a stopper. After CMP, the silicon nitride film pattern is removed, for example, by hot phosphoric acid. The buffer layer of silicon oxide is also removed by dilute hydrofluoric acid or the like. In this manner, the isolation region by STI shown in FIG. 1B can be formed.
  • FIG. 1C shows another example of the STI structure. In this example, the liner 2 b of silicon nitride is not formed, and the silicon oxide film 2C is directly deposited on the liner 2 a of silicon oxide to bury the trench.
  • The HDP silicon oxide film generates compressive stress. Therefore, electron mobility in an NMOS transistor lowers and the transistor performance is degraded. The liner 2 b of silicon nitride generates tensile stress, so that degradation of the performance of the NMOS transistor can be suppressed. In this embodiment, since tensile stress is generated in the source/drain regions as will be later described, the liner 2 b of silicon nitride may not be formed in some cases. The process can be simplified in such cases.
  • Reverting to FIG. 1A, after the isolation region 2 is formed, a p-type well PW is formed in an NMOS transistor region by implanting p-type impurities and an n-type well NW is formed in a PMOS transistor region by implanting n-type impurities, respectively by isolating the regions by using resist masks. A silicon oxide film on the active region surface is removed by dilute hydrofluoric acid or the like, and the substrate surface is thermally oxidized again to form a gate insulating film 4 having a thickness of, e.g., 1.2 nm. Nitrogen may be introduced into the silicon oxide film. Another insulating film having a high dielectric constant may be stacked on the silicon oxide film. A polysilicon layer 5 having a thickness of, e.g., 100 nm is formed on the gate insulating film to form a gate electrode layer. A silicon nitride film 6 functioning as an etching mask is deposited on the polysilicon layer 5 by CVD or the like.
  • As shown in FIG. 2, a photoresist pattern PR having a gate electrode shape is formed, and the silicon nitride film 6, polysilicon layer 5 and gate insulating film 4 under the photoresist pattern are patterned by anisotropical etching. An affix n is added to constituent elements of the NMOS transistor and an affix p is added to constituent elements of the PMOS transistor. The photoresist pattern PR is thereafter removed by ashing or the like.
  • Next, a mask is formed which is used for forming source/drain regions of the NMOS transistor.
  • As shown in FIG. 3A, a silicon oxide 7 having a thickness of 5 nm to 30 nm and covering the gate electrode structures, is deposited on the substrate by thermal CVD at a temperature of 550° C. to 700° C. by using as Si source gas, for example, tetraethoxysilane (TEOS). A silicon nitride film 8 having a thickness of 10 nm to 60 nm is deposited on the silicon oxide film 7 by thermal CVD at a temperature of 600° C. to 800° C. by using SiH2Cl2 as Si source gas.
  • As shown in FIG. 3B, the PMOS transistor region is covered with a photoresist pattern PR, and the silicon nitride film 8 and silicon oxide film 7 in the NMOS transistor region are etched by anisotropical etching using hydrofluorocarbon as etching gas, to leave portions of a sidewall spacer shape on the sidewalls of the gate electrode structure. The photoresist pattern PR is thereafter removed. A mask is therefore formed exposing the source/drain regions of the NMOS transistor region.
  • Next, by using the insulating films 7 and 8 as an etching mask, the NMOS transistor region is etched.
  • FIG. 4A illustrates a first etching process. The exposed silicon regions are anisotropically etched to a depth of 20 nm to 100 nm by reactive ion etching (RIE) using HBr as an etching gas.
  • FIG. 4B illustrates a second etching process following the first etching process. First, a hydrofluoric acid pre-process is performed to remove a natural oxide film, then isotropical chemical etching is performed by using the silicon nitride film 8 and silicon oxide film 7 as an etching mask. For example, silicon is etched by 5 nm to 40 nm not only in a depth direction but also in a lateral direction, at 600° C. to 900° C. by using HCl as an etching gas. A length of extension regions to be later formed can be controlled by an isotropical etching depth. First recess are therefore formed on both side of the channel region, for forming source/drain regions of a different material.
  • Cl2 may be used instead of HCl. Wet etching may be used instead of dry etching. However, it is necessary to take out the substrate in the atmospheric air for executing wet etching. If dry etching is used, it is advantageous in that it is easy to advance to the next epitaxial growth.
  • Next, Si-C mixed crystal of a second semiconductor having a smaller lattice constant than that of the first semiconductor is epitaxially grown selectively on the exposed surfaces of silicon of the first semiconductor.
  • As shown in FIG. 5A, Si-C mixed crystal is epitaxially grown by low pressure thermal CVD selectively on the silicon surfaces exposed outside the insulating films 7 and 8 in the NMOS transistor region. Growth temperature (substrate temperature) is set, for example, at 600° C. to 900° C. Gases, SiH2Cl2 (source gas for Si) at a flow rate of 50 sccm to 300 sccm, SiH3(CH3) (source gas for C) at a flow rate of 2 sccm to 50 sccm, HCl at a flow rate of 30 sccm to 300 sccm, and H2 are flowed. Pressure in a growth chamber is set, for example, at 100 Pa to 5000 Pa. With this selective epitaxial growth, Si-C crystal grows on the silicon surface and does not grow on the insulating films. The crystal grows first on the surface of the first recesses, filling the space under the overhanging insulating films 7 and 8, and continue to grow beside the insulating films 7 and 8 to form Si-C source/drain regions 10 having a protruded upper surface. The Si-C source/drain regions 10 bury the first recesses and have an uneven surface.
  • If the first semiconductor is Si, the C composition of Si-C of the second semiconductor having a lattice constant smaller than that of the first semiconductor is preferably set to 0.1 to 5.0 at %.
  • Instead of SiH2Cl2, other silane gasses such as SiH4, Si2H6, Si3H8 and Si3Cl6 may be used as a source gas of Si. Instead of HCl, Cl2 may be used. SiH3(CH3) is used as a source gas of C.
  • As shown in FIG. 5B, the insulating films 7 and 8 are removed. For example, the silicon nitride film 8 is removed by hot phosphoric acid, and the silicon oxide film 7 is removed by dilute hydrofluoric acid. The silicon nitride film 6 on the gate electrode is also removed at the same time.
  • In a state that sidewall spacers are not formed on the sidewalls of the gate electrodes, ion implantation is performed for forming extension regions of the source/drain regions and the pocket regions.
  • As shown in FIG. 6A, a photoresist pattern PR covering the PMOS transistor region is formed, and p-type impurity ions are implanted into the NMOS transistor region. For example, In ions are implanted along four directions inclined from the plane normal under the conditions of an acceleration energy of 50 keV and a dose of 5×1013cm−2 (hereinafter a notation such as 5E13 is used). With this p-type impurity ion implantation, pocket regions 11 having an increased p-type impurity concentration are formed in surface regions of the p-type well PW. Next, n-type impurity ions are implanted to form n-type extension regions 12. For example, As ions are implanted along a vertical direction under the conditions of an acceleration energy of 5 keV and a dose of 1 El 5. The peripheries of the extension regions 12 are covered with the pocket regions 11, so that a shallow junction can be formed. The photoresist pattern PR covering the PMOS transistor region is thereafter removed.
  • As shown in FIG. 6B, a photoresist pattern PR covering the NMOS transistor region is formed, n-type impurity ions are implanted into the PMOS transistor region to form n-type pocket regions 13, and p-type impurity ions are implanted to form p-type extension regions 14. For example, the n-type pocket regions 13 are formed by implanting n-type impurity ions, Sb ions, along four directions inclined from the plane normal under the conditions of an acceleration energy of 60 keV and a dose of 5E13, and the p-type extension regions 14 are formed by implanting p-type impurity ions, B ions, under the conditions of an acceleration energy of 5 keV and a dose of 1E15. The photoresist pattern PR covering the NMOS transistor region is thereafter removed.
  • Next, sidewall spacers for ion implantation for low resistance, high concentration source/drain regions are formed.
  • As shown in FIG. 7A, a silicon oxide film 16 having a thickness of 30 nm to 100 nm is deposited on the substrate by thermal CVD, covering the gate electrode structures. For example, the thermal CVD is performed by using bistertialbuthylaminosilane (BTBAS) and O2 used as source gases at a growth temperature of 500° C. to 580° C.
  • As shown in FIG. 7B, the silicon oxide film 16 is anisotropically etched by RIE to leave the silicon oxide film only on the sidewalls of the gate electrode structures, as sidewall spacers. Ion implantation for the source/drain regions are performed by using the sidewall spacers as an ion implantation mask.
  • As shown in FIG. 8A, a photoresist pattern PR covering the PMOS transistor region is formed, and n-type impurity ions are implanted into the NMOS transistor region. For example, n-type impurity ions, P ions, are implanted at an acceleration energy of 6 keV and a dose of 1E16 to form low resistance n-type source/drain regions 17. As may be used in place of P, as n-type impurities. The photoresist pattern PR is thereafter removed.
  • As shown in FIG. 8B, a photoresist pattern PR covering the NMOS transistor region is formed, and p-type impurity ions are implanted into the PMOS transistor region. For example, p-type impurity ions, B ions, are implanted at an acceleration energy of 8 keV and a dose of 5E15 to form p-type source/drain regions 18. The photoresist pattern PR is thereafter removed.
  • After the ion implantation for the source/drain regions, rapid thermal annealing (RTA) is performed, for example, at 1000° C. or higher to activate implanted impurity ions. Thereafter, an insulating mask is formed for forming the source/drain regions made of different material, in the PMOS transistor region.
  • As shown in FIG. 9A, a silicon oxide film 19 is deposited on the substrate to a thickness of 20 nm to 100 nm by plasma enhanced (PE) CVD. For example, PE-CVD is performed by using TEOS as Si source gas at a growth temperature of 400° C. to 600° C.
  • As shown in FIG. 9B, a photoresist pattern PR is formed covering the NMOS transistor region and the gate electrode of the PMOS transistor, and at least the silicon oxide film 19 on the source/drain regions of the PMOS transistor region is etched by using hydrofluorocarbon as etching gas. The silicon oxide film 16 formed by thermal CVD using BTBAS as Si source gas is hard to be etched more than the silicon oxide film 19 formed by PE-CVD using TEOS as Si source gas. It is therefore possible to etch the silicon oxide film 19 with priority over the silicon oxide film 16. The surfaces of the source/drain regions 18 of the PMOS transistor region are therefore exposed. The photoresist pattern PR is thereafter removed.
  • FIG. 10A shows a first etching process. By using the silicon oxide film 19 and sidewall spacers 16 as an etching mask, the silicon substrate exposed on both sides of the sidewall spacers of the PMOS transistor is anisotropically etched. For example, RIE using HBr as etching gas is performed to etch the silicon substrate by a depth of 20 to 100 nm.
  • FIG. 10B shows a second etching process. After a natural oxide film formed on the silicon surface is etched by a hydrofluoric acid process, the silicon substrate is isotropically etched by chemical etching to a depth of 5 nm to 40 nm. For example, this etching is performed by using HCl as etching gas at 600° C. to 900° C. Etching progresses in the depth direction and also in the lateral direction. In this manner, a second recess is formed. Cl2 may be used instead of HCl.
  • The first and second etching processes shown in FIGS. 10A and 10B are similar to the first and second etching processes shown in FIGS. 4A and 4B. Although wet etching may be performed instead of dry etching, the dry etching is advantageous in that the next epitaxial growth can be performed immediately thereafter.
  • Next, Si-Ge or Si-Ge-C mixed crystal of a third semiconductor having a lattice constant larger than that of the first semiconductor is epitaxially grown selectively on the exposed surface of silicon of the first semiconductor.
  • As shown in FIG. 1 1A, Si-Ge or Si-Ge-C is epitaxially grown on the exposed silicon surface of the PMOS transistor by low pressure thermal CVD to form an epitaxial layer 21. For example, gases, SiH2Cl2 (source gas for Si) at a flow rate of 50 sccm to 300 sccm, GeH4 (source gas for Ge) at a flow rate of 50 sccm to 300 sccm, SiH3(CH3) (source gas for C) at a flow rate of 2 sccm to 50 sccm, HCl gas at a flow rate of 30 sccm to 300 sccm, and H2 gas are flowed, at a growth temperature of 500° C. to 800° C. During the growth, p-type impurity ions B are doped. Pressure in a CVD chamber is set, for example, at 100 Pa to 5000 Pa.
  • If the third semiconductor having a lattice constant larger than that of Si of the first semiconductor layer is Si-Ge, a Ge composition is preferably set to 5 to 40 at %. When C is doped slightly, thermal stability can be improved although a strain amount reduces. It is effective to use Si-Ge-C having a good composition balance.
  • Epitaxial growth progresses only on a silicon surface and does not progress on an insulator surface. Growth progresses first on the surface of the second recess, and continues to progress beside the sidewall spacers to form epitaxial layers having a protruded upper surface.
  • In the above description, although the silicon oxide film 19 is left on the gate electrode of the PMOS transistor, the silicon oxide film 19 on the gate electrode may not be left. In this case, the polysilicon gate electrode is etched during the etching process for the source/drain regions. However, Si-Ge grows also on polysilicon during the Si-Ge growth process, so that a concavity once formed is filled with Si-Ge.
  • The sidewall spacers in the NMOS transistor region are formed after the Si-C source/drain regions 10 are formed. Therefore, the sidewall spacers have an uneven bottom surface in conformity with the uneven upper surfaces of the source/drain regions 10. The sidewall spacers 16 of the PMOS transistor region have a flat bottom surface because the sidewall spacers are formed before the Si-Ge source/drain regions 21 are grown.
  • It is preferable that the growth temperature of Si-C epitaxial growth shown in FIG. 5A is set higher than that of Si-Ge or Si-Ge-C epitaxial growth shown in FIG. 11A. Si-C has a higher growth temperature and higher thermal stability than those of Si-Ge or Si-Ge-C. It is possible to improve stability of the epitaxial growth films and provide thermally stable processes, by forming first the Si-C source/drain regions and thereafter forming the Si-Ge or Si-Ge-C source/drain regions.
  • Instead of SiH2Cl2, source gas for Si may be SiH4, Si2H6, Si3H8, or Si3Cl6. Cl2 may be used instead of HCl. These are similar to the epitaxial growth for Si-C. GeH2Cl2 may be used instead of GeH4.
  • As shown in FIG. 11B, the insulating mask 19 of silicon oxide is removed by hydrofluoric acid or the like.
  • FIG. 11C is a schematic cross sectional view showing stress in NMOS and PMOS transistors. The Si-C source/drain regions 10 of the NMOS transistor have a smaller lattice constant than that of Si of the semiconductor substrate, so that the source/drain regions tend to contract and apply a tensile stress to the channel Chn under the gate electrode Gn along a gate (or channel) length direction. The Si-Ge source/drain regions 21 of the PMOS transistor have a larger lattice constant than that of Si of the semiconductor substrate, so that the source/drain regions tend to expand and apply a compressive stress to the channel Chp under the gate electrode Gp along a gate (or channel) length direction. Therefore, mobilities of carriers in NMOS and PMOS transistors increase, allowing a high speed operation.
  • As shown in FIG. 12, a silicide layer is formed. For example, a Ni film preferably having a thickness of 5 nm or thicker is deposited on the substrate by sputtering, and annealed to effect a silicidation reaction. After an unreacted Ni layer is removed, annealing may be performed again. In this manner, NiSi layers 23 are formed on the silicon surfaces. Instead of Ni silicide, other silicide may be formed such as Co silicide.
  • A semiconductor device having NMOS and PMOS transistors is manufactured in the manner described above. The source/drain regions of the NMOS transistor apply a tensile stress to the channel region made of the first semiconductors, because the source/drain regions are made of Si-C of the second semiconductor having a smaller lattice constant than that of Si of the first semiconductor. The source/drain regions of the PMOS transistor apply a compressive stress to the channel region made of the first semiconductor Si, because the source/drain regions are made of Si-Ge or Si-Ge-C of the third semiconductor having a larger lattice constant than that of Si of the first semiconductor. Accordingly, electron mobility in the NMOS transistor is improved and hole mobility in the PMOS transistor is improved. Drain current of the transistor increases and a high performance device can be manufactured.
  • Since the Si-C source/drain regions apply a tensile stress, a compressive stress of the HDP silicon oxide film buried in STI can be compensated for and the tensile stress can be applied effectively.
  • In the above description, Si is used as the first semiconductor constituting the channel region, Si-C mixed crystal is used as the second semiconductor constituting the source/drain regions of the NMOS transistor, and Si-Ge or Si-Ge-C mixed crystal is used as the third semiconductor constituting the source/drain regions of the PMOS transistor. The invention is not limited thereto.
  • For example, the first semiconductor may be made of Si-Ge (—C) mixed crystals, the second semiconductor may be made of Si or Si-Ge (—C) having a smaller Ge composition than that of the first semiconductor, and the third semiconductor may be made of Si-Ge (—C) having a larger Ge composition than that of the first semiconductor.
  • The present invention has been described in connection with the preferred embodiments. The invention is not limited only to the above embodiments. It will be apparent to those skilled in the art that various modifications, improvements, combinations, and the like can be made.

Claims (20)

1. A semiconductor device comprising:
a semiconductor substrate made of a first semiconductor material;
an n-channel field effect transistor formed in the semiconductor substrate and having n-type source/drain regions made of a second semiconductor material different from the first semiconductor material; and
a p-channel field effect transistor formed in the semiconductor substrate and having p-type source/drain regions made of a third semiconductor material different from the first semiconductor material, wherein the second and third semiconductor materials are different materials.
2. The semiconductor device according to claim 1, wherein said n-type source/drain regions and said p-type source/drain regions are made of single-crystal.
3. The semiconductor device according to claim 2, wherein said second semiconductor material has a lattice constant smaller than a lattice constant of said first semiconductor material.
4. The semiconductor device according to claim 2, wherein said third semiconductor material has a lattice constant larger than a lattice constant of said first semiconductor material.
5. The semiconductor device according to claim 1, wherein said first semiconductor material is Si, said second semiconductor material contains Si and C, and said third semiconductor material contains Si ang Ge.
6. The semiconductor device according to claim 5, wherein a C composition of said second sedmiconductor material is 0.1 to 5.0 at %.
7. The semiconductor device according to claim 5, wherein a Ge composition of said third semiconductor material is 5 to 40 at %.
8. The semiconductor device according to claim 5, wherein:
said n-channel field effect transistor and said p-channel field effect transistor each have a gate electrode formed above said semiconductor substrate and sidewall spacers of insulator formed on side walls of the gate electrode;
said n-type source/drain regions have an uneven upper surface, and bottom of the sidewall spacer of said n-channel field effect transistor has at least partially uneven surface in conformity with the upper surface of said n-type source/drain region; and
the sidewall spacer of said p-type field effect transistor has a flat bottom.
9. A method of manufacturing a semiconductor device comprising the steps of:
(1) forming gate electrodes above an n-channel field effect transistor region and a p-channel field effect transistor region of a semiconductor substrate made of a first semiconductor material;
(2) forming a first insulating mask layer on the semiconductor substrate, covering the gate electrodes;
(3) covering one of the n-channel field effect transistor region and the p-type field effect transistor region with a resist mask, anisotropically etching the first insulating mask layer in the other field effect transistor region to leave the first insulating mask layer of a sidewall spacer shape on sidewalls of the gate electrode of the other field effect transistor;
(4) etching the semiconductor substrate in the other field effect transistor region by using the first insulating mask layer as an etching mask, to form first recesses;
(5) epitaxially growing source/drain regions of a second semiconductor material different from the first semiconductor material, on the first recesses;
(6) removing the first insulating mask layer;
(7) forming sidewall spacers of an insulating material on sidewalls of the gate electrodes;
(8) forming a second insulating mask layer covering the other field effect transistor region;
(9) etching the semiconductor substrate in the one field effect transistor region by using the second insulating mask layer and the sidewall spacers, as an etching mask, to form second recesses; and
(10) epitaxially growing source/drain regions of a third semiconductor material different from the first semiconductor material, on the second recesses.
10. The method of manufacturing a semiconductor device according to claim 9, wherein at least one of said steps (4) and (9) includes an anisotropical etching process and an isotropical etching process following the anisotropical etching process.
11. The method of manufacturing a semiconductor device according to claim 9, further comprising between said steps (6) and (8) a step of implanting impurity ions into said one and other field effect transistor regions.
12. The method of manufacturing a semiconductor device according to claim 9, wherein said step (5) epitaxially grows semiconductor containing Si and C at a first temperature, and said step (10) epitaxially grows semiconductor containing Si and Ge at a second temperature lower than said first temperature.
13. The method of manufacturing a semiconductor device according to claim 9, wherein said first semiconductor is Si, said second semiconductor is Si-C mixed crystal, and said third semiconductor is Si-Ge or Si-Ge-C mixed crystal.
14. The method of manufacturing a semiconductor device according to claim 13, wherein each of said steps (4) and (9) includes (a) a reactive etching step for Si and (b) a chemical etching process for Si.
15. The method of manufacturing a semiconductor device according to claim 14, wherein said step (a) etches a depth of 20 to 100 nm and said step (b) etched a depth of 5 to 40 nm.
16. The method of manufacturing a semiconductor device according to claim 14, wherein said step (a) uses HBr as etchant.
17. The method of manufacturing a semiconductor device according to claim 14, wherein said step (b) etches at 600 to 900° C. using HCl or Cl2 as etchant.
18. The method of manufacturing a semiconductor device according to claim 13, wherein said step (5) is performed by low pressure thermal CVD using silane gas as Si source gas and SiH3(CH3) as C source gas.
19. The method of manufacturing a semiconductor device according to claim 13, wherein said step (10) is performed by low pressure thermal CVD using silane gas as Si source gas and GeH4 as Ge source gas.
20. The method of manufacturing a semiconductor device according to claim 9, wherein said step (7) includes a step of depositing a silicon oxide film by thermal CVD using BTBAS and O2 as source gasses.
US11/471,559 2006-02-22 2006-06-21 Semiconductor device with strained transistors and its manufacture Abandoned US20070196989A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/434,944 US7985641B2 (en) 2006-02-22 2009-05-04 Semiconductor device with strained transistors and its manufacture

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2006045740A JP4847152B2 (en) 2006-02-22 2006-02-22 Semiconductor device and manufacturing method thereof
JP2006-045740 2006-02-22

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/434,944 Division US7985641B2 (en) 2006-02-22 2009-05-04 Semiconductor device with strained transistors and its manufacture

Publications (1)

Publication Number Publication Date
US20070196989A1 true US20070196989A1 (en) 2007-08-23

Family

ID=38428754

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/471,559 Abandoned US20070196989A1 (en) 2006-02-22 2006-06-21 Semiconductor device with strained transistors and its manufacture
US12/434,944 Expired - Fee Related US7985641B2 (en) 2006-02-22 2009-05-04 Semiconductor device with strained transistors and its manufacture

Family Applications After (1)

Application Number Title Priority Date Filing Date
US12/434,944 Expired - Fee Related US7985641B2 (en) 2006-02-22 2009-05-04 Semiconductor device with strained transistors and its manufacture

Country Status (2)

Country Link
US (2) US20070196989A1 (en)
JP (1) JP4847152B2 (en)

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080124875A1 (en) * 2006-11-03 2008-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a strained channel in a semiconductor device
US20090170268A1 (en) * 2007-12-27 2009-07-02 Lee Wee Teo Process for fabricating a semiconductor device having embedded epitaxial regions
US20090179236A1 (en) * 2007-05-11 2009-07-16 Texas Instruments Incorporated Recess Etch for Epitaxial SiGe
US20100117120A1 (en) * 2008-07-09 2010-05-13 Panasonic Corporation Semiconductor device
US20100219474A1 (en) * 2009-02-27 2010-09-02 Stephan Kronholz Transistor comprising an embedded semiconductor alloy in drain and source regions extending under the gate electrode
US20100219475A1 (en) * 2009-02-27 2010-09-02 Stephan Kronholz Integration of semiconductor alloys in pmos and nmos transistors by using a common cavity etch process
US20110049643A1 (en) * 2009-09-01 2011-03-03 Matsuoka Misa Semiconductor device and method of manufacturing the same
US7902030B2 (en) 2008-06-13 2011-03-08 Kabushiki Kaisha Toshiba Manufacturing method for semiconductor device and semiconductor device
US20110121315A1 (en) * 2008-03-31 2011-05-26 Fujitsu Semiconductor Limited Semiconductor device and manufacturing method thereof
CN102487008A (en) * 2010-12-01 2012-06-06 中芯国际集成电路制造(北京)有限公司 Semiconductor device and method for forming the same
CN102487006A (en) * 2010-12-01 2012-06-06 中芯国际集成电路制造(北京)有限公司 Semiconductor device and forming method thereof
US20120241765A1 (en) * 2008-03-25 2012-09-27 International Business Machines Corporation Semiconductor devices having tensile and/or compressive strain and methods of manufacturing and design structure
CN102856258A (en) * 2011-07-01 2013-01-02 中芯国际集成电路制造(上海)有限公司 Formation method of semiconductor device
CN103887341A (en) * 2014-03-20 2014-06-25 上海华力微电子有限公司 Method for preparing field effect transistor
US20160027897A1 (en) * 2012-04-25 2016-01-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a strained structure of a semiconductor device

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8574979B2 (en) * 2007-05-18 2013-11-05 Texas Instruments Incorporated Method for integrating silicon germanium and carbon doped silicon with source/drain regions in a strained CMOS process flow
JP2009147138A (en) * 2007-12-14 2009-07-02 Renesas Technology Corp Method of manufacturing semiconductor device
JP5115181B2 (en) * 2007-12-21 2013-01-09 富士通セミコンダクター株式会社 Manufacturing method of semiconductor device
JP2010010587A (en) * 2008-06-30 2010-01-14 Toshiba Corp Semiconductor element and method of manufacturing semiconductor element
JP2010161223A (en) * 2009-01-08 2010-07-22 Panasonic Corp Semiconductor device and method of manufacturing the same
US8404538B2 (en) * 2009-10-02 2013-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Device with self aligned stressor and method of making same
US9093555B2 (en) * 2013-07-25 2015-07-28 Texas Instruments Incorporated Method of CMOS manufacturing utilizing multi-layer epitaxial hardmask films for improved EPI profile
US10504723B2 (en) * 2017-01-05 2019-12-10 Applied Materials, Inc. Method and apparatus for selective epitaxy

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6214679B1 (en) * 1999-12-30 2001-04-10 Intel Corporation Cobalt salicidation method on a silicon germanium film
US20050035470A1 (en) * 2003-08-12 2005-02-17 Chih-Hsin Ko Strained channel complementary field-effect transistors and methods of manufacture
US20050130454A1 (en) * 2003-12-08 2005-06-16 Anand Murthy Method for improving transistor performance through reducing the salicide interface resistance
US20060289856A1 (en) * 2005-06-22 2006-12-28 Fujitsu Limited Semiconductor device and production method thereof

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3761918B2 (en) * 1994-09-13 2006-03-29 株式会社東芝 Manufacturing method of semiconductor device
US5569624A (en) 1995-06-05 1996-10-29 Regents Of The University Of California Method for shallow junction formation
JP2001024194A (en) 1999-05-06 2001-01-26 Toshiba Corp Semiconductor device and manufacture thereof
JP2003243532A (en) * 2002-02-15 2003-08-29 Toshiba Corp Complementary semiconductor device and manufacturing method thereof
US7208362B2 (en) * 2003-06-25 2007-04-24 Texas Instruments Incorporated Transistor device containing carbon doped silicon in a recess next to MDD to create strain in channel
US7303949B2 (en) * 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
WO2005116304A2 (en) * 2004-04-23 2005-12-08 Asm America, Inc. In situ doped epitaxial films
JP4375619B2 (en) * 2004-05-26 2009-12-02 富士通マイクロエレクトロニクス株式会社 Manufacturing method of semiconductor device
DE102004026149B4 (en) * 2004-05-28 2008-06-26 Advanced Micro Devices, Inc., Sunnyvale A method of producing a semiconductor device having transistor elements with voltage-inducing etch stop layers
US7413957B2 (en) * 2004-06-24 2008-08-19 Applied Materials, Inc. Methods for forming a transistor
US7122435B2 (en) * 2004-08-02 2006-10-17 Texas Instruments Incorporated Methods, systems and structures for forming improved transistors
US7169659B2 (en) * 2004-08-31 2007-01-30 Texas Instruments Incorporated Method to selectively recess ETCH regions on a wafer surface using capoly as a mask
US7195985B2 (en) * 2005-01-04 2007-03-27 Intel Corporation CMOS transistor junction regions formed by a CVD etching and deposition sequence
JP4361880B2 (en) * 2005-01-11 2009-11-11 富士通マイクロエレクトロニクス株式会社 Manufacturing method of semiconductor integrated circuit device
JP4561419B2 (en) * 2005-03-16 2010-10-13 ソニー株式会社 Manufacturing method of semiconductor device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6214679B1 (en) * 1999-12-30 2001-04-10 Intel Corporation Cobalt salicidation method on a silicon germanium film
US20050035470A1 (en) * 2003-08-12 2005-02-17 Chih-Hsin Ko Strained channel complementary field-effect transistors and methods of manufacture
US20050130454A1 (en) * 2003-12-08 2005-06-16 Anand Murthy Method for improving transistor performance through reducing the salicide interface resistance
US20060289856A1 (en) * 2005-06-22 2006-12-28 Fujitsu Limited Semiconductor device and production method thereof

Cited By (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7754571B2 (en) * 2006-11-03 2010-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a strained channel in a semiconductor device
US20080124875A1 (en) * 2006-11-03 2008-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a strained channel in a semiconductor device
US20090179236A1 (en) * 2007-05-11 2009-07-16 Texas Instruments Incorporated Recess Etch for Epitaxial SiGe
US7994010B2 (en) * 2007-12-27 2011-08-09 Chartered Semiconductor Manufacturing Ltd. Process for fabricating a semiconductor device having embedded epitaxial regions
US20090170268A1 (en) * 2007-12-27 2009-07-02 Lee Wee Teo Process for fabricating a semiconductor device having embedded epitaxial regions
US20120241765A1 (en) * 2008-03-25 2012-09-27 International Business Machines Corporation Semiconductor devices having tensile and/or compressive strain and methods of manufacturing and design structure
US8916933B2 (en) * 2008-03-25 2014-12-23 International Business Machines Corporation Semiconductor devices having tensile and/or compressive strain and methods of manufacturing and design structure
US20110121315A1 (en) * 2008-03-31 2011-05-26 Fujitsu Semiconductor Limited Semiconductor device and manufacturing method thereof
US8288757B2 (en) 2008-03-31 2012-10-16 Fujitsu Semiconductor Limited Semiconductor device and manufacturing method thereof
US7902030B2 (en) 2008-06-13 2011-03-08 Kabushiki Kaisha Toshiba Manufacturing method for semiconductor device and semiconductor device
US20110127578A1 (en) * 2008-06-13 2011-06-02 Kabushiki Kaisha Toshiba Manufacturing method for semiconductor device and semiconductor device
US8148717B2 (en) 2008-06-13 2012-04-03 Kabushiki Kaisha Toshiba Manufacturing method for semiconductor device and semiconductor device
US8217429B2 (en) 2008-07-09 2012-07-10 Panasonic Corporation Semiconductor device
US20100117120A1 (en) * 2008-07-09 2010-05-13 Panasonic Corporation Semiconductor device
US20100219475A1 (en) * 2009-02-27 2010-09-02 Stephan Kronholz Integration of semiconductor alloys in pmos and nmos transistors by using a common cavity etch process
US8460980B2 (en) * 2009-02-27 2013-06-11 Globalfoundries Inc. Transistor comprising an embedded semiconductor alloy in drain and source regions extending under the gate electrode
US20100219474A1 (en) * 2009-02-27 2010-09-02 Stephan Kronholz Transistor comprising an embedded semiconductor alloy in drain and source regions extending under the gate electrode
US9269631B2 (en) * 2009-02-27 2016-02-23 Advance Micro Devices, Inc. Integration of semiconductor alloys in PMOS and NMOS transistors by using a common cavity etch process
US20110049643A1 (en) * 2009-09-01 2011-03-03 Matsuoka Misa Semiconductor device and method of manufacturing the same
CN102487006A (en) * 2010-12-01 2012-06-06 中芯国际集成电路制造(北京)有限公司 Semiconductor device and forming method thereof
CN102487008A (en) * 2010-12-01 2012-06-06 中芯国际集成电路制造(北京)有限公司 Semiconductor device and method for forming the same
CN102856258A (en) * 2011-07-01 2013-01-02 中芯国际集成电路制造(上海)有限公司 Formation method of semiconductor device
US20160027897A1 (en) * 2012-04-25 2016-01-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a strained structure of a semiconductor device
US9577071B2 (en) * 2012-04-25 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a strained structure of a semiconductor device
CN103887341A (en) * 2014-03-20 2014-06-25 上海华力微电子有限公司 Method for preparing field effect transistor

Also Published As

Publication number Publication date
US20090215240A1 (en) 2009-08-27
JP2007227565A (en) 2007-09-06
JP4847152B2 (en) 2011-12-28
US7985641B2 (en) 2011-07-26

Similar Documents

Publication Publication Date Title
US7985641B2 (en) Semiconductor device with strained transistors and its manufacture
US7592214B2 (en) Method of manufacturing a semiconductor device including epitaxially growing semiconductor epitaxial layers on a surface of semiconductor substrate
KR101358949B1 (en) Method of manufacturing semiconductor device, and semiconductor device
US9647118B2 (en) Device having EPI film in substrate trench
US9178034B2 (en) Fabrication method of semiconductor device and fabrication method of dynamic threshold transistor
US7553717B2 (en) Recess etch for epitaxial SiGe
US7354835B2 (en) Method of fabricating CMOS transistor and CMOS transistor fabricated thereby
KR101600553B1 (en) Methods for fabricating mos devices having epitaxially grown stress-inducing source and drain regions
US8071435B2 (en) Manufacture of semiconductor device with stress structure
US7847281B2 (en) Semiconductor device with strain in channel region and its manufacture method
US20080169490A1 (en) Semiconductor device and manufacturing method thereof
US7888194B2 (en) Method of fabricating semiconductor device
JP5389346B2 (en) MOS field effect transistor and manufacturing method thereof
US8134189B2 (en) Semiconductor device and method of manufacturing the same
US7858517B2 (en) Method of manufacturing semiconductor device, and semiconductor device
JP2008263114A (en) Manufacturing method of semiconductor device, and semiconductor device
JP2005209980A (en) Semiconductor device and method for manufacturing the same
JP2009164222A (en) Method of manufacturing semiconductor device
KR20070069368A (en) Method of manufacturing semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: FUJITSU LIMITED, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:KIM, YOUNG SUK;SHIMAMUNE, YOSUKE;REEL/FRAME:018012/0168

Effective date: 20060601

AS Assignment

Owner name: FUJITSU MICROELECTRONICS LIMITED, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:FUJITSU LIMITED;REEL/FRAME:021976/0089

Effective date: 20081104

Owner name: FUJITSU MICROELECTRONICS LIMITED,JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:FUJITSU LIMITED;REEL/FRAME:021976/0089

Effective date: 20081104

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION