US20070196011A1 - Integrated vacuum metrology for cluster tool - Google Patents

Integrated vacuum metrology for cluster tool Download PDF

Info

Publication number
US20070196011A1
US20070196011A1 US11/610,468 US61046806A US2007196011A1 US 20070196011 A1 US20070196011 A1 US 20070196011A1 US 61046806 A US61046806 A US 61046806A US 2007196011 A1 US2007196011 A1 US 2007196011A1
Authority
US
United States
Prior art keywords
substrate
chamber
processing
environment
evacuable
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/610,468
Inventor
Damon Cox
Todd Egan
Randhir Thakur
Arkadii Samoilov
Per-Ove Hansson
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/286,063 external-priority patent/US20060156979A1/en
Priority claimed from US11/460,864 external-priority patent/US20070134821A1/en
Application filed by Individual filed Critical Individual
Priority to US11/610,468 priority Critical patent/US20070196011A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: THAKUR, RANDHIR, SAMOILOV, ARKADII, HANSSON, PER-OVE, COX, DAMON K., EGAN, TODD
Publication of US20070196011A1 publication Critical patent/US20070196011A1/en
Priority to PCT/US2007/087154 priority patent/WO2008076733A1/en
Priority to TW096147748A priority patent/TW200834778A/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/25Colour; Spectral properties, i.e. comparison of effect of material on the light at two or more different wavelengths or wavelength bands
    • G01N21/31Investigating relative effect of material at wavelengths characteristic of specific elements or molecules, e.g. atomic absorption spectrometry
    • G01N21/33Investigating relative effect of material at wavelengths characteristic of specific elements or molecules, e.g. atomic absorption spectrometry using ultraviolet light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices

Definitions

  • Embodiments of the invention generally relate to an integrated processing system configured to perform processing steps on semiconductor substrates, and to perform testing and analysis of the substrates before and/or after processing. More particularly, the invention relates to integration of an analysis device in a vacuum environment of the processing system.
  • the process of forming semiconductor device is commonly done in a multi-chamber processing system (e.g., a cluster tool) which has the capability to process substrates, (e.g., semiconductor wafers) in a controlled processing environment.
  • a typical controlled processing environment will include a system that has a mainframe which houses a substrate transfer robot which transports substrates between a load lock chamber and multiple vacuum processing chambers, which are connected to the mainframe.
  • the controlled processing environment has many benefits which include minimizing contamination of the substrate surfaces during transfer and during completion of the various substrate processing steps. Processing in a controlled environment thus reduces the number of generated defects and improves device yield.
  • a process sequence is generally defined as the sequence of device fabrication steps, or process recipe steps, completed in one or more processing chambers in the cluster tool.
  • a process sequence may generally contain various substrate (or wafer) fabrication processing steps.
  • Queue time is generally defined as the time a substrate can be exposed to atmospheric or other contaminants after a first process has been completed on the substrate before a second process must be completed on the substrate to prevent some adverse affect on the fabricated device's performance.
  • the device performance may be affected by the contamination of the interface between the first and second layers. Therefore, for a process sequence that includes exposing a substrate to atmospheric or other sources of contamination, the time the substrate is exposed to these sources must be controlled or minimized to prevent device performance variability. Therefore, a useful electronic device fabrication process must deliver uniform and repeatable process results, minimize the affect of contamination, and also meet a desired throughput to be considered for use in a substrate processing sequence.
  • misprocessed substrates, device defects and/or varying device performance may be caused by process drift in one or more of the processing chambers in a processing sequence, caused by contamination found in the system or process chambers, or caused by varying starting condition(s) of the substrate or layers of materials on the substrate.
  • Conventional methods used to assure that the process results are within a desired process window often utilize one or more off-line analysis techniques. Off-line testing and analysis techniques require the periodic or often constant removal of one or more substrates from the processing sequence and processing environment, which are then delivered into a testing environment.
  • an integrated metrology and process inspection system that is capable of examining a substrate for selected important device characteristics, which may include film stress, film composition, particles, processing flaws, etc., and then real time or on-the-fly adjustment of the processing conditions to correct problems from occurring on subsequently processed substrates.
  • selected important device characteristics which may include film stress, film composition, particles, processing flaws, etc.
  • real time or on-the-fly adjustment of the processing conditions to correct problems from occurring on subsequently processed substrates Preferably, such an inspection can be performed prior to, during, and after substrate processing, thereby determining real time pre-processing and post-processing conditions of the substrate.
  • the present invention generally provides an apparatus and method for integrating an inspection or metrology device in a processing tool.
  • a substrate processing apparatus is described.
  • the apparatus includes a load lock chamber having an inlet valve and an outlet valve configured to receive at least one substrate into a vacuum environment, and an optical inspection device disposed in the vacuum environment, wherein the optical inspection device is adapted to emit a wavelength of less than 190 nanometers and is in communication with the vacuum environment.
  • a substrate processing apparatus in another embodiment, includes a load lock chamber having an elevator assembly disposed in an evacuable environment, and an optical inspection device disposed above the elevator assembly in communication with the evacuable environment.
  • a method of processing a substrate includes transferring a substrate to an evacuable chamber through an inlet valve coupled to the evacuable chamber, providing an environment in the evacuable chamber that is non-absorbing to wavelengths less than 200 nanometers, inspecting the substrate with an optical device that shares the environment in the evacuable chamber with the substrate, and transferring the substrate through an outlet valve after inspection.
  • FIG. 1 is a plan view of a typical prior art processing system for semiconductor processing wherein the present invention may be used to advantage;
  • FIG. 2 is a plan view of a processing system containing processing chambers and metrology chambers adapted for semiconductor processing wherein the present invention may be used to advantage;
  • FIG. 3 is a plan view of a processing system containing processing chambers and metrology chambers adapted for semiconductor processing wherein the present invention may be used to advantage;
  • FIG. 4 is a plan view of a processing system containing processing chambers and metrology chambers adapted for semiconductor processing wherein the present invention may be used to advantage;
  • FIG. 5 illustrates a processing sequence that contains a series of process recipe steps and substrate transfer steps wherein the present invention may be used to advantage
  • FIG. 6 is side cross-sectional view of a support chamber adapted for semiconductor processing wherein the present invention may be used to advantage;
  • FIG. 7 is side cross-sectional view of a support chamber adapted for semiconductor processing wherein the present invention may be used to advantage;
  • FIG. 8 is a cross-sectional view of a transfer chamber and support chamber adapted for semiconductor processing wherein the present invention may-be used to advantage;
  • FIG. 9 is a cross-sectional view of a transfer chamber and support chamber adapted for semiconductor processing wherein the present invention may be used to advantage;
  • FIG. 10 is a cross-sectional view of a transfer chamber and support chamber adapted for semiconductor processing wherein the present invention may be used to advantage;
  • FIG. 11 is a cross-sectional view of a transfer chamber and support chamber adapted for semiconductor processing wherein the present invention may be used to advantage;
  • FIG. 12 is side cross-sectional view of a preclean chamber adapted for semiconductor processing wherein the present invention may be used to advantage;
  • FIG. 13 illustrates a processing sequence that contains a series of process recipe steps and substrate transfer steps wherein the present invention may be used to advantage
  • FIG. 14 illustrates a processing sequence that contains a series of process recipe steps and substrate transfer steps wherein the present invention may be used to advantage
  • FIG. 15 is a plan view of a processing system containing processing chambers, preprocessing chambers and metrology chamber adapted for semiconductor processing wherein the present invention may be used to advantage;
  • FIG. 16 illustrates a processing sequence that contains a series of process recipe steps and substrate transfer steps wherein the present invention may be used to advantage
  • FIG. 17 is side cross-sectional view of a substrate processing chamber adapted for semiconductor processing wherein the present invention may be used to advantage;
  • FIG. 18 is a schematic side view of one embodiment of a support chamber assembly that is integrated into a load lock chamber
  • FIG. 19 is an isometric view of a portion of a load lock chamber.
  • FIG. 20 illustrates a processing sequence that contains a series of process and substrate transfer steps wherein the present invention may be used to advantage.
  • the present invention generally provides an apparatus and method for processing substrates using a multi-chamber processing system (e.g., a cluster tool) that is adapted to process substrates and analyze the results of the processes performed on the substrate.
  • a multi-chamber processing system e.g., a cluster tool
  • one or more analysis steps and/or precleaning steps are utilized to reduce the effect of queue time on device yield.
  • a system controller and the one or more analysis chambers are utilized to monitor and control a process chamber recipe and/or a process sequence to reduce substrate scrap due to defects in the formed device and device performance variability issues.
  • Embodiments of the present invention also generally provide methods and a system for repeatably and reliably forming semiconductor devices used in a variety of applications. The invention is illustratively described below in reference to a Centura® platform, available from Applied Materials, Inc., Santa Clara, Calif.
  • a cluster tool is a modular system comprising multiple chambers that perform various processing steps that are used to form an electronic device.
  • the cluster tool 100 contains multiple processing positions 114 A- 114 F in which processing chambers (not shown) can be mounted to a central transfer chamber 110 which houses a robot 113 that is adapted to shuttle substrates between the processing chambers.
  • the internal region e.g., transfer region 110 C in FIG.
  • FIG. 8 is typically maintained at a vacuum condition and provides an intermediate region in which to shuttle substrates from one chamber to another and/or to a load lock chamber positioned at a front end of the cluster tool.
  • the vacuum condition is typically achieved by use of one or more vacuum pumps (not shown), such as a conventional rough pump, Roots Blower, conventional turbo-pump, conventional cryo-pump, or combination thereof.
  • the internal region of the transfer chamber 110 may be an inert environment that is maintained at or near atmospheric pressure by continually delivering an inert gas to the internal region.
  • FIG. 1 is a plan view of a typical cluster tool 100 for electronic device processing wherein the present invention may be used to advantage.
  • FIG. 2 illustrates one embodiment of a cluster tool, in which substrate processing chambers 201 , 202 , 203 and 204 are mounted in positions 114 A, 114 B, 144 C, and 114 D on the transfer chamber 110 , respectively.
  • the cluster tool 100 generally comprises a plurality of chambers and robots, and is preferably equipped with a system controller 102 programmed to control and carry out the various processing methods and sequences performed in the cluster tool 100 .
  • a plurality of slit valves can be added to the transfer chamber 110 to selectively isolate each of the process chambers mounted in positions 114 A-F so that each chamber may be separately evacuated to perform a vacuum process during the processing sequence.
  • not all of the positions 114 A-F are occupied with processing chambers to reduce cost or complexity of the system.
  • one or more of the substrate processing chambers 201 - 204 may be a conventional epitaxial (EPI) deposition chamber which can be used to form an epitaxial layer containing one or more materials, such as silicon (Si), silicon germanium (SiGe), silicon carbon (SiC), on a substrate during one or more steps in the substrate processing sequence.
  • An EPI process may be conducted using an Applied Centura® EPI chamber, which is available from Applied Materials Inc., located in Santa Clara, Calif.
  • one or more of the substrate processing chambers 201 - 204 may be an RTP chamber which can be used to anneal the substrate during one or more steps in the substrate processing sequence.
  • An RTP process may be conducted using an RTP chamber (e.g., Vantage® RadOxTM RTP, Vantage RadianceTM RTP chambers) and related processing hardware commercially available from Applied Materials Inc., located in Santa Clara, Calif.
  • one or more of the substrate processing chambers 201 - 204 may be a conventional chemical vapor deposition (CVD) chamber that is adapted to deposit a metal (e.g., titanium, copper, tantalum), semiconductor (e.g., silicon, silicon germanium, silicon carbon, germanium), or dielectric layer (e.g., Blok®, silicon dioxide, SiN, HfO x , SiCN).
  • CVD process chambers include DXZ® chambers, Ultima HDP-CVD® chambers and PRECISION 5000® chambers, commercially available from Applied Materials, Inc., Santa Clara, Calif.
  • one or more of the substrate processing chambers 201 - 204 may be a conventional physical vapor deposition (PVD) chamber.
  • PVD process chambers include Endura® PVD processing chambers, commercially available from Applied Materials, Inc., Santa Clara, Calif.
  • one or more of the substrate processing chambers 201 - 204 may be a decoupled plasma nitridation (DPN) chamber.
  • DPN process chambers include a Centura® DPN chamber, commercially available from Applied Materials, Inc., Santa Clara, Calif.
  • DPN decoupled plasma nitridation
  • one or more of the substrate processing chambers 201 - 204 may be a metal etch or dielectric etch chamber.
  • metal and dielectric etch chambers include the Centura® AdvantEdge metal etch chamber and a Centura® eMAXTM chamber, both of which are commercially available from Applied Materials, Inc., Santa Clara, Calif.
  • the processing chambers 201 - 204 mounted in one of the positions 114 A- 114 D may perform any number of processes, such as a PVD, a CVD (e.g., dielectric CVD, MCVD, MOCVD, EPI), an ALD, a decoupled plasma nitridation (DPN), a rapid thermal processing (RTP), or a dry-etch process to form various device features on a surface of the substrate.
  • the various device features may include, but are not limited to the formation of interlayer dielectric layers, gate dielectric layers, polysilicon gates, forming vias and trenches, planarization steps, and depositing contact or via level interconnects.
  • the positions 114 E- 114 F comprise service chambers 116 A- 116 B that are adapted for degassing, orientation, cool down and the like.
  • the processing sequence is adapted to form a high-K capacitor structure, where processing chambers 201 - 204 may be a DPN chamber, a CVD chamber capable of depositing poly-silicon, and/or a MCVD chamber capable of depositing titanium, tungsten, tantalum, platinum, or ruthenium.
  • processing sequence is adapted to form a gate stack, where processing chambers 201 - 204 may be a DPN chamber, a CVD chamber capable of depositing a dielectric material, a CVD chamber capable of depositing poly-silicon, an RTP chamber and/or a MCVD chamber.
  • an optional front-end environment 104 (also referred to herein as a Factory Interface or FI) is shown positioned in selective communication with a pair of load lock chambers 106 A and 106 B.
  • Factory interface robots 108 A- 108 B disposed in a transfer region 104 B of the front-end environment 104 are capable of linear, rotational, and vertical movement to shuttle substrates between the load lock chambers 106 A, 106 B and a plurality of pods 105 A- 105 D, which are mounted on the front-end environment 104 .
  • the front-end environment 104 is generally used to transfer substrates from a cassette (not shown) seated in the plurality of pods 105 A- 105 D through an atmospheric pressure clean environment/enclosure to some desired location, such as a process chamber.
  • the clean environment found in the transfer region 104 B of the front-end environment 104 is generally provided by use of an air filtration process, such as passing air through a high efficiency particulate air (HEPA) filter, for example.
  • HEPA particulate air
  • a front-end environment, or front-end factory interface is commercially available from Applied Materials Inc., of Santa Clara, Calif.
  • a robot 113 is centrally disposed in the transfer chamber 110 to transfer substrates from the load lock chambers 106 A or 106 B to one of the various processing chambers mounted in positions 114 A- 114 F.
  • the robot 113 generally contains a blade assembly 113 A, arm assemblies 113 B which are attached to the robot drive assembly 113 C.
  • the robot 113 is adapted to transfer the substrate “W” to the various processing chambers by use of commands sent from the system controller 102 .
  • a robot assembly that may be adapted to benefit from the invention is described in commonly assigned U.S. Pat. No. 5,469,035, entitled “Two-axis magnetically coupled robot”, filed on Aug. 30, 1994; U.S. Pat. No. 5,447,409, entitled “Robot Assembly” filed on Apr. 11, 1994; and U.S. Pat. No. 6,379,095, entitled Robot For Handling Semiconductor Substrates”, filed on Apr. 14, 2000, which are hereby incorporated by reference in their entireties.
  • the load lock chambers 106 A and 106 B provide a first vacuum interface between the front-end environment 104 and a transfer chamber 110 .
  • two load lock chambers 106 A and 106 B are provided to increase throughput by alternatively communicating with the transfer chamber 110 and the front-end environment 104 .
  • a second load lock chamber 106 can communicate with the front-end environment 104 .
  • the load lock chambers 106 A, 106 B are a batch type load lock chamber that can receive two or more substrates from the factory interface, retain the substrates while the chamber is sealed and then evacuated to a low enough vacuum level to transfer of the substrates to the transfer chamber 110 .
  • the batch load lock chambers can retain from 25 to 50 substrates at one time.
  • the system controller 102 is generally designed to facilitate the control and automation of the overall system and typically includes a central processing unit (CPU) (not shown), memory (not shown), and support circuits (or I/O) (not shown).
  • the CPU may be one of any form of computer processors that are used in industrial settings for controlling various system functions, chamber processes and support hardware (e.g., detectors, robots, motors, gas sources hardware, etc.) and monitor the system and chamber processes (e.g., chamber temperature, process sequence throughput, chamber process time, I/O signals, etc.).
  • the memory is connected to the CPU, and may be one or more of a readily available memory, such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote.
  • Software instructions and data can be coded and stored within the memory for instructing the CPU.
  • the support circuits are also connected to the CPU for supporting the processor in a conventional manner.
  • the support circuits may include cache, power supplies, clock circuits, input/output circuitry, subsystems, and the like.
  • a program (or computer instructions) readable by the system controller 102 determines which tasks are performable on a substrate.
  • the program is software readable by the system controller 102 that includes code to perform tasks relating to monitoring, control and execution of the processing sequence tasks and various chamber process recipe steps.
  • the cluster tool 100 contains a system controller 102 , a plurality of substrate processing chambers 201 - 204 and one or more support chambers 211 .
  • a support chamber may be a metrology chamber, a preprocessing chamber, or a post-processing chamber.
  • the addition of a support chamber may be added to the cluster tool 100 for a number of reasons, which include, but are not limited to improving device yield, improving process repeatability from substrate to substrate, analyzing the process results, and reducing the effect of queue time differences between substrates.
  • two support chambers 211 are mounted in the positions 214 A or 214 B with in the transfer chamber 110 . Filling the unused space within the transfer chamber 110 with one or more support chambers 211 will help to reduce the system cost and CoO by reducing the additional hardware required to add the support chamber components, reducing the overhead time required to transfer substrates between the cluster tool process chambers and the support chamber 211 , and reducing the cluster tool footprint.
  • FIG. 3 illustrates another configuration of the cluster tool 100 in which the support chambers 211 are placed in other regions of the cluster tool 100 , such as being mounted in the position 114 E and/or positions 214 C or 214 D that are attached to a front-end environment 104 . It should be noted that it may be desirable to mount the support chamber 211 in one or more of positions 114 A- 114 F, positions 214 A-D or any other convenient positions that is accessible by one or more of the cluster tool robotic devices.
  • FIGS. 4 and 5 An example of processing sequence performed in a representative cluster tool configuration that includes the use of a support chamber 211 is illustrated in FIGS. 4 and 5 .
  • FIG. 4 illustrates the movement of a substrate “W” through the cluster tool 100 following the processing steps described in FIG. 5 .
  • Each of the arrows labeled A 1 through A 8 in FIG. 4 illustrates the movement of the substrates, or transfer paths, within the cluster tool 100 .
  • the substrate is removed from a pod placed in position 105 A and is delivered to load lock chamber 106 A following the transfer path Al.
  • the system controller 102 then commands the load lock chamber 106 A to close and pump down to a desirable base pressure so that the substrates can be transferred into the transfer chamber 110 which is already in a vacuum pumped down state.
  • the substrate is then transferred along path A 2 where a preparation/analysis step 302 is performed on the substrate.
  • the preparation/analysis step 302 may encompass one or more preparation steps including, but not limited to substrate inspection/analysis and/or particle removal.
  • the substrate is then transferred to a processing chamber in position 114 A, as shown in FIG. 4 , following the transfer path A 3 , where the substrate process step 304 is performed on the substrate.
  • the substrate is sequentially transferred to the substrate processing chambers 202 and 203 following the transfer paths A 4 -A 5 , where their respective substrate process steps 306 through 308 , as shown in FIGS. 4 and 5 , are performed.
  • substrate process step 304 is a preclean processing step (discussed below).
  • substrate process steps 306 and 308 may be selected from one of the following group of processes: oxide etch, metal etch, EPI, RTP, DPN, PVD, CVD (e.g., CVD polysilicon, TEOS etc.), or other suitable substrate processing step.
  • the substrate is then transferred along path A 6 where an associated post-processing/analysis step 310 is performed on the substrate.
  • the post-processing/analysis step 310 may encompass one or more preparation steps including, but not limited to, substrate inspection/analysis and/or a particle removal step.
  • the substrate is then transferred to the load lock chamber 106 A, following the transfer path A 7 .
  • the load lock chamber is then vented and the substrate is then removed from load lock chamber and placed in the pod in position 105 A following the transfer path A 8 .
  • a process sequence may also include scenarios where the support chamber 211 is placed between at least one of the other processing steps in the processing sequence.
  • the support chamber 211 is configured to reduce the number of particles or amount of contamination on the surface of the substrate during the preparation/analysis step 302 , and/or post-processing/analysis step 310 , so that the device yield and substrate scrap can be improved for devices formed using a desired processing sequence.
  • the particle/contamination reduction chamber hereafter particle reduction chamber, exposes one or more surfaces of a substrate to ultraviolet (UV) radiation to impart enough energy to the particles and other contaminants on the surface of the substrate to cause them to move off of the surface of the substrate (e.g., Brownian motion), change the contaminants bonding characteristics to the exposed surface, or to promote vaporization of the contaminants.
  • UV ultraviolet
  • UV radiation or UV light
  • the radiation from the radiation source may be supplied by a lamp containing elements, such as xenon, argon, krypton, nitrogen, xenon chloride, krypton fluoride, argon fluoride.
  • a radiation source that emits UV light may be especially useful for removing or reducing the detrimental effect of organic contamination found on the substrate surface.
  • a typical radiation source that is adapted to emit UV wavelengths may be a conventional UV lamp (e.g., mercury vapor lamp) or other similar device. Combinations of UV emitting radiation sources that emit UV light at different wavelengths may also be used.
  • FIG. 6 illustrates is a cross-sectional side view of a type of support chamber 211 that is a particle reduction chamber 700 which exposes one or more surfaces of a substrate to ultraviolet (UV) radiation.
  • the particle reduction chamber 700 may be mounted in any available position in a cluster tool, such as positions 114 A- 114 F ( FIG. 2 ) or positions 214 A- 214 E ( FIG. 3 ).
  • the particle reduction chamber 700 will contain an enclosure 701 , a radiation source 711 and a substrate support 704 .
  • the enclosure 701 generally contains a chamber body 702 , a chamber lid 703 and a transparent region 705 .
  • the enclosure 701 contains one or more seals 706 that seal the processing region 710 , so that it can be pumped down to a vacuum condition during processing by a vacuum pump 736 .
  • the processing region 710 is pumped down and maintained at a pressure between about 10 ⁇ 6 Torr and about 700 Torr by use of the vacuum pump 736 and a gas delivery source 735 coupled to chamber 700 .
  • the processing region 710 is maintained at or near atmospheric pressure by continually delivering an inert gas to the processing region 710 from the gas delivery source 735 .
  • the transparent region 705 may be made of a ceramic, glass or other material that is optically transparent to the radiation being emitted from the radiation source 711 so that the substrate “W” can receive the bulk of the energy emitted from the radiation source 711 .
  • the particle reduction chamber 700 may contain a lift assembly 720 that is adapted to raise and lower the substrate “W” relative to the substrate support 704 so that a robotic device (not shown) can pickup and drop off the substrate on the lift assembly 720 .
  • the substrate support 704 is adapted to heat the substrate during the particle removal step to further increase the efficiency of removing particle from the surface of the substrate by adding energy to the contaminants to cause them to move from the surface of the substrate or vaporize during the particle reduction process.
  • the substrate support 704 may be heated by use of a heating element 722 that is embedded within the substrate support 704 and an external power supply/controller (not shown) so that the substrate supporting surface 707 can be heated to a desired temperature.
  • the substrate support 704 is heated by use of conventional infrared lamps to a desired temperature.
  • the substrate support 704 is heated to a temperature between about 250° C. and about 850° C., and more preferably between about 350° C.
  • the support chamber 211 is a metrology chamber that is adapted to perform the preparation/analysis step 302 and/or the post-processing/analysis step 310 to analyze a property of the-substrate before or after performing a processing step in a processing sequence.
  • the properties of the substrate that can be measured in the metrology chamber may include, but is not limited to, the measurement of the intrinsic or extrinsic stress in one or more layers deposited on a surface of the substrate, film composition of one or more deposited layers, the number of particles on the surface of the substrate, and the thickness of one or more layers found on the surface of the substrate.
  • the data collected from the metrology chamber is then used by the system controller 102 to adjust one or more process variables in one or more of the processing steps to produce favorable process results on subsequently processed substrates.
  • An example of a metrology chamber hardware and control algorithms that may be adapted to measure and analyze particles found on a surface of a substrate can be found in the commonly assigned U.S. Pat. No. 6,630,995, filed Oct. 6, 2000; U.S. Pat. No. 6,654,698, filed Jun. 12, 2001; U.S. Pat. No. 6,952,491, filed Jan. 19, 2001; and U.S. Pat. No. 6,693,708, filed Oct. 6, 2000, all of which are incorporated by reference herein in their entirety.
  • the support chamber 211 is a metrology chamber that is adapted to measure the composition and thickness of a deposited film on the surface of the substrate by use of conventional optical measurement techniques.
  • Typical composition and thickness measurement techniques include conventional ellipsometry, reflectometry or x-ray photoelectron spectroscopy (XPS) techniques.
  • XPS x-ray photoelectron spectroscopy
  • the substrate composition and thickness results can thus be stored and analyzed by the system controller 102 so that one or more of the process variables can be varied to improve the process results achieved on subsequently processed substrates and/or correct deficiencies in the already processed substrates by adjusting the process parameters processes performed downstream of the support chamber 211 .
  • a composition or thickness analysis is performed after an EPI layer is deposited on a surface of the substrate so that the process variables (e.g., RF power, process pressure, gas flow rate, film thickness, deposition rate) can be adjusted to correct or enhance process results in subsequent EPI deposition processes.
  • Ellipsometry is a non-invasive optical technique for determining film thickness, interface roughness, and composition of thin surface layers and multilayer structures.
  • the method measures the change in the state of polarization of light upon reflection from the sample surface to determine the conventional ellipsometry parameters (e.g., amplitude change ( ⁇ ), phase shift ( ⁇ )).
  • amplitude change
  • phase shift
  • These optical parameters can then be matched to computer models or stored data within the system controller 102 to determine the structure and composition of the sample at the region on the surface of the substrate.
  • Reflectometry is an analytical technique for investigating thin layers using the effect of total external reflection of optical radiation.
  • reflectivity analysis techniques the reflection of the optical radiation from a sample is measured at different angles so that thickness and density, and/or surface roughness can be determined. These reflectometry results can then be matched to computer models or stored data within the system controller 102 to determine the structure and composition of the sample at the region on the surface of the substrate.
  • X-ray photoelectron spectroscopy (XPS) tools can be used to measure the elemental composition, chemical state and electronic state of the elements that exist within a material.
  • XPS spectra are obtained by irradiating a material with a beam of X-rays while simultaneously measuring the kinetic energy and number of the electrons that escape from the material being analyzed using suitable measurement techniques. The XPS results can then be matched to computer models or stored data within the system controller 102 to determine the structure and composition of the sample at the region on the surface of the substrate.
  • a pattern recognition system is used in conjunction with the one or more analysis steps performed in a support chamber 211 to provide analysis and feed back regarding the state of selected regions on the surface of the substrate.
  • the pattern recognition system uses an optical inspection technique that is scans a surface of the substrate and compares the received data from the scan with data stored within a controller so that the controller can decide where on the surface of the substrate the measurement is to be made.
  • the pattern recognition system contains a controller (e.g., controller 102 ( FIG. 2 )), a conventional CCD camera and a stage that is adapted to move a substrate positioned thereon relative to the CCD camera.
  • data stored within the memory of the controller is compared with the data received from the CCD camera as it passes over the surface of the substrate, so that desirable test regions on the surface of the substrate can be found and then analyzed by the components in the metrology chamber.
  • the support chamber 211 is adapted to measure the stress, or strain, contained within a deposited film on the surface of the substrate by use of suitable substrate bow measurement techniques. It should be noted that it is generally possible to calculate the stress and strain contained within a region of the substrate by measuring one parameter (e.g., stress or strain), measuring or familiarity with the type of material contained within measurement region and/or one or more material properties.
  • one parameter e.g., stress or strain
  • a suitable stress, or strain, measurement tool that measures the bow, or the change in bow, of a substrate during the process sequence is configured to measure the stress, or strain, in the substrate after performing one or more processing steps in the processing sequence, and then feeds back the results to the system controller 102 so that the system controller 102 can determine and/or implement parameters for what actions need to be taken in one or more process steps in the processing sequence.
  • a suitable stress measurement tool that may be adapted to measure the stress of the substrate may be available from KLA-Tencor corporation, Nanometrics, Inc., or Therma-Wave, Inc.
  • the system controller 102 uses the substrate bow results to adjust one or more of the process variables (e.g., RF power, process pressure, film thickness, deposition rate), to improve the process results on the surface of the subsequent substrates.
  • the process variables e.g., RF power, process pressure, film thickness, deposition rate
  • a metrology chamber integrated into the cluster tool 100 utilizes an x-ray diffraction (XRD) technique to measure the film thickness, film composition and film stress, or strain.
  • XRD x-ray diffraction
  • Typical XRD techniques utilize Bragg's Law to help analyze and interpret the diffraction patterns generated when exposing one or more regions on the surface of the substrate to the emitted x-ray radiation.
  • the XRD chamber contains an x-ray source, one or more radiation detectors, a substrate support, and an actuator that can articulate the x-ray source relative to the substrate, or the substrate support relative to the x-ray source, so that a diffraction pattern can be generated and analyzed.
  • the results obtained from an XRD type metrology chamber can be used to measure various characteristics of the film(s) on the surface of the substrate prior to or after performing one or more of the process sequence processing steps.
  • the results received from the XRD chamber can be used to adjust process variables in the various process steps to improve the results achieved from the processing sequence.
  • the EPI process variables e.g., RF power, process pressure, film thickness, deposition rate
  • a metrology chamber that has the ability to characterize multiple different characteristics of the film (e.g., stress, film composition, thickness) at different stages of the processing sequence, such as an XRD chamber, is useful to reduce the system cost, reduce the system footprint, improve the reliability of the cluster tool, and reduce the overhead time required to transfer substrates between chambers versus a configuration that uses separate metrology chambers to perform the analyses.
  • characteristics of the film e.g., stress, film composition, thickness
  • FIG. 7 illustrates a cross-sectional side view of a type of support chamber 211 , or metrology chamber 750 , that can be used to analyze a property of the substrate before or after performing a processing step in a processing sequence (e.g., processing sequences 300 and processing sequence 301 A- 301 B described herein).
  • the metrology chamber 750 may be mounted in any available position in a cluster tool, such as positions 114 A- 114 F ( FIG. 2 ) or positions 214 A- 214 E ( FIG. 3 ).
  • the metrology chamber 750 may comprise an enclosure 761 , a measurement assembly 811 , and a substrate support 754 .
  • the substrate support 754 also includes a substrate supporting surface 757 .
  • the enclosure 761 generally contains a chamber body 752 , a chamber lid 753 and a transparent region 755 .
  • the enclosure 751 contains one or more seals 756 that seal the processing region 770 , so that it can be pumped down a vacuum condition during processing by a vacuum pump (not shown).
  • the processing region 770 is pumped down to a pressure between about 10 ⁇ 6 Torr and about 700 Torr.
  • the transparent region 755 may be made of a ceramic, glass or other material that is optically transparent to the radiation being emitted from a source 813 contained within the measurement assembly 811 .
  • the radiation emitted from the source 813 passes through the transparent region 755 strikes a surface of the substrate, where it is reflected and then passes back through the transparent region 755 where it is collected by a sensor 812 contained in the measurement assembly 811 .
  • the metrology chamber 750 contains a lift assembly 720 that is adapted to raise and lower the substrate “W” relative to the substrate support 754 so that a robotic device (not shown) can transfer substrates between the metrology chamber 750 and other processing chambers within the cluster tool.
  • FIG. 8 is a side cross-sectional view of a transfer chamber 110 that contains a support chamber assembly 800 , which is contained within support chamber 211 that may be adapted to perform a metrology process, a preprocessing process step, or a post-processing process step.
  • the support chamber assembly 800 is configured to reduce the number of particles on the surface of the substrate during the preparation/analysis step 302 and/or post-processing/analysis step 310 .
  • the support chamber assembly 800 generally contains all of the components found in the particle reduction chamber 700 , discussed above, except the enclosure 701 components, such as the chamber body 702 and chamber lid 703 are replaced with the transfer chamber base 110 B and the transfer chamber lid 110 A, respectively.
  • the substrate support 704 and lift assembly 720 are positioned within the transfer region 110 C and mounted to the transfer chamber base 110 B of the transfer chamber 110 , and thus adjacent to one or more of the processing chambers (e.g., process chamber 201 is shown in FIG. 8 ).
  • the radiation source 711 is attached to the support 808 that is mounted to transfer chamber lid 110 A so that the radiation emitted from the radiation source 711 passes through the transparent region 705 and strikes a substrate W positioned on the substrate supporting surface 707 of the substrate support 704 .
  • the system controller 102 and an actuator (not shown) contained within the lift assembly 720 can be used to transferred a substrate “W” between the robot blade assembly 113 A and the substrate support 704 .
  • the support chamber assembly 800 is generally configured to prevent collisions between the robot 113 and any of the components in the support chamber assembly 800 during normal transferring operations completed by the robot 113 .
  • FIG. 9 is a side cross-sectional view of one embodiment of the support chamber assembly 800 that is positioned on a portion of the transfer chamber 110 so that a particle reduction step, discussed above, can be performed while the substrate W is positioned on the robot blade assembly 113 A of the robot 113 .
  • the substrate W is positioned below the radiation source 711 that is mounted on the transfer chamber lid 110 A so that the emitted radiation from the radiation source 711 can strike a surface of the substrate as the substrate passes underneath the radiation source 711 of the support chamber assembly 800 during the process of transferring a substrate through the cluster tool 100 .
  • the system controller 102 and robot 113 are adapted to position and hold the robot blade assembly 113 A and substrate W under the radiation source 711 for a desired period of time during the transferring sequence so that the particle removal process can be performed on the substrate.
  • FIG. 10 is a side cross-sectional view of a transfer chamber 110 that contains a support chamber assembly 801 , which is contained within the support chamber 211 , that is adapted to perform the preparation/analysis step 302 and/or the post-processing/analysis step 310 to analyze a property of the substrate before or after performing a processing step in the processing sequence.
  • the support chamber assembly 801 is an XRD, XPS, stress measurement tool, reflectometer, or ellipsometer type tool, that is configured to measure a property of substrate by exposing the substrate W to radiation emitted from a source 813 and then receiving a portion of the signal in a sensor 812 .
  • the results received by the support chamber assembly 801 are then communicated to the system controller 102 so that the system controller 102 can adjust one or more of the process variables in the process sequence to enhance the process results achieved in the system.
  • the support chamber assembly 801 generally contains a substrate support 804 and lift assembly 820 that are positioned within the transfer region 110 C and mounted to the transfer chamber base 110 B of the transfer chamber 110 .
  • the support chamber assembly 801 is positioned adjacent to one or more of the processing chambers (e.g., processing chamber 201 is shown in FIG. 10 ).
  • the measurement assembly 811 is attached to the transfer chamber lid 110 A and can view the processing surface W 1 of the substrate W positioned on the substrate supporting surface 807 of the substrate support 804 through the transparent region 705 that is sealably attached to the chamber lid 110 A.
  • the system controller 102 and an actuator (not shown) contained within the lift assembly 820 can be used to transferred a substrate “W” between the robot blade assembly 113 A and the substrate support 804 .
  • the support chamber assembly 801 is generally designed and configured so that the robot 113 and any of the components in the support chamber assembly 801 will not collide with each other during normal transferring operations completed by the robot 113 .
  • FIG. 11 is a side cross-sectional view of one embodiment of the support chamber assembly 801 that is positioned on the transfer chamber 110 so that the preparation/analysis step 302 and/or the post-processing/analysis step 310 , discussed above, can be performed while the substrate W is positioned on the robot blade assembly 113 A of the robot 113 .
  • the substrate W is positioned so that the radiation emitted from a source 813 is received by a sensor 812 as the substrate passes underneath the support chamber assembly 801 during the process of transferring a substrate through the cluster tool 100 .
  • the system controller 102 and robot 113 are adapted to position and hold the robot blade assembly 113 A and substrate W so that the support chamber assembly 801 can perform an analysis on one or more regions of the substrate.
  • the support chamber assembly 800 and the support chamber assembly 801 are integrated into one complete assembly that is mounted in any available position in a cluster tool, such as positions 114 A- 114 F ( FIG. 2 ) or positions 214 A- 214 E ( FIG. 3 ).
  • the support chamber assembly 800 and/or the support chamber assembly 801 may be integrated into at least one of the load lock chambers 106 A- 106 B (FIGS. 2 or 3 ), as shown in FIGS. 18-20 .
  • the cluster tool 100 contains a preparation chamber that is adapted to perform one or more preclean steps that prepare a surface on a substrate for subsequent device fabrication process steps.
  • Preclean steps are generally important in the stages of semiconductor device fabrication where the length of time between processing steps, or queue time, is critical, or the length of exposure to atmospheric, or other contamination sources, affects the fabricated device yield, fabricated device repeatability, and overall device performance.
  • the queue time issue is created by the amount contamination found on a surface of a substrate due to the time dependent exposure to organic type contaminants that typically out-gas from the cassettes, FOUPs or other substrate handling components.
  • the queue time issue is created by the native oxide growth that is formed prior to forming one or more of the contact level features, which thus affects the formed device performance of different substrates in a batch.
  • the native oxide layer is removed just prior to performing the next processing step, such as a metal oxide semiconductor (MOS) device gate oxide formation step.
  • MOS metal oxide semiconductor
  • Performing the preparation steps thus assures that each substrate processed in the cluster tool starts at the same starting point prior to processing substrates in the cluster tool and thus makes the process results more repeatable.
  • the preparation step thus effectively removes the effect of atmospheric contamination exposure time differences between the first substrate and the last substrate in a batch and the differences between one batch of substrates to another batch of substrates.
  • the system controller 102 is adapted to monitor and control the queue time of the substrates processed in the cluster tool 100 . Minimizing the queue time after a substrate is processed in a first processing chamber and before it is processed in the next processing chamber, will help to control and minimize the effect of the exposure to the contamination sources on device performance.
  • This embodiment may be especially advantageous when used in conjunction with the inspection/analysis and particle/contamination removal steps and other embodiments described in conjunction with FIGS. 2-11 , since the use of the analysis and/or particle/contamination removal steps can be used to further optimize one or more of the substrate processing step within a process sequence that utilizes a preclean process step and one or more substrate processing steps (e.g., PVD, CVD, EPI, dry etch).
  • substrate processing steps e.g., PVD, CVD, EPI, dry etch
  • the analysis and/or particle/contamination removal steps can be used to further optimize the preclean process recipe.
  • the system controller 102 controls the timing of when a process recipe step is started, or ended, to increase the system throughput and reduce any queue time issues.
  • the preclean steps discussed herein may prepare a surface of a substrate by using wet chemical processes and/or plasma modification processes. Two examples of exemplary processes and hardware that may be used to perform one or more of the preparation steps are described below.
  • the preparation/analysis step 302 B in the processing sequence 301 A, illustrated in FIG. 13 utilizes a plasma assisted type preclean processing step to remove a native oxide layer and other contaminants formed on a surface of a substrate prior to this step. Since the presence of a native oxide layers and other contaminants on the surface of the substrate will dramatically affect the device yield and process repeatability results, one or more steps preclean steps may be performed on the substrate.
  • FIG. 13 illustrates an exemplary process sequence 301 A that may perform a preclean process step in the cluster tool 100 ( FIG. 4 ).
  • FIG. 13 is similar to the process sequence 300 shown in FIG. 5 except that a preparation/analysis step 302 B has been added so that the plasma-assisted preclean process can be performed on the substrate surface.
  • the process sequence 301 A contains a preparation/analysis step 302 A that is used to inspect and analyze characteristics of the substrate surface or perform a particle removal step that is followed by the preclean type preparation/analysis step 302 B that is discussed below.
  • the substrate process step 304 and the substrate process step 306 may be selected from one of the following group of processes that include oxide etch, metal etch, EPI, RTP, DPN, PVD, CVD (e.g., CVD polysilicon, TEOS etc.), or other suitable semiconductor substrate processing step.
  • the preparation/analysis step 302 B treatment (which may also be referred to as a preprocessing step) is performed in a preclean chamber 1100 ( FIG. 12 ) that is adapted to perform an etching step and in-situ anneal step.
  • a preclean chamber 1100 FIG. 12
  • a more detailed description of a preclean chamber and process that may be adapted to remove native oxide layers and other contaminants found on the substrate surface may be found in commonly assigned U.S. Patent Application Ser. No. 60/547,839 entitled “In-Situ Dry Clean Chamber For Front End Of Line Fabrication,” filed on Feb. 22, 2005, which is hereby incorporated by reference in its entirety.
  • the preclean chamber 1100 may perform a plasma-enhanced chemical etch process that utilizes both substrate heating and cooling all within a single processing environment, to perform the preprocessing step.
  • FIG. 12 illustrates a partial cross sectional view of a preclean chamber 1100 .
  • the preclean chamber 1100 is a vacuum chamber containing a lid assembly 1101 , a substrate support member 1102 which is temperature-controlled, a chamber body 1110 which is temperature-controlled, and a processing zone 1120 between the lid assembly 1101 and a support surface of the substrate support member 1102 .
  • the substrate support member 1102 is generally adapted to support and control the temperature of the substrate during processing.
  • the lid assembly 1101 contains a process gas supply panel (not shown) as well as a first and second electrode (elements 1130 and 1131 ) that define a plasma cavity for generating plasma adjacent to the processing zone 1120 .
  • the process gas supply panel (not shown) is connected to the gas source 1160 , which provides one or more reactive gases to the plasma cavity, through the second electrode 1131 and into the processing zone 1120 .
  • the second electrode 1131 is positioned over the substrate and adapted to heat the substrate after the plasma-assisted dry etch process is complete.
  • the chamber body 1110 also includes a slit valve opening 1111 formed in a sidewall thereof to provide access to the interior of the preclean chamber 1100 .
  • the slit valve opening 1111 is selectively opened and closed to allow access to the interior of the chamber body 1110 by a substrate handling robot (e.g., robot 113 in FIG. 2 ).
  • the chamber body 1110 includes a fluid channel 1112 formed therein for flowing a heat transfer fluid therethrough.
  • the heat transfer fluid can be a heating fluid or a coolant and is used to control the temperature of the chamber body 1110 during processing and substrate transfer.
  • the temperature of the chamber body 1110 is important to prevent unwanted condensation of the gas or byproducts on the chamber walls.
  • Exemplary heat transfer fluids include water, ethylene glycol, or a mixture thereof.
  • An exemplary heat transfer fluid may also include nitrogen gas.
  • the lid assembly 1101 generally includes a first electrode 1130 to generate a plasma that contains one or more reactive species within the lid assembly 1101 to perform one ore more of the preprocessing steps.
  • the first electrode 1130 is supported on the top plate 1131 and is electrically isolated therefrom.
  • the first electrode 1130 is coupled to a power source 1132 while the second electrode 1131 is connected to ground. Accordingly, a plasma containing one or more process gases is generated in the volumes between the first electrode 1130 and the second electrode 1131 as a process gases are delivered from the gas source 1160 through holes 1133 formed in the top plate into the processing zone 1120 .
  • the power source 1132 that is capable of activating the gases into reactive species and maintaining the plasma of reactive species.
  • the power source 1132 may deliver energy in the form of radio frequency (RF), direct current (DC), or microwave (MW) power to the processing zone 1120 .
  • a remote activation source may be used, such as a remote plasma generator, to generate a plasma of reactive species, which are then delivered into preclean chamber 1100 .
  • the second electrode 1131 may be heated depending on the process gases and operations to be performed within the preclean chamber 1100 .
  • a heating element 1135 such as a resistive heater for example, can be coupled to the second electrode 1131 or the distribution plate. Regulation of the temperature may be facilitated by a thermocouple coupled to the second electrode 1131 or the distribution plate.
  • the gas source 1160 is typically used to provide the one or more gases to the preclean chamber 1100 .
  • the particular gas or gases that are used depend upon the process or processes to be performed within the preclean chamber 1100 .
  • Illustrative gases can include, but are not limited to one or more precursors, reductants, catalysts, carriers, purge, cleaning, or any mixture or combination thereof.
  • the one or more gases introduced to the preclean chamber 1100 flow into the lid assembly 1101 and then into the chamber body 1110 through the second electrode 1131 .
  • any number of gases can be delivered to the preclean chamber 1100 , and can be mixed either in the preclean chamber 1100 or before the gases are delivered to the preclean chamber 1100 .
  • the process gases in the chamber body 1110 may be exhausted by the vacuum assembly 1150 through the apertures 1114 and pumping channel 1115 formed in the liner 1113 .
  • the support assembly 1140 may be at least partially disposed within the chamber body 1110 .
  • the support assembly 1140 can include a substrate support member 1102 to support a substrate (not shown in this view) for processing within the chamber body 1110 .
  • the substrate support member 1102 can be coupled to a lift mechanism (not shown) which extends through a bottom surface of the chamber body 1110 .
  • the lift mechanism (not shown) can be flexibly sealed to the chamber body 1110 by a bellows (not shown) that prevents vacuum leakage from around the lift mechanism.
  • the lift mechanism allows the substrate support member 1102 to be moved vertically within the chamber body 1110 between a process position and a lower, transfer position.
  • the transfer position is slightly below slit valve opening 1111 formed in a sidewall of the chamber body 1110 .
  • the support surface of the substrate support member 1102 has a flat, circular surface or a substantially flat, circular surface for supporting a substrate to be processed thereon.
  • the substrate support member 1102 is preferably constructed of aluminum.
  • the substrate support member 1102 can be moved vertically within the chamber body 1110 so that a distance between substrate support member 1102 and the lid assembly 1101 can be controlled.
  • Substrate support member 1102 may include one or more bores (not shown) formed therethrough to accommodate a lift pin (not shown). Each lift pin is typically constructed of ceramic or ceramic-containing materials, and are used for substrate-handling and transport.
  • the substrate (not shown) may be secured to the substrate support member 1102 using an electrostatic or vacuum chuck.
  • the substrate may be held in place on the substrate support member 1102 by a mechanical clamp (not shown), such as a conventional clamp ring.
  • the temperature of the support assembly 1140 is controlled by a fluid circulated through one or more fluid channels 1141 embedded in the body of the substrate support member 1102 .
  • the fluid channel 1141 is positioned about the substrate support member 1102 to provide a uniform heat transfer to the support surface of the substrate support member 1102 .
  • the fluid channel 1141 may facilitate flow of heat transfer fluids to either heat or cool the substrate support member 1102 . Any suitable heat transfer fluid may be used, such as water, nitrogen, ethylene glycol, or mixtures thereof.
  • the substrate support member 1102 and/or the support assembly 1140 can further include an embedded thermocouple (not shown) for monitoring the temperature of the support surface of the substrate support member 1102 .
  • the substrate support member 1102 can be elevated to close proximity of the lid assembly 1101 to control the temperature of the substrate being processed.
  • the substrate can be heated via radiation emitted from the lid assembly 1101 or the distribution plate, which are heated by heating element 1135 .
  • the substrate can be lifted off the substrate support member 1102 to close proximity of the heated lid assembly 1101 using the lift pins (not shown).
  • the dry etch process begins by placing a substrate, such as a semiconductor substrate, into a preclean chamber.
  • the substrate may be held to the support assembly 1140 of the substrate support member 1102 during processing via a vacuum or electrostatic chuck.
  • the chamber body 1110 is preferably maintained at a temperature of between 50° C. and 80° C., more preferably at about 65° C. This temperature of the chamber body 1110 is maintained by passing a heat transfer medium through fluid channels 1112 located in the chamber body.
  • the substrate is cooled below 65° C., such as between 15° C. and 50° C., by passing a heat transfer medium or coolant through fluid channels 1141 formed within the substrate support 1102 .
  • the substrate is maintained at a temperature of between 22° C. and 40° C.
  • the substrate support 1102 is maintained below about 22° C. to reach the desired substrate temperatures specified above.
  • the ammonia and nitrogen trifluoride gases are then introduced into the preclean chamber to form a cleaning gas mixture.
  • the amount of each gas introduced into the chamber is variable and may be adjusted to accommodate, for example, the thickness of the oxide layer to be removed, the geometry of the substrate being cleaned, the volume capacity of the plasma and the volume capacity of the chamber body 1110 .
  • the gases are added to provide a gas mixture having at least a 1:1 molar ratio of ammonia to nitrogen trifluoride.
  • the molar ratio of the gas mixture is at least about 3 to 1 (ammonia to nitrogen trifluoride).
  • the gases are introduced in the dry etching chamber at a molar ratio of from 5:1 (ammonia to nitrogen trifluoride) to 30:1.
  • the molar ratio of the gas mixture is of from about 5 to 1 (ammonia to nitrogen trifluoride) to about 10 to 1.
  • the molar ratio of the gas mixture may also fall between about 10:1 (ammonia to nitrogen trifluoride) and about 20:1.
  • a purge gas or carrier gas may also be added to the gas mixture.
  • Any suitable purge/carrier gas may be used, such as argon, helium, hydrogen, nitrogen, or mixtures thereof, for example.
  • the overall gas mixture is from about 0.05% to about 20% by volume of ammonia and nitrogen trifluoride. The remainder being the carrier gas.
  • the purge or carrier gas is first introduced into the chamber body 1110 before the reactive gases to stabilize the pressure within the chamber body.
  • the operating pressure within the chamber body can be variable. Typically, the pressure is maintained between about 500 mTorr and about 30 Torr. Preferably, the pressure is maintained between about 1 Torr and about 10 Torr. More preferably, the operating pressure within the chamber body is maintained between about 3 Torr and about 6 Torr.
  • An RF power of from about 5 and about 600 Watts is applied to the first electrode to ignite a plasma of the gas mixture within the plasma cavity.
  • the RF power is less than 100 Watts. More preferable is that the frequency at which the power is applied is very low, such as less than 100 kHz. Preferably, the frequency ranges from about 50 kHz to about 90 kHz.
  • the plasma energy dissociates the ammonia and nitrogen trifluoride gases into reactive species that combine to form a highly reactive ammonia fluoride (NH 4 F) compound and/or ammonium hydrogen fluoride (NH 4 F.HF) in the gas phase. These molecules then flow through the second electrode 1131 to react with the substrate surface to be cleaned.
  • the carrier gas is first introduced into the preclean chamber, a plasma of the carrier gas is generated, and then the reactive gases, ammonia and nitrogen trifluoride, are added to the plasma.
  • the etchant gas NH 4 F and/or NH 4 F.HF, reacts with the native oxide surface to form ammonium hexafluorosilicate (NH 4 ) 2 SiF 6 , NH 3 , and H 2 O products.
  • the NH 3 , and H 2 O are vapors at processing conditions and removed from the chamber by vacuum pump 1150 attached to the chamber. A thin film of (NH 4 ) 2 SiF 6 is left behind on the substrate surface.
  • the substrate support is elevated to an anneal position in close proximity to the heated second electrode.
  • the heat radiated from the second electrode 1131 should be sufficient to dissociate or sublimate the thin film of (NH 4 ) 2 SiF 6 into volatile SiF 4 , NH 3 , and HF products. These volatile products are then removed from the chamber by vacuum assembly.
  • a temperature of 75° C. or more is used to effectively sublimate and remove the thin film from the substrate.
  • a temperature of 100° C. or more is used, such as between about 115° C. and about 200° C.
  • the thermal energy to dissociate the thin film of (NH 4 ) 2 SiF 6 into its volatile components is convected or radiated by the second electrode.
  • a heating element 1135 may be directly coupled to the second electrode 1131 , and is activated to heat the second electrode and the components in thermal contact therewith to a temperature between about 75° C. and 250° C.
  • the second electrode is heated to a temperature of between 100° C. and 150° C., such as about 120° C.
  • the chamber is purged and evacuated.
  • the cleaned substrate is then removed from the chamber by lowering the substrate to the transfer position, de-chucking the substrate, and transferring the substrate through the slit valve opening 1111 .
  • the substrate can then be processed using one or more substrate processing steps selected from one of the following group of processes that may include oxide etch, metal etch, EPI, RTP, DPN, PVD, CVD (e.g., CVD polysilicon, TEOS etc.), or other suitable semiconductor substrate processing step.
  • substrate processing steps selected from one of the following group of processes that may include oxide etch, metal etch, EPI, RTP, DPN, PVD, CVD (e.g., CVD polysilicon, TEOS etc.), or other suitable semiconductor substrate processing step.
  • FIG. 14 illustrates a process sequence 301 B that can be used to improve device yield and process repeatability by performing one or more wet clean type preclean process steps.
  • FIG. 14 illustrates an exemplary process sequence 301 B that may performed in the cluster tool 101 , that is illustrated in FIG. 15 .
  • FIG. 14 is similar to the process sequence 301 A shown in FIG. 13 except that a preparation/analysis step 302 C is performed before the performing the preparation/analysis step 302 A.
  • the preparation/analysis step 302 A includes a substrate preparation/analysis step (e.g., preparation/analysis step 302 in FIG. 5 ) or particle removal step as discussed above.
  • the preparation/analysis step 302 C is a wet clean type substrate preparation step that is discussed below.
  • the substrate proceeds to the substrate process step 304 and the substrate process step 306 , which may be selected from one of the following group of semiconductor device forming processes that may include oxide etch, metal etch, EPI, RTP, DPN, PVD, CVD (e.g., Blok®, CVD polysilicon, TEOS etc.), or other suitable semiconductor substrate processing step.
  • the substrate process step 304 and the substrate process step 306 which may be selected from one of the following group of semiconductor device forming processes that may include oxide etch, metal etch, EPI, RTP, DPN, PVD, CVD (e.g., Blok®, CVD polysilicon, TEOS etc.), or other suitable semiconductor substrate processing step.
  • FIG. 15 is a plan view of one embodiment of a cluster tool 101 that contains a processing region 120 , a linking module 350 and a front-end environment 104 .
  • the processing region 120 generally contains the components discussed above in conjunction with FIG. 2 , which generally includes one or more processing chambers 201 - 204 , one or more support chambers 211 (two are shown), a transfer chamber 110 , and load lock chambers 106 A- 106 B.
  • the load lock chambers 106 A- 106 B are in communication with the transfer chamber 110 and a linking module 350 .
  • the support chamber 211 may be positioned in other areas of the cluster tool, such as positions 114 A-F, positions 214 A-D and positions 354 A-B in the linking module 350 .
  • the linking module 350 generally has a transfer region 351 that connects the front-end environment 104 to the processing region 120 .
  • the linking module 350 generally contains a link robot 330 and one or more wet clean chambers 360 .
  • the link robot 330 has a slide assembly 331 that is adapted to enable the link robot 330 to transfer substrates between the load lock chambers 106 A- 106 B, the wet clean chambers 360 , and support stage 104 A, within the front-end environment 104 .
  • the link robot 330 disposed in the transfer region 351 of the linking module 350 , is generally capable of linear, rotational, and vertical movement, in order to shuttle substrates between the load lock chambers 106 A, 106 B and the support stage 104 A positioned on the front-end environment 104 .
  • the front-end environment 104 is generally used to transfer substrates from a cassette (not shown) seated in the plurality of pods 105 through an atmospheric pressure clean environment/enclosure to some desired location, such as a the support stage 104 A.
  • the wet clean chamber 360 is generally a chamber that is adapted to remove the native oxide layer and other contaminants found on an exposed substrate surface using one or more wet chemical processing steps.
  • the wet clean chamber 360 may be a wet-clean chamber sold under the tradename “Emersion”, a TEMPESTTM wet-clean chamber, both available from Applied Materials, Inc., or other suitable cleaning chamber.
  • An example of an exemplary wet clean chamber 360 is further described in the commonly assigned U.S. patent application Ser. No. 09/891,849, filed Jun. 25, 2001, and the commonly assigned U.S. patent application Ser. No. 10/121,635, filed Apr. 11, 2002, which are both incorporated by reference herein in their entirety.
  • the wet clean chamber 360 is generally configured to clean a surface of the substrate.
  • the wet clean chamber is adapted to perform one or more process steps that cause compounds exposed on the surface of the substrate to terminate in a functional group.
  • Functional groups attached and/or formed on the surface of the substrate include hydroxyls (OH), alkoxy (OR, where R ⁇ Me, Et, Pr or Bu), haloxyls (OX, where X ⁇ F, Cl, Br or I), halides (F, Cl, Br or I), oxygen radicals and aminos (NR or NR 2 , where R ⁇ H, Me, Et, Pr or Bu).
  • the wet cleaning process may expose the surface of the substrate to a reagent, such as NH 3 , B 2 H 6 , SiH 4 , SiH 6 , H 2 O, HF, HCl, O 2 , O 3 , H 2 O, H 2 O 2 , H 2 , atomic-H, atomic-N, atomic-O, alcohols, amines, plasmas thereof, derivatives thereof or combinations thereof.
  • the functional groups may provide a base for an incoming chemical precursor used in the subsequent CVD or atomic layer deposition (ALD) steps to attach on the surface of the substrate.
  • the wet clean process may expose the surface of the substrate to a reagent for a period from about 1 second to about 2 minutes.
  • Wet clean process may also include exposing the surface of the substrate to an RCA solution (SC 1 /SC 2 ), an HF-last solution, water vapor from WVG or ISSG systems, peroxide solutions, acidic solutions, basic solutions, plasmas thereof, derivatives thereof or combinations thereof.
  • RCA solution SC 1 /SC 2
  • HF-last solution water vapor from WVG or ISSG systems
  • peroxide solutions acidic solutions, basic solutions, plasmas thereof, derivatives thereof or combinations thereof.
  • a native oxide layer is removed prior to exposing substrate to a second process step that forms a chemical oxide layer having a thickness of about 10 ⁇ or less, such as from about 5 ⁇ to about 7 ⁇ .
  • Native oxides may be removed by a HF-last solution.
  • the wet-clean process may be performed in a TEMPESTTM wet-clean system, available from Applied Materials, Inc.
  • substrate is exposed to water vapor derived from a WVG system for about 15 seconds.
  • a conventional HF-last processing step uses aqueous solutions that contain typically less than about 1% HF as the last step in the processing sequence to form a passivation layer on an exposed silicon surface. The HF-last process may be useful to reliably form a high quality gate oxide layer.
  • the substrate can then be processed using one or more substrate processing steps selected from one of the following group of processes that may include oxide etch, metal etch, EPI, RTP, DPN, PVD, CVD (e.g., CVD polysilicon, TEOS etc.), or other suitable semiconductor substrate processing step.
  • substrate processing steps selected from one of the following group of processes that may include oxide etch, metal etch, EPI, RTP, DPN, PVD, CVD (e.g., CVD polysilicon, TEOS etc.), or other suitable semiconductor substrate processing step.
  • one or more clean processes may be performed prior to performing a deposition step to assure that the surface of the substrate is at a desired cleanliness level.
  • one or more of the processing chambers 201 - 204 , or support chambers 211 contain a radiation source that is adapted to deliver one or more wavelengths of UV light to clean a surface of the substrate to reduce the queue time effect, and thus prepare substrates for subsequent deposition processes, such as CVD, PVD, or ALD type processes.
  • a substrate processing sequence includes a preparation step, such as a wet clean type substrate preparation step (preparation/analysis step 302 C in FIG. 14 ) or preclean processing step (preparation/analysis step 302 B in FIG.
  • a UV clean process step to enhance the cleanliness of the surface of the substrate and more repeatably control the state of the substrate surface just prior to performing a substrate fabrication step, such as a EPI, CVD, PVD, or ALD deposition process.
  • the preparation steps such as a wet clean type substrate preparation step or preclean processing step can thus be used to remove the bulk of the contamination or native oxide layer on the substrate surface, while the UV clean process is used to finally prepare and/or passivate the substrate surface just prior to the completion of a subsequent substrate processing step.
  • the UV clean process is used to reduce the temperature at which a cleaning and/or passivation process is carried out versus other conventional cleaning techniques to reduce thermal budget concerns.
  • the substrate temperature during processing when using a desirable amount of UV radiation may be less than 750° C., and typically less than 700° C.
  • the UV enhanced process is performed at a temperature ranging between about 500° C. and about 700° C.
  • Conventional silicon-containing substrate cleaning and passivation steps which are commonly used just prior to an EPI deposition step, are typically performed at a temperature ranging from about 750° C. and about 1,000° C.
  • the UV clean process is performed to prepare a clean and passivated silicon-containing substrate surface for the deposition of epitaxially-grown, silicon-containing films.
  • the particle reduction chamber 700 is further adapted to perform the cleaning process on the surface of the substrate.
  • the particle reduction chamber 700 contains an enclosure 701 , a radiation source 711 , a substrate support 704 , a heating element 722 , a vacuum pump 736 and a gas delivery source 735 that is adapted to deliver a cleaning gas that contains a reducing gas, such as hydrogen to the processing region 710 .
  • the vacuum pump 736 is used to control the pressure in the processing region 710 between about 0.1 and about 80 Torr during the substrate surface cleaning and passivation process.
  • the heating elements 722 and system controller 102 are used to control the substrate temperature during processing to ranges between about 550° C.
  • the system controller 102 and radiation source 711 are used to control the power density of the UV radiation to a range from about 1 mW/cm2 to about 25 mW/cm2 at one or more wavelengths between about 120 nm and about 430 nm.
  • the UV clean process is completed by exposing the substrate to clean gas containing hydrogen with simultaneous exposure to radiation at a wavelength of about 180 nm or lower.
  • the hydrogen flow rate is maintained in a range between about 25 slm and about 50 slm, while the temperature at the substrate surface is in the range of 500° C. to 650° C. for a time period ranging from about 1 minute to about 5 minutes.
  • the pressure in the processing region may range from about 0.1 Torr to about 100 Torr, typically the pressure is in the range of about 5 Torr to about 30 Torr.
  • the power density of the UV radiation delivered to the surface of the substrate may range from about 2 mW/cm2 to about 25 mW/cm2.
  • a UV clean process 302 D is performed after performing the preclean process step 302 B and prior to performing the process step 304 .
  • the process sequence 301 C, illustrated in FIG. 16 is similar to the process sequence shown in FIG. 13 except that a transfer step A 3 ′ and a UV clean process 302 D have been added to perform the UV clean process 302 D.
  • FIG. 16 is not intended to limit the order in which the UV clean process may be performed within a processing sequence, since the cleaning process can be performed before or after any of the processing steps without varying from the basic scope the invention.
  • a source of UV radiation, a substrate heater and a clean gas source are attached or contained within one or more of the processing chambers (e.g., processing chambers 201 - 204 ) mounted within the cluster tool so that the UV clean process can be performed therein.
  • the UV clean process may be performed in a process chamber prior to performing a deposition process and thus a separate transfer step A 3 ′ ( FIG. 16 ) is not needed.
  • a UV radiation source (not shown) is added to the preclean chamber 1100 illustrated in FIG. 12 to improve the process results of the preclean process preformed on the substrate surface.
  • one or more metrology steps are performed on the substrate after performing the UV cleaning process to analyze the state of various regions of the substrate so that corrective actions can be made by the system controller to improve the effectiveness of the UV clean process on subsequent substrates and/or improve the process results achieved in one or more of the subsequent processes.
  • the UV clean process variables may include the UV clean process time, the intensity of the UV power delivered to the substrate surface, and/or the substrate temperature.
  • one or more metrology steps are performed after the UV clean process has been performed and one or more subsequent substrate processing steps (e.g., PVD, CVD or ALD deposition steps) are performed on the substrate surface.
  • the metrology steps can be used to rapidly analyze the state of a region on the substrate surface to allow the system controller to make adjustments to one or more of the process variables within one or more of the process steps within the processing sequence to improve the achieved process results.
  • the process variables may include any of the UV clean process variables (e.g., UV clean process time, UV source power) or substrate processing process variables (e.g., RF power, process pressure, gas flow rate, film thickness, deposition rate, substrate temperature).
  • an XRD device is used to measure and feedback the stress in a film deposited on the surface of a first substrate. Therefore, if the measured stress is out of a desired range the system controller can, for example, adjust the length of the UV clean process to improve the substrate surface cleanliness and reduce the stress in a deposited layer formed on a second substrate. This process can be important when used in cases where the deposited film properties (e.g., stress/strain) are very sensitive to the state of substrate surface prior to deposition, such as epitaxially deposited silicon layers.
  • the deposited film properties e.g., stress/strain
  • the integration of the metrology step in the cluster tool allows the rapid feedback of desirable or undesirable process results after one or more processing steps in a process sequence to help reduce substrate scrap and device variability.
  • the integrated metrology step within a cluster tool also improves the productivity of the cluster tool by possibly removing the need to waste time running test wafers or dummy wafers through the cluster tool to pre-qualify one or more of the process steps.
  • the use of one or more metrology chambers that are within, or in communication with, the controlled vacuum or inert environment regions of the cluster tool prevents and/or minimizes the interaction of the substrate surface with oxygen or other contaminants to provide more rapid and realistic metrology results versus process sequences that require the metrology steps to be performed outside of the controlled vacuum or inert environment. It is thus generally desirable to configure the cluster tool so that the metrology chamber(s) are attached to the cluster tool so that the transferring processes to and from the metrology chambers are performed within an environment that has a low partial pressure of oxygen or other contaminants.
  • a substrate processing chamber contains a UV radiation source that is adapted to reduce the substrate processing temperature during a substrate processing step (e.g., substrate process steps 304 - 306 in FIGS. 13, 14 and 16 ).
  • the need to reduce the substrate processing temperatures is becoming increasingly important as the feature sizes are decreased to 45 nm, and below.
  • the need to reduce the processing temperature is created by the need to minimize or avoid the device yield issues caused by the interdiffusion of materials between the layers of a formed device.
  • Lower process temperatures are required for both substrate preparation steps and substrate fabrication steps. Reducing the substrate processing temperature improves the thermal budget of the formed device, which thus improves device yield and the useable lifetime of the formed device. It is thus desirable to use one or more process steps that contain a reduced processing temperature within a device fabrication processing sequence.
  • a substrate processing chamber hereafter processing chamber, exposes one or more surfaces of a substrate to UV radiation during the step of performing the device fabrication process.
  • the source of UV radiation is adapted to deliver enough energy to the surface of the substrate to reduce the need for thermal energy to cause the deposition or etching process to occur on the surface of the substrate.
  • a radiation source that is adapted to deliver the UV radiation at wavelengths between about 120 and about 430 nanometers (nm) at a power density between about 5 mWatts/cm 2 and about 25 mWatts/cm 2 to a surface of the substrate, is useful to assist most conventional CVD or ALD processes.
  • the UV radiation wavelength and delivered power may need to be adjusted for a given temperature, precursor and substrate combinations.
  • the radiation from the radiation source may be supplied by a lamp containing elements, such as xenon, argon, krypton, nitrogen, xenon chloride, krypton fluoride, argon fluoride.
  • a typical radiation source may be a conventional UV lamp (e.g., mercury vapor lamp, halogen type lamp) or other similar device. Combinations of UV radiation sources having different emitted wavelengths may also be used.
  • the pressure in the processing chamber during processing is in a range between about 0.1 and about 80 Torr.
  • FIG. 16 illustrates a schematic side cross-sectional view of an exemplary process chamber 1600 which may be employed as one or more of the processing chambers 201 - 204 in the cluster tool 100 illustrated in FIGS. 2-3 .
  • the deposition process chamber includes a stainless steel housing structure 1601 which encloses various functioning elements of the process chamber 1600 .
  • a quartz chamber 1630 includes an upper quartz chamber 1605 , in which the UV radiation source 1608 is contained, and a lower quartz chamber 1624 , in which a processing volume 1618 is contained. Reactive species are provided to processing volume 1618 and processing byproducts are removed from processing volume 1618 .
  • a substrate 1614 rests on a pedestal 1617 , and the reactive species are applied to surface 1616 of the substrate 1614 , with byproducts subsequently removed from surface 1616 .
  • Heating of the substrate 1614 and the processing volume 1618 is provided for using the infrared lamps 1610 . Radiation from infrared lamps 1610 travels through upper quartz window 1604 of upper quartz chamber 1605 and through the lower quartz portion 1603 of lower quartz chamber 1624 .
  • One or more cooling gases for upper quartz chamber 1605 enter through inlet 1611 and exit 1613 through an outlet 1628 .
  • a precursor, as well as diluent, purge and vent gases for lower quartz chamber 1624 enter through inlet 1620 and exit 1622 through outlet 1638 .
  • the outlets 1628 and 1638 are in communication with the same vacuum pump or are controlled to be at the same pressure using separate pumps, so that the pressure in upper quartz chamber 1605 and lower quartz chamber 1624 will be equalized.
  • the UV radiation is thus used to energize reactive species and assist in adsorption of reactants and desorption of process byproducts from the surface 1616 of substrate 1614 .
  • An exemplary deposition chamber, UV clean process and process for depositing an EPI film using a UV assisted deposition process is further described in the commonly assigned U.S.
  • the deposition of a silicon nitride (SiN) film is carried out in the process chamber 1600 using a mixture of disilane (Si2H6) plus ammonia (NH3) at a temperature of about 400° C., while UV radiation is delivered at a wavelength within the range of about 172 nm at a power density between about 5 and about 10 mWatts/cm2.
  • SiN deposition processes require temperatures of about 650° C. or higher.
  • one or more metrology steps are performed after performing one or more UV assisted substrate processing steps (e.g., a deposition step).
  • the metrology steps can be used to rapidly analyze the state of one or more layers deposited on the substrate surface to allow the system controller to make adjustments to the process variables in the substrate processing step to improve the process of forming the layer on the substrate surface.
  • the process variables may include, for example, UV radiation intensity (e.g., power), deposition time, process pressure, flow rate of process gases, RF power, film thickness, or substrate temperature.
  • an XRD device is used to measure and feedback the stress in a film deposited on the surface of a first substrate so that the system controller can, for example, adjust the UV power during subsequent deposition processes to improve the film properties, such as stress, in layers formed using the UV assisted deposition process.
  • This process can be important when used in cases where the deposited film properties (e.g., stress/strain) are very sensitive to the thermal environment during the deposition process.
  • the integration of the metrology process step in the cluster tool allows the rapid feedback of desirable or undesirable process results achieved after one or more of the substrate fabrication process steps, which thus helps to improve device yield by reducing the number of misprocessed substrates and improve the productivity of the cluster tool by removing the need to waste time running test wafers through one or more of the process steps contained within a process sequence performed in the cluster tool to pre-qualify one or more of the processes performed within the process sequence.
  • FIG. 18 is a schematic side view of one embodiment of a support chamber assembly 801 that is integrated into a load lock chamber 106 .
  • the load lock chamber 106 generally comprises a chamber body 1802 , an upper substrate holder 1804 , a lower substrate holder 1806 , and an optical source 811 , which may be an optical device, such as an ultra violet light source, or UV source.
  • the chamber body 1802 may be fabricated from a singular body of material such as aluminum.
  • the chamber body 1802 also includes a first side wall 1810 , a second side wall 1808 , lateral walls ( 1842 in FIG. 19 ), a top 1814 , and a bottom 1816 that defines an evacuable or controllable environment, generally referred to as a variable pressure region 1818 .
  • variable pressure region 1818 is adapted to cycle between about 10 ⁇ 6 Torr when in communication with the transfer chamber 110 , and at or near ambient atmosphere when in communication with the factory interface 104 .
  • An example of a load lock chamber 106 that may be used is described U.S. Pat. No. 6,841,200, filed Mar. 17, 2003 and issued Jan. 11, 2005, which is incorporated by reference in its entirety.
  • the load lock chamber 106 includes a pedestal 1840 .
  • the pedestal 1840 may be coupled to the bottom 1816 of the chamber body 1802 by a flexible support 1878 adapted to vacuum within the variable pressure region 1818 .
  • the pedestal 1840 may be movably coupled to the chamber body 1802 , wherein the pedestal 1840 is adapted to move laterally or horizontally relative to the bottom 1816 and the source 811 , and vacuum may be maintained by a cover 1898 alone, or in combination with the flexible support 1878 .
  • the pedestal 1840 is adapted to move vertically and/or horizontally by a motor 1896 coupled to the pedestal 1840 by a shaft 1882 .
  • the pedestal 1840 generally includes a platen 1880 which is generally fabricated from a thermally conductive material such as aluminum or stainless steel, but may alternatively be comprised of other materials such as ceramic.
  • the platen 1880 generally has a heat transfer element 1886 , such as fluid passage disposed in the platen 1880 , or a fluid passage disposed in contact with a lower surface 1888 of the platen 1880 .
  • the heat transfer element 1886 may be a circulated water jacket, a thermoelectric device such as a resistive heating device, or other structure that may be utilized to control the temperature of the platen 1880 .
  • the heat transfer element 1886 comprises a tube 1890 disposed proximate the lower surface 1888 of the platen 1880 .
  • the tube 1890 is coupled to a fluid source 1894 that circulates a fluid through the tube.
  • the fluid for example facility water, from the fluid source 1894 , may optionally be thermally regulated.
  • the tube 1890 may be disposed in a substantially circular or spiral pattern against the lower surface 1888 of the platen 1880 .
  • the tube 1890 is brazed to the lower surface 1888 , or adhered using a conductive adhesive.
  • a conductive plate (not shown), such as a copper plate may alternatively be disposed between the tube 1890 and platen 1880 to promote uniformity of heat transfer across the width of the platen 1880 .
  • the environment of the variable pressure region 1818 may be controlled so that it may be evacuated to substantially match the environment of the transfer region 110 C of the transfer chamber 110 , and may be vented to substantially match the environment of the transfer region 104 B of the factory interface 104 .
  • the chamber body 1802 includes a vent passage 1830 and a pump passage 1832 .
  • the vent passage 1830 and the pump passage 1832 are positioned at opposite ends of the chamber body 1802 to promote laminar flow within the variable pressure region 1818 , during venting and evacuation, to minimize particulate contamination.
  • the vent passage 1830 is disposed through the top 1814 of the chamber body 1802
  • the pump passage 1832 is disposed through the bottom 1816 of the chamber body 1802 .
  • the passages 1830 , 1832 typically are coupled to valves 1812 to selectively allow flow into and out of the variable pressure region 1818 .
  • the passages 1830 , 1832 may be positioned at opposite ends of one of the chamber walls, or on opposing or adjacent walls.
  • the environment of the variable pressure region 1818 may be controlled so that it may be evacuated to substantially match the environment of the transfer region 110 C of the transfer chamber 110 , and may be vented to substantially match the environment of the transfer region 104 B of the factory interface 104 .
  • the chamber body 1802 includes a vent passage 1830 and a pump passage 1832 .
  • the vent passage 1830 and the pump passage 1832 are positioned at opposite ends of the chamber body 1802 to promote laminar flow within the variable pressure region 1818 , during venting and evacuation, to minimize particulate contamination.
  • the vent passage 1830 is disposed through the top 1814 of the chamber body 1802
  • the pump passage 1832 is disposed through the bottom 1816 of the chamber body 1802 .
  • the passages 1830 , 1832 typically are coupled to valves 1812 to selectively allow flow into and out of the variable pressure region 1818 .
  • the passages 1830 , 1832 may be positioned at opposite ends of one of the chamber walls, or on opposing or adjacent walls.
  • vent passage 1830 is coupled to a high efficiency air filter 1836 .
  • the pump passage 1832 may be coupled to a point-of-use pump having low vibration generation to minimize disturbance of the substrates W positioned within the load lock chamber 106 , while promoting pump-down efficiency and abbreviating time by minimizing the fluid path between the chamber 106 and pump to generally less than three feet.
  • An inlet or first port 1839 is disposed in the first wall 1810 of the chamber body 1802 to allow substrates W to be transferred between the load lock chamber 106 and the factory interface 104 .
  • a first valve or inlet valve 1846 such as a slit valve, selectively seals the first port 1839 to isolate the load lock chamber 106 from the factory interface 104 .
  • An outlet or second port 1838 is disposed in the second wall 1808 of the chamber body 1802 to allow substrates W to be transferred between the load lock chamber 106 and the transfer chamber 110 .
  • a second valve or outlet valve 1844 such as a slit valve, selectively seals the second port 1838 to isolate the load lock chamber 106 from the vacuum environment of the transfer chamber 110 .
  • valves 1844 , 1846 and the ports 1838 , 1839 may be referred to as inlets and outlets, the substrates W may pass through the load lock chamber 106 from the transfer chamber 110 to the factory interface 104 , and from the factory interface 104 to the transfer chamber 110 .
  • an elevator assembly 1815 which may be any device capable of receiving and supporting one or more substrates and capable of moving the substrates vertically, is disposed in the variable pressure region 1818 .
  • the elevator assembly 1815 includes an upper substrate holder 1804 is concentrically coupled to (i.e., stacked on top of) a lower substrate holder 1806 that is disposed above the chamber bottom 1816 .
  • the substrate holders 1804 and 1806 are generally mounted to a hoop 1820 that is coupled to a shaft 1884 that extends through an aperture formed in the bottom 1816 of the chamber body 1802 .
  • each substrate holder 1804 , 1806 is configured to retain one substrate W, and the upper substrate holder 1804 is utilized to hold an unprocessed substrate while the lower substrate holder 1806 is utilized to hold a processed substrate returning from the transfer chamber 110 , or vice-versa.
  • the shaft 1884 is coupled to a lift mechanism 1894 that controls the elevation of the substrate holders 1804 and 1806 within the chamber body 1806 .
  • a flexible connection, such as bellows 1886 is generally disposed around the shaft 1884 to maintain pressure within the variable pressure region 1818 and to prevent leakage from, or into, the body 1806 .
  • the source 811 is coupled to the top 1814 and an interior surface 1870 of the source 811 is in communication with the variable pressure region 1818 through an aperture 1872 formed in the top 1814 .
  • the source 811 may be adapted to perform the preparation/analysis step 302 and/or the post-processing/analysis step 310 ( FIG. 5 ) to analyze a property of the substrate before or after performing a processing step in a processing sequence.
  • the source 811 may be adapted to analyze a property of a substrate using a XRD, XPS, reflectometer, or ellipsometer techniques, as described above. In other embodiments, the source 811 may be adapted to perform a particle reduction step as described above.
  • the source 811 is typically coupled to the system controller 102 , and data collected from the source 811 is then used by the system controller 102 to adjust one or more process variables in one or more of the processing steps to facilitate favorable process results on subsequently processed substrates.
  • the data provided by the source 811 is obtained in-situ (i.e., within the tool) and feedback to the controller 102 may be processed at or near real time, which provides enhanced process parameter control for subsequent process steps.
  • the source 811 is a UV light source and generally includes a measurement tool 814 that is housed within and shares the environment of the variable pressure region 1818 .
  • the measurement tool 814 may be an optical instrument configured to emit photons in multiple wavelengths, such as in the deep ultra-violet (DUV) wavelength range or lesser wavelengths, such as the vacuum ultra-violet (VUV) range.
  • the measurement tool 814 may be adapted to emit photons in the DUV spectrum, which is between about 200 nm to about 600 nm, and the VUV spectrum, which is about 200 nm or less, more specifically, 190 nm or less.
  • the measurement tool 814 comprises a source and a sensor as described in other embodiments.
  • the source may include a lamp, a narrow band source, or a windowless discharge source, and a beam conditioner (not shown).
  • the sensor may include a spectrometer and an array detector (not shown). Beam delivery optics (not shown) to provide direction and focusing of photons, may also be included within one or both of the source and sensor.
  • the source 811 includes a positioning device 1805 configured to move the measurement tool 814 relative to the substrate W.
  • the positioning device 1805 may be statically or movably coupled to an upper surface 1870 of the top 1814 , and is adapted to position or move the measurement tool 814 horizontally and vertically relative to the substrate W.
  • the positioning device 1805 may be coupled to the controller 102 and in one embodiment, is a stage adapted to move linearly and/or rotationally to position the measurement tool 814 with respect to the substrate W.
  • focusing and/or positioning of the substrate W to facilitate pre-or post-processing and/or inspection is provided by moving the substrate W relative to the source 811 .
  • the movement of the substrate W may be provided by one or both of the substrate holders 1804 , 1806 , or the positioning of the substrate may be provided by a blade assembly 113 A, as shown in FIGS. 9 and 11 , for example.
  • the robot or the holders may be adapted to move linearly and/or rotate relative to the source 811 to provide one or more sampling areas located on the substrate W to be exposed to the source 811 .
  • the focusing and/or positioning to facilitate pre-or post-processing and/or inspection is provided by moving the source relative to the substrate W with the positioning device 1805 as described above.
  • FIG. 19 depicts one embodiment of the substrate holders 1804 , 1806 coupled to the hoop 1820 .
  • the lower substrate holder 1806 is generally held above the bottom 1816 of the chamber body 1802 by the hoop 1820 .
  • a first standoff 1908 is disposed between each member 1904 , 1906 to maintain the lower substrate holder 1806 in a spaced-apart relation to the hoop 1820 .
  • a second standoff 1910 is disposed between the upper and lower substrate holders 1804 , 1806 to maintain a spaced-apart relation therebetween.
  • the standoffs 1908 , 1910 allow a robot blade of the transfer and factory interface robots 113 , 108 to pass therebetween when retrieving and depositing substrates on the substrate holders 1804 , 1806 .
  • each substrate holder 1804 , 1806 includes a first member 1904 and a second member 1906 .
  • Each holder 1804 , 1806 may alternatively include an “L-shaped” configuration that incorporates a standoff portion that maintains a spaced-apart relation between holders 1804 , 1806 and adjacent components of the load lock chamber 106 .
  • Each member 1904 , 1906 includes a curved inner portion 1912 that has a lip 1914 extending radially inwards therefrom and is configured to retain the substrate W therebetween.
  • the curved inner portion 1912 is generally configured to allow the substrate W to pass therebetween and rest on the lip 1914 .
  • the hoop 1820 may be raised or lowered to facilitate transfer of a substrate W. Additionally, the hoop 1820 may be raised or lowered to a first position to facilitate focusing of the measurement tool 814 . In embodiments where the temperature control pedestal is used, the hoop 1820 may be lowered to a second position where an upper surface 1892 of the platen 1880 is in close proximity or in contact with the substrate W supported by the lower substrate holder 1806 . In this manner, a substrate W supported in the upper substrate holder 1804 may be analyzed, while a substrate W supported in the lower substrate holder 1806 may be heated or cooled by the platen 1880 .
  • a substrate W supported by the lower substrate holder 1806 may be analyzed when the upper substrate holder 1804 does not have a substrate.
  • the platen 1880 may additionally be coupled to a shaft 1882 to allow vertical movement of the platen 1880 relative to the hoop 1820 by action of a lift mechanism 1896 disposed exterior to the load lock chamber 106 .
  • the flexible support 1878 such as bellows, or the cover 1898 , facilitates maintenance of pressure within the variable pressure region 1818 while allowing the platen 1880 to move within the load lock chamber 106 .
  • FIG. 20 is a flow chart of a method 2000 for integrating the support chamber assembly 801 and an inspection step 2045 within an exemplary process sequence of the load lock chamber 106 .
  • incoming substrates may be placed in the factory interface 104 awaiting transfer to the cluster tool, and processed or outgoing substrates may be in the cluster tool awaiting transfer to the factory interface 104 .
  • an outgoing substrate (substrate W N in FIG. 20 ) may have been previously transferred to the load lock chamber 106 from the transfer chamber 110 and is awaiting transfer to the factory interface 104 in one of the substrate holders 1804 , 1806 , such as the lower substrate holder 1806 (HOLDER 2 in FIG. 20 ).
  • Step 2020 includes positioning upper substrate holder 1804 (HOLDER 1 in FIG. 20 ) to an exchange position while the first valve 1846 (V 1 in FIG. 20 ) is opened to allow the factory interface environment to be in communication with the variable pressure environment 1818 of the load lock chamber 106 .
  • the factory interface robot transfers a substrate W 1 to HOLDER 1 and at 2030 , HOLDER 2 is positioned at an exchange position to facilitate exchange of substrate W N to the factory interface 104 .
  • the factory interface robot transfers substrate W N from HOLDER 2 to the factory interface 104 .
  • the first valve V 1 may be closed at step 2040 .
  • the load lock chamber 106 is pumped down to a suitable pressure that may be substantially equal to the pressure in the transfer chamber 110 .
  • This pumping may comprise a time period of about 15 seconds or less, such as about 12 seconds to about 15 seconds, during which time substrate W 1 may sit idle, or be heated or cooled by the platen 1880 .
  • the majority of the pressure drop occurs in the first few seconds, thus creating an enhanced environment in the variable pressure region 1818 for DUV light and/or a suitable pressure condition for VUV light.
  • an inspection step 2045 such as a metrology process performed by the source 811 , may be performed concurrently on the substrate W 1 while the load lock chamber 106 is pumped down.
  • the elevator assembly 1815 may be raised or lowered to bring the substrate W 1 in a desired vertical relationship relative to the measurement tool 814 .
  • the measurement tool 814 which may be statically or movably coupled to the positioning device 1805 , which in turn, may be statically or movably coupled to the interior surface 1870 of the source 811 , provides vertical, horizontal, and/or rotational movement to the measurement tool 814 .
  • the measurement tool 814 may be moved relative to the substrate W 1 in order to facilitate focusing and inspection.
  • one or more sampling areas located on substrate W 1 may be accessed and analyzed by the measurement tool 814 to provide data to be processed by the system controller 102 , which may adjust subsequent processing variables performed on substrate W 1 .
  • HOLDER 1 may be positioned to an exchange position while valve V 2 is opened, which puts the variable pressure region 1818 in communication with the environment of the transfer region 110 C.
  • substrate W 1 may be retrieved by the transfer robot and transferred into the transfer chamber 110 .
  • Data collected from the inspection step 2045 may be processed by the system controller 102 and processing parameters may be adjusted based on the data prior to substrate W 1 being transferred to a process chamber on the cluster tool.
  • HOLDER 2 is positioned in an exchange position to facilitate transfer of another outgoing substrate (substrate W 2 in FIG. 20 ) from the transfer chamber 110 to HOLDER 2 at step 2075 .
  • valve V 2 may be closed and the load lock chamber 106 may be vented as described in step 2010 .
  • the venting at step 2010 may comprise a time period of about 15 seconds or less, such as about 12 seconds to about 15 seconds, during which time substrate W 2 may sit idle, or be heated or cooled by the platen 1880 , an inspection step 2045 may be performed on substrate W 2 .
  • the elevator assembly 1815 specifically HOLDER 2
  • the measurement tool 814 which may be statically or movably coupled to the positioning device 1805 , which in turn, may be statically or movably coupled to the interior surface 1870 of the source 811 , provides vertical, horizontal, and/or rotational movement to the measurement tool 814 .
  • the measurement tool 814 may be moved relative to the substrate W 2 in order to facilitate focusing and inspection.
  • one or more sampling areas located on substrate W 2 may be accessed and analyzed by the measurement tool 814 to provide data to be processed by the system controller 102 , which may adjust subsequent processing variables performed on subsequent substrates.
  • the inspection step 2045 may be performed on substrates at any time prior to the variable pressure region 1818 being vented to at or near ambient pressure.
  • the inspection step 2045 on substrates may be performed during venting or pumping down of the load lock chamber 106 , providing the pressure in the variable pressure region 1818 is suitable for DUV light and/or VUV light, and/or the atmosphere or environment within the variable pressure region 1818 is non-absorbing to DUV and/or VUV light.
  • variable pressure region 1818 may be purged or otherwise supplied with gas from a gas source 1881 that is substantially transparent to, or otherwise permits transmission of, light in the DUV and/or VUV wavelengths.
  • the gas may be provided to the variable pressure region 1818 by an inlet port 1871 formed in or coupled to the chamber body 1802 , and the gas source 1881 may comprise a gas that is chosen to minimize or eliminate absorption of DUV photons and/or VUV photons, such as nitrogen (N 2 ), helium (He), argon (Ar), or combinations thereof.
  • a gas that is chosen to minimize or eliminate absorption of DUV photons and/or VUV photons, such as nitrogen (N 2 ), helium (He), argon (Ar), or combinations thereof.

Abstract

Aspects of the invention generally provide an apparatus and method for processing substrates using a multi-chamber processing system that is adapted to process substrates and analyze the results of the processes performed on the substrate. In one aspect of the invention, one or more analysis steps and/or pre-processing steps are performed on the substrate to provide data for processes performed on subsequent substrates. In one aspect of the invention, a system controller and one or more analysis devices are utilized to monitor and control a process chamber recipe and/or a process sequence to reduce substrate scrap due to defects in the formed device and device performance variability issues. Embodiments of the present invention also generally provide methods and a system for repeatably and reliably forming semiconductor devices used in a variety of applications.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation-in-part of U.S. patent application Ser. No. 11/460,864 (Attorney Docket No. 009526.P1), filed Jul. 28, 2006, which is a continuation-in-part of U.S. patent application Ser. No. 11/286,063 (Attorney Docket No. 009526), filed Nov. 22, 2005, which claims benefit of U.S. Provisional Patent Application Ser. No. 60/630,501 (Attorney Docket No. 009529L), filed Nov. 22, 2004, and U.S. Provisional Patent Application Ser. No. 60/642,877 (Attorney Docket No. 009526L02), filed Jan. 10, 2005, which are all herein incorporated by reference.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • Embodiments of the invention generally relate to an integrated processing system configured to perform processing steps on semiconductor substrates, and to perform testing and analysis of the substrates before and/or after processing. More particularly, the invention relates to integration of an analysis device in a vacuum environment of the processing system.
  • 2. Description of the Related Art
  • The process of forming semiconductor device is commonly done in a multi-chamber processing system (e.g., a cluster tool) which has the capability to process substrates, (e.g., semiconductor wafers) in a controlled processing environment. A typical controlled processing environment will include a system that has a mainframe which houses a substrate transfer robot which transports substrates between a load lock chamber and multiple vacuum processing chambers, which are connected to the mainframe. The controlled processing environment has many benefits which include minimizing contamination of the substrate surfaces during transfer and during completion of the various substrate processing steps. Processing in a controlled environment thus reduces the number of generated defects and improves device yield.
  • The effectiveness of a substrate fabrication process is often measured by two related and important factors, which are device yield, and the cost of ownership (CoO). These factors are important since they directly affect the production of an electronic device and thus a device manufacturer's competitiveness in the market place. The CoO, while affected by a number of factors, is greatly affected by the yield of devices formed during a device processing sequence and the substrate throughput, or simply the number of substrates per hour. A process sequence is generally defined as the sequence of device fabrication steps, or process recipe steps, completed in one or more processing chambers in the cluster tool. A process sequence may generally contain various substrate (or wafer) fabrication processing steps.
  • The push in the industry to shrink the size of semiconductor devices to improve device processing speed and reduce the generation of heat by the device, has caused the industry's tolerance to process variability to lessen. Due to the shrinking size of semiconductor devices and the ever increasing device performance requirements, the amount of allowable variability of the device fabrication process uniformity and repeatability, has greatly decreased. One factor that can affect device performance variability and repeatability is known as the “queue time.” Queue time is generally defined as the time a substrate can be exposed to atmospheric or other contaminants after a first process has been completed on the substrate before a second process must be completed on the substrate to prevent some adverse affect on the fabricated device's performance. If the substrate is exposed to atmospheric or other sources of contaminants for a time near or greater than the allowable queue time, the device performance may be affected by the contamination of the interface between the first and second layers. Therefore, for a process sequence that includes exposing a substrate to atmospheric or other sources of contamination, the time the substrate is exposed to these sources must be controlled or minimized to prevent device performance variability. Therefore, a useful electronic device fabrication process must deliver uniform and repeatable process results, minimize the affect of contamination, and also meet a desired throughput to be considered for use in a substrate processing sequence.
  • Semiconductor device manufacturers spend a significant amount of time trying to reduce CoO issues created by substrate scrap due to misprocessed substrates, device defects or varying performance of the formed devices. Typically, misprocessed substrates, device defects and/or varying device performance, may be caused by process drift in one or more of the processing chambers in a processing sequence, caused by contamination found in the system or process chambers, or caused by varying starting condition(s) of the substrate or layers of materials on the substrate. Conventional methods used to assure that the process results are within a desired process window often utilize one or more off-line analysis techniques. Off-line testing and analysis techniques require the periodic or often constant removal of one or more substrates from the processing sequence and processing environment, which are then delivered into a testing environment. Thus, production flow is effectively disrupted during transfer and inspection of the substrate or substrates. Consequently, conventional metrology inspection methods can drastically increase overhead time associated with chip manufacturing. Further, because such an inspection method is conducive only to periodic sampling due to the negative impact on throughput, many contaminated substrates can be processed without inspection, which may result in fabrication of defective devices. Problems are compounded in cases where the substrates are redistributed from a given batch making it difficult to trace back to the contaminating source. Thus, what is needed is an integrated metrology and process inspection system, that is capable of examining a substrate for selected important device characteristics, which may include film stress, film composition, particles, processing flaws, etc., and then real time or on-the-fly adjustment of the processing conditions to correct problems from occurring on subsequently processed substrates. Preferably, such an inspection can be performed prior to, during, and after substrate processing, thereby determining real time pre-processing and post-processing conditions of the substrate.
  • Therefore, there is a need for a system, a method and an apparatus, that can process a substrate so that it can meet the required device performance goals and increase the system throughput, thus reducing the process sequence CoO.
  • SUMMARY OF THE INVENTION
  • The present invention generally provides an apparatus and method for integrating an inspection or metrology device in a processing tool. In one embodiment, a substrate processing apparatus is described. The apparatus includes a load lock chamber having an inlet valve and an outlet valve configured to receive at least one substrate into a vacuum environment, and an optical inspection device disposed in the vacuum environment, wherein the optical inspection device is adapted to emit a wavelength of less than 190 nanometers and is in communication with the vacuum environment.
  • In another embodiment, a substrate processing apparatus is described. The apparatus includes a load lock chamber having an elevator assembly disposed in an evacuable environment, and an optical inspection device disposed above the elevator assembly in communication with the evacuable environment.
  • In another embodiment, a method of processing a substrate is described. The method includes transferring a substrate to an evacuable chamber through an inlet valve coupled to the evacuable chamber, providing an environment in the evacuable chamber that is non-absorbing to wavelengths less than 200 nanometers, inspecting the substrate with an optical device that shares the environment in the evacuable chamber with the substrate, and transferring the substrate through an outlet valve after inspection.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIG. 1 is a plan view of a typical prior art processing system for semiconductor processing wherein the present invention may be used to advantage;
  • FIG. 2 is a plan view of a processing system containing processing chambers and metrology chambers adapted for semiconductor processing wherein the present invention may be used to advantage;
  • FIG. 3 is a plan view of a processing system containing processing chambers and metrology chambers adapted for semiconductor processing wherein the present invention may be used to advantage;
  • FIG. 4 is a plan view of a processing system containing processing chambers and metrology chambers adapted for semiconductor processing wherein the present invention may be used to advantage;
  • FIG. 5 illustrates a processing sequence that contains a series of process recipe steps and substrate transfer steps wherein the present invention may be used to advantage;
  • FIG. 6 is side cross-sectional view of a support chamber adapted for semiconductor processing wherein the present invention may be used to advantage;
  • FIG. 7 is side cross-sectional view of a support chamber adapted for semiconductor processing wherein the present invention may be used to advantage;
  • FIG. 8 is a cross-sectional view of a transfer chamber and support chamber adapted for semiconductor processing wherein the present invention may-be used to advantage;
  • FIG. 9 is a cross-sectional view of a transfer chamber and support chamber adapted for semiconductor processing wherein the present invention may be used to advantage;
  • FIG. 10 is a cross-sectional view of a transfer chamber and support chamber adapted for semiconductor processing wherein the present invention may be used to advantage;
  • FIG. 11 is a cross-sectional view of a transfer chamber and support chamber adapted for semiconductor processing wherein the present invention may be used to advantage;
  • FIG. 12 is side cross-sectional view of a preclean chamber adapted for semiconductor processing wherein the present invention may be used to advantage;
  • FIG. 13 illustrates a processing sequence that contains a series of process recipe steps and substrate transfer steps wherein the present invention may be used to advantage;
  • FIG. 14 illustrates a processing sequence that contains a series of process recipe steps and substrate transfer steps wherein the present invention may be used to advantage;
  • FIG. 15 is a plan view of a processing system containing processing chambers, preprocessing chambers and metrology chamber adapted for semiconductor processing wherein the present invention may be used to advantage;
  • FIG. 16 illustrates a processing sequence that contains a series of process recipe steps and substrate transfer steps wherein the present invention may be used to advantage;
  • FIG. 17 is side cross-sectional view of a substrate processing chamber adapted for semiconductor processing wherein the present invention may be used to advantage;
  • FIG. 18 is a schematic side view of one embodiment of a support chamber assembly that is integrated into a load lock chamber;
  • FIG. 19 is an isometric view of a portion of a load lock chamber; and
  • FIG. 20 illustrates a processing sequence that contains a series of process and substrate transfer steps wherein the present invention may be used to advantage.
  • DETAILED DESCRIPTION
  • The present invention generally provides an apparatus and method for processing substrates using a multi-chamber processing system (e.g., a cluster tool) that is adapted to process substrates and analyze the results of the processes performed on the substrate. In one aspect of the invention, one or more analysis steps and/or precleaning steps are utilized to reduce the effect of queue time on device yield. In one aspect of the invention, a system controller and the one or more analysis chambers are utilized to monitor and control a process chamber recipe and/or a process sequence to reduce substrate scrap due to defects in the formed device and device performance variability issues. Embodiments of the present invention also generally provide methods and a system for repeatably and reliably forming semiconductor devices used in a variety of applications. The invention is illustratively described below in reference to a Centura® platform, available from Applied Materials, Inc., Santa Clara, Calif.
  • Embodiments described herein may be advantageously used in a cluster tool configuration that has the capability to process substrates in multiple single substrate processing chambers and/or multiple batch type processing chambers. Generally, a cluster tool is a modular system comprising multiple chambers that perform various processing steps that are used to form an electronic device. As shown in FIG. 1, the cluster tool 100 contains multiple processing positions 114A-114F in which processing chambers (not shown) can be mounted to a central transfer chamber 110 which houses a robot 113 that is adapted to shuttle substrates between the processing chambers. The internal region (e.g., transfer region 110C in FIG. 8) of the transfer chamber 110 is typically maintained at a vacuum condition and provides an intermediate region in which to shuttle substrates from one chamber to another and/or to a load lock chamber positioned at a front end of the cluster tool. The vacuum condition is typically achieved by use of one or more vacuum pumps (not shown), such as a conventional rough pump, Roots Blower, conventional turbo-pump, conventional cryo-pump, or combination thereof. Alternately, the internal region of the transfer chamber 110 may be an inert environment that is maintained at or near atmospheric pressure by continually delivering an inert gas to the internal region. FIG. 1 is a plan view of a typical cluster tool 100 for electronic device processing wherein the present invention may be used to advantage. Three such platforms are the Centura® system, the Endura® system, and the Producer® system, all available from Applied Materials, Inc., of Santa Clara, Calif. The details of one such staged-vacuum substrate processing system are disclosed in U.S. Pat. No. 5,186,718, entitled “Staged-Vacuum Substrate Processing System and Method,” by Tepman et al., issued on Feb. 16, 1993, which is incorporated herein by reference. The exact arrangement and combination of chambers may be altered for purposes of performing specific steps of a fabrication process.
  • FIG. 2 illustrates one embodiment of a cluster tool, in which substrate processing chambers 201, 202, 203 and 204 are mounted in positions 114A, 114B, 144C, and 114D on the transfer chamber 110, respectively. In accordance with aspects of the present invention, the cluster tool 100 generally comprises a plurality of chambers and robots, and is preferably equipped with a system controller 102 programmed to control and carry out the various processing methods and sequences performed in the cluster tool 100. A plurality of slit valves (not shown) can be added to the transfer chamber 110 to selectively isolate each of the process chambers mounted in positions 114A-F so that each chamber may be separately evacuated to perform a vacuum process during the processing sequence. In some embodiments of the invention, not all of the positions 114A-F are occupied with processing chambers to reduce cost or complexity of the system.
  • In one aspect of the invention, one or more of the substrate processing chambers 201-204 may be a conventional epitaxial (EPI) deposition chamber which can be used to form an epitaxial layer containing one or more materials, such as silicon (Si), silicon germanium (SiGe), silicon carbon (SiC), on a substrate during one or more steps in the substrate processing sequence. An EPI process may be conducted using an Applied Centura® EPI chamber, which is available from Applied Materials Inc., located in Santa Clara, Calif. In one aspect of the invention, one or more of the substrate processing chambers 201-204 may be an RTP chamber which can be used to anneal the substrate during one or more steps in the substrate processing sequence. An RTP process may be conducted using an RTP chamber (e.g., Vantage® RadOx™ RTP, Vantage Radiance™ RTP chambers) and related processing hardware commercially available from Applied Materials Inc., located in Santa Clara, Calif.
  • In another aspect of the invention, one or more of the substrate processing chambers 201-204 may be a conventional chemical vapor deposition (CVD) chamber that is adapted to deposit a metal (e.g., titanium, copper, tantalum), semiconductor (e.g., silicon, silicon germanium, silicon carbon, germanium), or dielectric layer (e.g., Blok®, silicon dioxide, SiN, HfOx, SiCN). Examples of such CVD process chambers include DXZ® chambers, Ultima HDP-CVD® chambers and PRECISION 5000® chambers, commercially available from Applied Materials, Inc., Santa Clara, Calif. In another aspect of the invention, one or more of the substrate processing chambers 201-204 may be a conventional physical vapor deposition (PVD) chamber. Examples of such PVD process chambers include Endura® PVD processing chambers, commercially available from Applied Materials, Inc., Santa Clara, Calif. In another aspect of the invention, one or more of the substrate processing chambers 201-204 may be a decoupled plasma nitridation (DPN) chamber. Examples of such DPN process chambers include a Centura® DPN chamber, commercially available from Applied Materials, Inc., Santa Clara, Calif. One example of a processing chamber that may be used to perform a decoupled plasma nitridation process is described in commonly assigned U.S. patent application Ser. No. 10/819,392, filed Apr. 6, 2004, and published as US 2004/0242021, which is herein incorporated by reference in its entirety. In another aspect of the invention, one or more of the substrate processing chambers 201-204 may be a metal etch or dielectric etch chamber. Examples of such metal and dielectric etch chambers include the Centura® AdvantEdge metal etch chamber and a Centura® eMAX™ chamber, both of which are commercially available from Applied Materials, Inc., Santa Clara, Calif.
  • Referring to FIG. 2 and as noted above, the processing chambers 201-204 mounted in one of the positions 114A-114D may perform any number of processes, such as a PVD, a CVD (e.g., dielectric CVD, MCVD, MOCVD, EPI), an ALD, a decoupled plasma nitridation (DPN), a rapid thermal processing (RTP), or a dry-etch process to form various device features on a surface of the substrate. The various device features may include, but are not limited to the formation of interlayer dielectric layers, gate dielectric layers, polysilicon gates, forming vias and trenches, planarization steps, and depositing contact or via level interconnects. In one embodiment, the positions 114E-114F comprise service chambers 116A-116B that are adapted for degassing, orientation, cool down and the like. In one embodiment, the processing sequence is adapted to form a high-K capacitor structure, where processing chambers 201-204 may be a DPN chamber, a CVD chamber capable of depositing poly-silicon, and/or a MCVD chamber capable of depositing titanium, tungsten, tantalum, platinum, or ruthenium. In another embodiment, the processing sequence is adapted to form a gate stack, where processing chambers 201-204 may be a DPN chamber, a CVD chamber capable of depositing a dielectric material, a CVD chamber capable of depositing poly-silicon, an RTP chamber and/or a MCVD chamber.
  • Referring to FIG. 2, an optional front-end environment 104 (also referred to herein as a Factory Interface or FI) is shown positioned in selective communication with a pair of load lock chambers 106A and 106B. Factory interface robots 108A-108B disposed in a transfer region 104B of the front-end environment 104 are capable of linear, rotational, and vertical movement to shuttle substrates between the load lock chambers 106A, 106B and a plurality of pods 105A-105D, which are mounted on the front-end environment 104. The front-end environment 104 is generally used to transfer substrates from a cassette (not shown) seated in the plurality of pods 105A-105D through an atmospheric pressure clean environment/enclosure to some desired location, such as a process chamber. The clean environment found in the transfer region 104B of the front-end environment 104 is generally provided by use of an air filtration process, such as passing air through a high efficiency particulate air (HEPA) filter, for example. A front-end environment, or front-end factory interface, is commercially available from Applied Materials Inc., of Santa Clara, Calif.
  • A robot 113 is centrally disposed in the transfer chamber 110 to transfer substrates from the load lock chambers 106A or 106B to one of the various processing chambers mounted in positions 114A-114F. The robot 113 generally contains a blade assembly 113A, arm assemblies 113B which are attached to the robot drive assembly 113C. The robot 113 is adapted to transfer the substrate “W” to the various processing chambers by use of commands sent from the system controller 102. A robot assembly that may be adapted to benefit from the invention is described in commonly assigned U.S. Pat. No. 5,469,035, entitled “Two-axis magnetically coupled robot”, filed on Aug. 30, 1994; U.S. Pat. No. 5,447,409, entitled “Robot Assembly” filed on Apr. 11, 1994; and U.S. Pat. No. 6,379,095, entitled Robot For Handling Semiconductor Substrates”, filed on Apr. 14, 2000, which are hereby incorporated by reference in their entireties.
  • The load lock chambers 106A and 106B provide a first vacuum interface between the front-end environment 104 and a transfer chamber 110. In one embodiment, two load lock chambers 106A and 106B are provided to increase throughput by alternatively communicating with the transfer chamber 110 and the front-end environment 104. Thus, while one load lock chamber 106 communicates with the transfer chamber 110, a second load lock chamber 106 can communicate with the front-end environment 104. In one embodiment, the load lock chambers 106A, 106B are a batch type load lock chamber that can receive two or more substrates from the factory interface, retain the substrates while the chamber is sealed and then evacuated to a low enough vacuum level to transfer of the substrates to the transfer chamber 110. Preferably, the batch load lock chambers can retain from 25 to 50 substrates at one time.
  • The system controller 102 is generally designed to facilitate the control and automation of the overall system and typically includes a central processing unit (CPU) (not shown), memory (not shown), and support circuits (or I/O) (not shown). The CPU may be one of any form of computer processors that are used in industrial settings for controlling various system functions, chamber processes and support hardware (e.g., detectors, robots, motors, gas sources hardware, etc.) and monitor the system and chamber processes (e.g., chamber temperature, process sequence throughput, chamber process time, I/O signals, etc.). The memory is connected to the CPU, and may be one or more of a readily available memory, such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote. Software instructions and data can be coded and stored within the memory for instructing the CPU. The support circuits are also connected to the CPU for supporting the processor in a conventional manner. The support circuits may include cache, power supplies, clock circuits, input/output circuitry, subsystems, and the like. A program (or computer instructions) readable by the system controller 102 determines which tasks are performable on a substrate. Preferably, the program is software readable by the system controller 102 that includes code to perform tasks relating to monitoring, control and execution of the processing sequence tasks and various chamber process recipe steps.
  • Support Chamber Configuration
  • In one embodiment, the cluster tool 100 contains a system controller 102, a plurality of substrate processing chambers 201-204 and one or more support chambers 211. In general, a support chamber may be a metrology chamber, a preprocessing chamber, or a post-processing chamber. The addition of a support chamber may be added to the cluster tool 100 for a number of reasons, which include, but are not limited to improving device yield, improving process repeatability from substrate to substrate, analyzing the process results, and reducing the effect of queue time differences between substrates.
  • In one aspect, as illustrated in FIG. 2, two support chambers 211 are mounted in the positions 214A or 214B with in the transfer chamber 110. Filling the unused space within the transfer chamber 110 with one or more support chambers 211 will help to reduce the system cost and CoO by reducing the additional hardware required to add the support chamber components, reducing the overhead time required to transfer substrates between the cluster tool process chambers and the support chamber 211, and reducing the cluster tool footprint.
  • FIG. 3 illustrates another configuration of the cluster tool 100 in which the support chambers 211 are placed in other regions of the cluster tool 100, such as being mounted in the position 114E and/or positions 214C or 214D that are attached to a front-end environment 104. It should be noted that it may be desirable to mount the support chamber 211 in one or more of positions 114A-114F, positions 214A-D or any other convenient positions that is accessible by one or more of the cluster tool robotic devices.
  • An example of processing sequence performed in a representative cluster tool configuration that includes the use of a support chamber 211 is illustrated in FIGS. 4 and 5. FIG. 4 illustrates the movement of a substrate “W” through the cluster tool 100 following the processing steps described in FIG. 5. Each of the arrows labeled A1 through A8 in FIG. 4 illustrates the movement of the substrates, or transfer paths, within the cluster tool 100. In this configuration, the substrate is removed from a pod placed in position 105A and is delivered to load lock chamber 106A following the transfer path Al. The system controller 102 then commands the load lock chamber 106A to close and pump down to a desirable base pressure so that the substrates can be transferred into the transfer chamber 110 which is already in a vacuum pumped down state. The substrate is then transferred along path A2 where a preparation/analysis step 302 is performed on the substrate. The preparation/analysis step 302 may encompass one or more preparation steps including, but not limited to substrate inspection/analysis and/or particle removal. After completing preparation/analysis step 302 the substrate is then transferred to a processing chamber in position 114A, as shown in FIG. 4, following the transfer path A3, where the substrate process step 304 is performed on the substrate. After performing the substrate process step 304, the substrate is sequentially transferred to the substrate processing chambers 202 and 203 following the transfer paths A4-A5, where their respective substrate process steps 306 through 308, as shown in FIGS. 4 and 5, are performed. In one embodiment substrate process step 304 is a preclean processing step (discussed below). In another embodiment, substrate process steps 306 and 308 may be selected from one of the following group of processes: oxide etch, metal etch, EPI, RTP, DPN, PVD, CVD (e.g., CVD polysilicon, TEOS etc.), or other suitable substrate processing step. The substrate is then transferred along path A6 where an associated post-processing/analysis step 310 is performed on the substrate. The post-processing/analysis step 310 may encompass one or more preparation steps including, but not limited to, substrate inspection/analysis and/or a particle removal step. After completing post-processing/analysis step 310, the substrate is then transferred to the load lock chamber 106A, following the transfer path A7. The load lock chamber is then vented and the substrate is then removed from load lock chamber and placed in the pod in position 105A following the transfer path A8.
  • Other embodiments of a process sequence may also include scenarios where the support chamber 211 is placed between at least one of the other processing steps in the processing sequence. In another embodiment, there is only one processing step completed on the substrate after the preparation/analysis step 302 or the post-processing/analysis step 310.
  • Particle/Contamination Removal Support Chamber(s)
  • In one embodiment, the support chamber 211 is configured to reduce the number of particles or amount of contamination on the surface of the substrate during the preparation/analysis step 302, and/or post-processing/analysis step 310, so that the device yield and substrate scrap can be improved for devices formed using a desired processing sequence. Generally, the particle/contamination reduction chamber, hereafter particle reduction chamber, exposes one or more surfaces of a substrate to ultraviolet (UV) radiation to impart enough energy to the particles and other contaminants on the surface of the substrate to cause them to move off of the surface of the substrate (e.g., Brownian motion), change the contaminants bonding characteristics to the exposed surface, or to promote vaporization of the contaminants. In operation, UV radiation, or UV light, at wavelengths between about 120 and about 430 nanometers (nm) at a power density between about 5 and about 25 mWatts/cm2 may be delivered to a surface of the substrate from a radiation source contained with the particle/contamination reduction chamber. The radiation from the radiation source may be supplied by a lamp containing elements, such as xenon, argon, krypton, nitrogen, xenon chloride, krypton fluoride, argon fluoride. The use of a radiation source that emits UV light may be especially useful for removing or reducing the detrimental effect of organic contamination found on the substrate surface. A typical radiation source that is adapted to emit UV wavelengths may be a conventional UV lamp (e.g., mercury vapor lamp) or other similar device. Combinations of UV emitting radiation sources that emit UV light at different wavelengths may also be used.
  • FIG. 6 illustrates is a cross-sectional side view of a type of support chamber 211 that is a particle reduction chamber 700 which exposes one or more surfaces of a substrate to ultraviolet (UV) radiation. The particle reduction chamber 700 may be mounted in any available position in a cluster tool, such as positions 114A-114F (FIG. 2) or positions 214A-214E (FIG. 3). In general, the particle reduction chamber 700 will contain an enclosure 701, a radiation source 711 and a substrate support 704. The enclosure 701 generally contains a chamber body 702, a chamber lid 703 and a transparent region 705. In one aspect, the enclosure 701 contains one or more seals 706 that seal the processing region 710, so that it can be pumped down to a vacuum condition during processing by a vacuum pump 736. In one aspect, the processing region 710 is pumped down and maintained at a pressure between about 10−6 Torr and about 700 Torr by use of the vacuum pump 736 and a gas delivery source 735 coupled to chamber 700. In one embodiment, the processing region 710 is maintained at or near atmospheric pressure by continually delivering an inert gas to the processing region 710 from the gas delivery source 735. The transparent region 705, may be made of a ceramic, glass or other material that is optically transparent to the radiation being emitted from the radiation source 711 so that the substrate “W” can receive the bulk of the energy emitted from the radiation source 711. In one aspect, the particle reduction chamber 700 may contain a lift assembly 720 that is adapted to raise and lower the substrate “W” relative to the substrate support 704 so that a robotic device (not shown) can pickup and drop off the substrate on the lift assembly 720.
  • In one embodiment, the substrate support 704 is adapted to heat the substrate during the particle removal step to further increase the efficiency of removing particle from the surface of the substrate by adding energy to the contaminants to cause them to move from the surface of the substrate or vaporize during the particle reduction process. In this configuration, the substrate support 704 may be heated by use of a heating element 722 that is embedded within the substrate support 704 and an external power supply/controller (not shown) so that the substrate supporting surface 707 can be heated to a desired temperature. In one embodiment, the substrate support 704 is heated by use of conventional infrared lamps to a desired temperature. In one embodiment, the substrate support 704 is heated to a temperature between about 250° C. and about 850° C., and more preferably between about 350° C. and about 650° C. In one aspect, it may be desirable to deliver the substrate to the particle reduction chamber 700 and the substrate support 704 while the substrate is still at a temperature between 250° C. and about 550° C., due to the heat added to the substrate during the prior processing steps in the processing sequence.
  • Metrology Chamber Configurations
  • In one embodiment, the support chamber 211 is a metrology chamber that is adapted to perform the preparation/analysis step 302 and/or the post-processing/analysis step 310 to analyze a property of the-substrate before or after performing a processing step in a processing sequence. In general, the properties of the substrate that can be measured in the metrology chamber may include, but is not limited to, the measurement of the intrinsic or extrinsic stress in one or more layers deposited on a surface of the substrate, film composition of one or more deposited layers, the number of particles on the surface of the substrate, and the thickness of one or more layers found on the surface of the substrate. The data collected from the metrology chamber is then used by the system controller 102 to adjust one or more process variables in one or more of the processing steps to produce favorable process results on subsequently processed substrates. An example of a metrology chamber hardware and control algorithms that may be adapted to measure and analyze particles found on a surface of a substrate can be found in the commonly assigned U.S. Pat. No. 6,630,995, filed Oct. 6, 2000; U.S. Pat. No. 6,654,698, filed Jun. 12, 2001; U.S. Pat. No. 6,952,491, filed Jan. 19, 2001; and U.S. Pat. No. 6,693,708, filed Oct. 6, 2000, all of which are incorporated by reference herein in their entirety.
  • Film Analysis Chamber
  • In one embodiment, the support chamber 211 is a metrology chamber that is adapted to measure the composition and thickness of a deposited film on the surface of the substrate by use of conventional optical measurement techniques. Typical composition and thickness measurement techniques include conventional ellipsometry, reflectometry or x-ray photoelectron spectroscopy (XPS) techniques. The composition and thickness results measured at desired regions on the surface of the substrate using these techniques are then fed back to the system controller 102, so that adjustments can be made to one or more of the upstream or downstream process steps in a processing sequence.
  • The substrate composition and thickness results can thus be stored and analyzed by the system controller 102 so that one or more of the process variables can be varied to improve the process results achieved on subsequently processed substrates and/or correct deficiencies in the already processed substrates by adjusting the process parameters processes performed downstream of the support chamber 211. In one example, a composition or thickness analysis is performed after an EPI layer is deposited on a surface of the substrate so that the process variables (e.g., RF power, process pressure, gas flow rate, film thickness, deposition rate) can be adjusted to correct or enhance process results in subsequent EPI deposition processes.
  • Ellipsometry is a non-invasive optical technique for determining film thickness, interface roughness, and composition of thin surface layers and multilayer structures. The method measures the change in the state of polarization of light upon reflection from the sample surface to determine the conventional ellipsometry parameters (e.g., amplitude change (Ψ), phase shift (Δ)). These optical parameters can then be matched to computer models or stored data within the system controller 102 to determine the structure and composition of the sample at the region on the surface of the substrate.
  • Reflectometry is an analytical technique for investigating thin layers using the effect of total external reflection of optical radiation. In reflectivity analysis techniques, the reflection of the optical radiation from a sample is measured at different angles so that thickness and density, and/or surface roughness can be determined. These reflectometry results can then be matched to computer models or stored data within the system controller 102 to determine the structure and composition of the sample at the region on the surface of the substrate.
  • X-ray photoelectron spectroscopy (XPS) tools can be used to measure the elemental composition, chemical state and electronic state of the elements that exist within a material. XPS spectra are obtained by irradiating a material with a beam of X-rays while simultaneously measuring the kinetic energy and number of the electrons that escape from the material being analyzed using suitable measurement techniques. The XPS results can then be matched to computer models or stored data within the system controller 102 to determine the structure and composition of the sample at the region on the surface of the substrate.
  • In one embodiment, a pattern recognition system is used in conjunction with the one or more analysis steps performed in a support chamber 211 to provide analysis and feed back regarding the state of selected regions on the surface of the substrate. In general, the pattern recognition system uses an optical inspection technique that is scans a surface of the substrate and compares the received data from the scan with data stored within a controller so that the controller can decide where on the surface of the substrate the measurement is to be made. In one embodiment, the pattern recognition system contains a controller (e.g., controller 102 (FIG. 2)), a conventional CCD camera and a stage that is adapted to move a substrate positioned thereon relative to the CCD camera. During processing, data stored within the memory of the controller is compared with the data received from the CCD camera as it passes over the surface of the substrate, so that desirable test regions on the surface of the substrate can be found and then analyzed by the components in the metrology chamber.
  • Substrate Bow Stress Measurement Analysis Chamber
  • In another embodiment, the support chamber 211 is adapted to measure the stress, or strain, contained within a deposited film on the surface of the substrate by use of suitable substrate bow measurement techniques. It should be noted that it is generally possible to calculate the stress and strain contained within a region of the substrate by measuring one parameter (e.g., stress or strain), measuring or familiarity with the type of material contained within measurement region and/or one or more material properties. A suitable stress, or strain, measurement tool that measures the bow, or the change in bow, of a substrate during the process sequence is configured to measure the stress, or strain, in the substrate after performing one or more processing steps in the processing sequence, and then feeds back the results to the system controller 102 so that the system controller 102 can determine and/or implement parameters for what actions need to be taken in one or more process steps in the processing sequence. A suitable stress measurement tool that may be adapted to measure the stress of the substrate may be available from KLA-Tencor corporation, Nanometrics, Inc., or Therma-Wave, Inc.
  • In one example, it may be desirable to measure the stress, or strain, of an EPI layer that was formed in a prior deposition processing step, and feed the data back to a system controller 102 which can then determine and/or implement enhancements to the process results achieved on subsequently processed substrates, or even make adjustments to downstream processes to resolve the deficiency from the measurement of stress, or strain, in the substrate. The system controller 102 uses the substrate bow results to adjust one or more of the process variables (e.g., RF power, process pressure, film thickness, deposition rate), to improve the process results on the surface of the subsequent substrates.
  • XRD Metrology Chamber
  • In one embodiment, a metrology chamber integrated into the cluster tool 100 utilizes an x-ray diffraction (XRD) technique to measure the film thickness, film composition and film stress, or strain. Typical XRD techniques utilize Bragg's Law to help analyze and interpret the diffraction patterns generated when exposing one or more regions on the surface of the substrate to the emitted x-ray radiation. In general, the XRD chamber contains an x-ray source, one or more radiation detectors, a substrate support, and an actuator that can articulate the x-ray source relative to the substrate, or the substrate support relative to the x-ray source, so that a diffraction pattern can be generated and analyzed. The results obtained from an XRD type metrology chamber can be used to measure various characteristics of the film(s) on the surface of the substrate prior to or after performing one or more of the process sequence processing steps. By use of the system controller 102 the results received from the XRD chamber can be used to adjust process variables in the various process steps to improve the results achieved from the processing sequence. In one example, it may be desirable to measure the stress of an EPI layer that was formed in a prior deposition processing step. Therefore, by use of the system controller 102, the XRD results can be used to adjust one or more of the EPI process variables (e.g., RF power, process pressure, film thickness, deposition rate), to improve the process results. A metrology chamber that has the ability to characterize multiple different characteristics of the film (e.g., stress, film composition, thickness) at different stages of the processing sequence, such as an XRD chamber, is useful to reduce the system cost, reduce the system footprint, improve the reliability of the cluster tool, and reduce the overhead time required to transfer substrates between chambers versus a configuration that uses separate metrology chambers to perform the analyses.
  • FIG. 7 illustrates a cross-sectional side view of a type of support chamber 211, or metrology chamber 750, that can be used to analyze a property of the substrate before or after performing a processing step in a processing sequence (e.g., processing sequences 300 and processing sequence 301A-301B described herein). The metrology chamber 750 may be mounted in any available position in a cluster tool, such as positions 114A-114F (FIG. 2) or positions 214A-214E (FIG. 3). In general, the metrology chamber 750 may comprise an enclosure 761, a measurement assembly 811, and a substrate support 754. The substrate support 754 also includes a substrate supporting surface 757. The enclosure 761 generally contains a chamber body 752, a chamber lid 753 and a transparent region 755. In one aspect, the enclosure 751 contains one or more seals 756 that seal the processing region 770, so that it can be pumped down a vacuum condition during processing by a vacuum pump (not shown). In one aspect, the processing region 770 is pumped down to a pressure between about 10−6 Torr and about 700 Torr. The transparent region 755, may be made of a ceramic, glass or other material that is optically transparent to the radiation being emitted from a source 813 contained within the measurement assembly 811. In one embodiment, the radiation emitted from the source 813 passes through the transparent region 755 strikes a surface of the substrate, where it is reflected and then passes back through the transparent region 755 where it is collected by a sensor 812 contained in the measurement assembly 811. In one aspect, the metrology chamber 750 contains a lift assembly 720 that is adapted to raise and lower the substrate “W” relative to the substrate support 754 so that a robotic device (not shown) can transfer substrates between the metrology chamber 750 and other processing chambers within the cluster tool.
  • Integrated Support Chamber
  • FIG. 8 is a side cross-sectional view of a transfer chamber 110 that contains a support chamber assembly 800, which is contained within support chamber 211 that may be adapted to perform a metrology process, a preprocessing process step, or a post-processing process step. In one embodiment, as shown in FIG. 8, the support chamber assembly 800 is configured to reduce the number of particles on the surface of the substrate during the preparation/analysis step 302 and/or post-processing/analysis step 310. The support chamber assembly 800 generally contains all of the components found in the particle reduction chamber 700, discussed above, except the enclosure 701 components, such as the chamber body 702 and chamber lid 703 are replaced with the transfer chamber base 110B and the transfer chamber lid 110A, respectively.
  • In one embodiment, the substrate support 704 and lift assembly 720 are positioned within the transfer region 110C and mounted to the transfer chamber base 110B of the transfer chamber 110, and thus adjacent to one or more of the processing chambers (e.g., process chamber 201 is shown in FIG. 8). In this configuration, the radiation source 711 is attached to the support 808 that is mounted to transfer chamber lid 110A so that the radiation emitted from the radiation source 711 passes through the transparent region 705 and strikes a substrate W positioned on the substrate supporting surface 707 of the substrate support 704. The system controller 102 and an actuator (not shown) contained within the lift assembly 720 can be used to transferred a substrate “W” between the robot blade assembly 113A and the substrate support 704. The support chamber assembly 800 is generally configured to prevent collisions between the robot 113 and any of the components in the support chamber assembly 800 during normal transferring operations completed by the robot 113.
  • FIG. 9 is a side cross-sectional view of one embodiment of the support chamber assembly 800 that is positioned on a portion of the transfer chamber 110 so that a particle reduction step, discussed above, can be performed while the substrate W is positioned on the robot blade assembly 113A of the robot 113. In one embodiment, the substrate W is positioned below the radiation source 711 that is mounted on the transfer chamber lid 110A so that the emitted radiation from the radiation source 711 can strike a surface of the substrate as the substrate passes underneath the radiation source 711 of the support chamber assembly 800 during the process of transferring a substrate through the cluster tool 100. In another embodiment, the system controller 102 and robot 113 are adapted to position and hold the robot blade assembly 113A and substrate W under the radiation source 711 for a desired period of time during the transferring sequence so that the particle removal process can be performed on the substrate.
  • FIG. 10 is a side cross-sectional view of a transfer chamber 110 that contains a support chamber assembly 801, which is contained within the support chamber 211, that is adapted to perform the preparation/analysis step 302 and/or the post-processing/analysis step 310 to analyze a property of the substrate before or after performing a processing step in the processing sequence. In one embodiment, the support chamber assembly 801, is an XRD, XPS, stress measurement tool, reflectometer, or ellipsometer type tool, that is configured to measure a property of substrate by exposing the substrate W to radiation emitted from a source 813 and then receiving a portion of the signal in a sensor 812. The results received by the support chamber assembly 801 are then communicated to the system controller 102 so that the system controller 102 can adjust one or more of the process variables in the process sequence to enhance the process results achieved in the system.
  • The support chamber assembly 801 generally contains a substrate support 804 and lift assembly 820 that are positioned within the transfer region 110C and mounted to the transfer chamber base 110B of the transfer chamber 110. In one aspect, the support chamber assembly 801 is positioned adjacent to one or more of the processing chambers (e.g., processing chamber 201 is shown in FIG. 10). In this configuration, the measurement assembly 811 is attached to the transfer chamber lid 110A and can view the processing surface W1 of the substrate W positioned on the substrate supporting surface 807 of the substrate support 804 through the transparent region 705 that is sealably attached to the chamber lid 110A. The system controller 102 and an actuator (not shown) contained within the lift assembly 820 can be used to transferred a substrate “W” between the robot blade assembly 113A and the substrate support 804. The support chamber assembly 801 is generally designed and configured so that the robot 113 and any of the components in the support chamber assembly 801 will not collide with each other during normal transferring operations completed by the robot 113.
  • FIG. 11 is a side cross-sectional view of one embodiment of the support chamber assembly 801 that is positioned on the transfer chamber 110 so that the preparation/analysis step 302 and/or the post-processing/analysis step 310, discussed above, can be performed while the substrate W is positioned on the robot blade assembly 113A of the robot 113. In one embodiment, the substrate W is positioned so that the radiation emitted from a source 813 is received by a sensor 812 as the substrate passes underneath the support chamber assembly 801 during the process of transferring a substrate through the cluster tool 100. In another embodiment, the system controller 102 and robot 113 are adapted to position and hold the robot blade assembly 113A and substrate W so that the support chamber assembly 801 can perform an analysis on one or more regions of the substrate.
  • In one embodiment, not shown, the support chamber assembly 800 and the support chamber assembly 801 are integrated into one complete assembly that is mounted in any available position in a cluster tool, such as positions 114A-114F (FIG. 2) or positions 214A-214E (FIG. 3). In one embodiment, the support chamber assembly 800 and/or the support chamber assembly 801 may be integrated into at least one of the load lock chambers 106A-106B (FIGS. 2 or 3), as shown in FIGS. 18-20.
  • Queue Time Issues and Cluster Tool Configurations
  • In one embodiment, the cluster tool 100 contains a preparation chamber that is adapted to perform one or more preclean steps that prepare a surface on a substrate for subsequent device fabrication process steps. Preclean steps are generally important in the stages of semiconductor device fabrication where the length of time between processing steps, or queue time, is critical, or the length of exposure to atmospheric, or other contamination sources, affects the fabricated device yield, fabricated device repeatability, and overall device performance. In one example, the queue time issue is created by the amount contamination found on a surface of a substrate due to the time dependent exposure to organic type contaminants that typically out-gas from the cassettes, FOUPs or other substrate handling components. In another example, the queue time issue is created by the native oxide growth that is formed prior to forming one or more of the contact level features, which thus affects the formed device performance of different substrates in a batch. To reduce the detrimental effect of native oxide growth on a formed semiconductor device, the native oxide layer is removed just prior to performing the next processing step, such as a metal oxide semiconductor (MOS) device gate oxide formation step. Performing the preparation steps thus assures that each substrate processed in the cluster tool starts at the same starting point prior to processing substrates in the cluster tool and thus makes the process results more repeatable. The preparation step thus effectively removes the effect of atmospheric contamination exposure time differences between the first substrate and the last substrate in a batch and the differences between one batch of substrates to another batch of substrates.
  • In one embodiment, the system controller 102 is adapted to monitor and control the queue time of the substrates processed in the cluster tool 100. Minimizing the queue time after a substrate is processed in a first processing chamber and before it is processed in the next processing chamber, will help to control and minimize the effect of the exposure to the contamination sources on device performance. This embodiment may be especially advantageous when used in conjunction with the inspection/analysis and particle/contamination removal steps and other embodiments described in conjunction with FIGS. 2-11, since the use of the analysis and/or particle/contamination removal steps can be used to further optimize one or more of the substrate processing step within a process sequence that utilizes a preclean process step and one or more substrate processing steps (e.g., PVD, CVD, EPI, dry etch). In one aspect, the analysis and/or particle/contamination removal steps can be used to further optimize the preclean process recipe. In one aspect of the invention, the system controller 102 controls the timing of when a process recipe step is started, or ended, to increase the system throughput and reduce any queue time issues.
  • The preclean steps discussed herein may prepare a surface of a substrate by using wet chemical processes and/or plasma modification processes. Two examples of exemplary processes and hardware that may be used to perform one or more of the preparation steps are described below.
  • Plasma Preclean Chamber Configuration
  • In one embodiment, the preparation/analysis step 302B in the processing sequence 301A, illustrated in FIG. 13, utilizes a plasma assisted type preclean processing step to remove a native oxide layer and other contaminants formed on a surface of a substrate prior to this step. Since the presence of a native oxide layers and other contaminants on the surface of the substrate will dramatically affect the device yield and process repeatability results, one or more steps preclean steps may be performed on the substrate.
  • FIG. 13 illustrates an exemplary process sequence 301A that may perform a preclean process step in the cluster tool 100 (FIG. 4). FIG. 13 is similar to the process sequence 300 shown in FIG. 5 except that a preparation/analysis step 302B has been added so that the plasma-assisted preclean process can be performed on the substrate surface. In one embodiment, the process sequence 301A contains a preparation/analysis step 302A that is used to inspect and analyze characteristics of the substrate surface or perform a particle removal step that is followed by the preclean type preparation/analysis step 302B that is discussed below. In one aspect of the process sequence 301A, the substrate process step 304 and the substrate process step 306 may be selected from one of the following group of processes that include oxide etch, metal etch, EPI, RTP, DPN, PVD, CVD (e.g., CVD polysilicon, TEOS etc.), or other suitable semiconductor substrate processing step.
  • In one embodiment, the preparation/analysis step 302B treatment (which may also be referred to as a preprocessing step) is performed in a preclean chamber 1100 (FIG. 12) that is adapted to perform an etching step and in-situ anneal step. A more detailed description of a preclean chamber and process that may be adapted to remove native oxide layers and other contaminants found on the substrate surface may be found in commonly assigned U.S. Patent Application Ser. No. 60/547,839 entitled “In-Situ Dry Clean Chamber For Front End Of Line Fabrication,” filed on Feb. 22, 2005, which is hereby incorporated by reference in its entirety.
  • In one embodiment, the preclean chamber 1100 may perform a plasma-enhanced chemical etch process that utilizes both substrate heating and cooling all within a single processing environment, to perform the preprocessing step. FIG. 12 illustrates a partial cross sectional view of a preclean chamber 1100. The preclean chamber 1100 is a vacuum chamber containing a lid assembly 1101, a substrate support member 1102 which is temperature-controlled, a chamber body 1110 which is temperature-controlled, and a processing zone 1120 between the lid assembly 1101 and a support surface of the substrate support member 1102. The substrate support member 1102 is generally adapted to support and control the temperature of the substrate during processing. The lid assembly 1101 contains a process gas supply panel (not shown) as well as a first and second electrode (elements 1130 and 1131) that define a plasma cavity for generating plasma adjacent to the processing zone 1120. The process gas supply panel (not shown) is connected to the gas source 1160, which provides one or more reactive gases to the plasma cavity, through the second electrode 1131 and into the processing zone 1120. The second electrode 1131 is positioned over the substrate and adapted to heat the substrate after the plasma-assisted dry etch process is complete.
  • The chamber body 1110 also includes a slit valve opening 1111 formed in a sidewall thereof to provide access to the interior of the preclean chamber 1100. The slit valve opening 1111 is selectively opened and closed to allow access to the interior of the chamber body 1110 by a substrate handling robot (e.g., robot 113 in FIG. 2).
  • In one or more embodiments, the chamber body 1110 includes a fluid channel 1112 formed therein for flowing a heat transfer fluid therethrough. The heat transfer fluid can be a heating fluid or a coolant and is used to control the temperature of the chamber body 1110 during processing and substrate transfer. The temperature of the chamber body 1110 is important to prevent unwanted condensation of the gas or byproducts on the chamber walls. Exemplary heat transfer fluids include water, ethylene glycol, or a mixture thereof. An exemplary heat transfer fluid may also include nitrogen gas.
  • The lid assembly 1101 generally includes a first electrode 1130 to generate a plasma that contains one or more reactive species within the lid assembly 1101 to perform one ore more of the preprocessing steps. In one embodiment, the first electrode 1130 is supported on the top plate 1131 and is electrically isolated therefrom. In one embodiment, the first electrode 1130 is coupled to a power source 1132 while the second electrode 1131 is connected to ground. Accordingly, a plasma containing one or more process gases is generated in the volumes between the first electrode 1130 and the second electrode 1131 as a process gases are delivered from the gas source 1160 through holes 1133 formed in the top plate into the processing zone 1120.
  • The power source 1132 that is capable of activating the gases into reactive species and maintaining the plasma of reactive species. For example, the power source 1132 may deliver energy in the form of radio frequency (RF), direct current (DC), or microwave (MW) power to the processing zone 1120. Alternatively, a remote activation source may be used, such as a remote plasma generator, to generate a plasma of reactive species, which are then delivered into preclean chamber 1100. In one embodiment, the second electrode 1131 may be heated depending on the process gases and operations to be performed within the preclean chamber 1100. In one embodiment, a heating element 1135, such as a resistive heater for example, can be coupled to the second electrode 1131 or the distribution plate. Regulation of the temperature may be facilitated by a thermocouple coupled to the second electrode 1131 or the distribution plate.
  • The gas source 1160 is typically used to provide the one or more gases to the preclean chamber 1100. The particular gas or gases that are used depend upon the process or processes to be performed within the preclean chamber 1100. Illustrative gases can include, but are not limited to one or more precursors, reductants, catalysts, carriers, purge, cleaning, or any mixture or combination thereof. Typically, the one or more gases introduced to the preclean chamber 1100 flow into the lid assembly 1101 and then into the chamber body 1110 through the second electrode 1131. Depending on the process, any number of gases can be delivered to the preclean chamber 1100, and can be mixed either in the preclean chamber 1100 or before the gases are delivered to the preclean chamber 1100. The process gases in the chamber body 1110 may be exhausted by the vacuum assembly 1150 through the apertures 1114 and pumping channel 1115 formed in the liner 1113.
  • The support assembly 1140 may be at least partially disposed within the chamber body 1110. The support assembly 1140 can include a substrate support member 1102 to support a substrate (not shown in this view) for processing within the chamber body 1110. The substrate support member 1102 can be coupled to a lift mechanism (not shown) which extends through a bottom surface of the chamber body 1110. The lift mechanism (not shown) can be flexibly sealed to the chamber body 1110 by a bellows (not shown) that prevents vacuum leakage from around the lift mechanism. The lift mechanism allows the substrate support member 1102 to be moved vertically within the chamber body 1110 between a process position and a lower, transfer position. The transfer position is slightly below slit valve opening 1111 formed in a sidewall of the chamber body 1110.
  • In one or more embodiments, the support surface of the substrate support member 1102 has a flat, circular surface or a substantially flat, circular surface for supporting a substrate to be processed thereon. The substrate support member 1102 is preferably constructed of aluminum. The substrate support member 1102 can be moved vertically within the chamber body 1110 so that a distance between substrate support member 1102 and the lid assembly 1101 can be controlled. Substrate support member 1102 may include one or more bores (not shown) formed therethrough to accommodate a lift pin (not shown). Each lift pin is typically constructed of ceramic or ceramic-containing materials, and are used for substrate-handling and transport. In one or more embodiments, the substrate (not shown) may be secured to the substrate support member 1102 using an electrostatic or vacuum chuck. In one or more embodiments, the substrate may be held in place on the substrate support member 1102 by a mechanical clamp (not shown), such as a conventional clamp ring.
  • The temperature of the support assembly 1140 is controlled by a fluid circulated through one or more fluid channels 1141 embedded in the body of the substrate support member 1102. Preferably, the fluid channel 1141 is positioned about the substrate support member 1102 to provide a uniform heat transfer to the support surface of the substrate support member 1102. The fluid channel 1141 may facilitate flow of heat transfer fluids to either heat or cool the substrate support member 1102. Any suitable heat transfer fluid may be used, such as water, nitrogen, ethylene glycol, or mixtures thereof. The substrate support member 1102 and/or the support assembly 1140 can further include an embedded thermocouple (not shown) for monitoring the temperature of the support surface of the substrate support member 1102.
  • In operation, the substrate support member 1102 can be elevated to close proximity of the lid assembly 1101 to control the temperature of the substrate being processed. As such, the substrate can be heated via radiation emitted from the lid assembly 1101 or the distribution plate, which are heated by heating element 1135. Alternatively, the substrate can be lifted off the substrate support member 1102 to close proximity of the heated lid assembly 1101 using the lift pins (not shown).
  • An exemplary dry etch process for removing native oxides on a surface of the substrate using an ammonia (NH3) and nitrogen trifluoride (NF3) gas mixture performed within a preclean chamber will now be described. The dry etch process begins by placing a substrate, such as a semiconductor substrate, into a preclean chamber. The substrate may be held to the support assembly 1140 of the substrate support member 1102 during processing via a vacuum or electrostatic chuck. The chamber body 1110 is preferably maintained at a temperature of between 50° C. and 80° C., more preferably at about 65° C. This temperature of the chamber body 1110 is maintained by passing a heat transfer medium through fluid channels 1112 located in the chamber body. During processing, the substrate is cooled below 65° C., such as between 15° C. and 50° C., by passing a heat transfer medium or coolant through fluid channels 1141 formed within the substrate support 1102. In another embodiment, the substrate is maintained at a temperature of between 22° C. and 40° C. Typically, the substrate support 1102 is maintained below about 22° C. to reach the desired substrate temperatures specified above.
  • The ammonia and nitrogen trifluoride gases are then introduced into the preclean chamber to form a cleaning gas mixture. The amount of each gas introduced into the chamber is variable and may be adjusted to accommodate, for example, the thickness of the oxide layer to be removed, the geometry of the substrate being cleaned, the volume capacity of the plasma and the volume capacity of the chamber body 1110. In one aspect, the gases are added to provide a gas mixture having at least a 1:1 molar ratio of ammonia to nitrogen trifluoride. In another aspect, the molar ratio of the gas mixture is at least about 3 to 1 (ammonia to nitrogen trifluoride). Preferably, the gases are introduced in the dry etching chamber at a molar ratio of from 5:1 (ammonia to nitrogen trifluoride) to 30:1. More preferably, the molar ratio of the gas mixture is of from about 5 to 1 (ammonia to nitrogen trifluoride) to about 10 to 1. The molar ratio of the gas mixture may also fall between about 10:1 (ammonia to nitrogen trifluoride) and about 20:1.
  • A purge gas or carrier gas may also be added to the gas mixture. Any suitable purge/carrier gas may be used, such as argon, helium, hydrogen, nitrogen, or mixtures thereof, for example. Typically, the overall gas mixture is from about 0.05% to about 20% by volume of ammonia and nitrogen trifluoride. The remainder being the carrier gas. In one embodiment, the purge or carrier gas is first introduced into the chamber body 1110 before the reactive gases to stabilize the pressure within the chamber body. The operating pressure within the chamber body can be variable. Typically, the pressure is maintained between about 500 mTorr and about 30 Torr. Preferably, the pressure is maintained between about 1 Torr and about 10 Torr. More preferably, the operating pressure within the chamber body is maintained between about 3 Torr and about 6 Torr.
  • An RF power of from about 5 and about 600 Watts is applied to the first electrode to ignite a plasma of the gas mixture within the plasma cavity. Preferably, the RF power is less than 100 Watts. More preferable is that the frequency at which the power is applied is very low, such as less than 100 kHz. Preferably, the frequency ranges from about 50 kHz to about 90 kHz.
  • The plasma energy dissociates the ammonia and nitrogen trifluoride gases into reactive species that combine to form a highly reactive ammonia fluoride (NH4F) compound and/or ammonium hydrogen fluoride (NH4F.HF) in the gas phase. These molecules then flow through the second electrode 1131 to react with the substrate surface to be cleaned. In one embodiment, the carrier gas is first introduced into the preclean chamber, a plasma of the carrier gas is generated, and then the reactive gases, ammonia and nitrogen trifluoride, are added to the plasma.
  • Not wishing to be bound by theory, it is believed that the etchant gas, NH4F and/or NH4F.HF, reacts with the native oxide surface to form ammonium hexafluorosilicate (NH4)2SiF6, NH3, and H2O products. The NH3, and H2O are vapors at processing conditions and removed from the chamber by vacuum pump 1150 attached to the chamber. A thin film of (NH4)2SiF6 is left behind on the substrate surface.
  • After performing the plasma processing step and a thin film of (NH4)2SiF6 is formed on the substrate surface, the substrate support is elevated to an anneal position in close proximity to the heated second electrode. The heat radiated from the second electrode 1131 should be sufficient to dissociate or sublimate the thin film of (NH4)2SiF6 into volatile SiF4, NH3, and HF products. These volatile products are then removed from the chamber by vacuum assembly. Typically, a temperature of 75° C. or more is used to effectively sublimate and remove the thin film from the substrate. Preferably, a temperature of 100° C. or more is used, such as between about 115° C. and about 200° C.
  • The thermal energy to dissociate the thin film of (NH4)2SiF6 into its volatile components is convected or radiated by the second electrode. A heating element 1135 may be directly coupled to the second electrode 1131, and is activated to heat the second electrode and the components in thermal contact therewith to a temperature between about 75° C. and 250° C. In one aspect, the second electrode is heated to a temperature of between 100° C. and 150° C., such as about 120° C.
  • Once the film has been removed from the substrate, the chamber is purged and evacuated. The cleaned substrate is then removed from the chamber by lowering the substrate to the transfer position, de-chucking the substrate, and transferring the substrate through the slit valve opening 1111.
  • As noted in FIG. 13, after performing the preparation/analysis step 302B the substrate can then be processed using one or more substrate processing steps selected from one of the following group of processes that may include oxide etch, metal etch, EPI, RTP, DPN, PVD, CVD (e.g., CVD polysilicon, TEOS etc.), or other suitable semiconductor substrate processing step.
  • Wet Clean Type Preclean Chamber Configurations
  • In another embodiment, a native oxide layer and other contaminants found on an exposed substrate surface are removed using a wet clean type preclean process, hereafter wet clean process, prior to performing one or more substrate device fabrication process steps in a processing sequence. FIG. 14 illustrates a process sequence 301B that can be used to improve device yield and process repeatability by performing one or more wet clean type preclean process steps.
  • A wet clean process treatment, as described in conjunction with FIGS. 13 and 14, may be performed on the surface of a substrate to remove the native oxide layer, particles and other contaminants. FIG. 14 illustrates an exemplary process sequence 301B that may performed in the cluster tool 101, that is illustrated in FIG. 15. FIG. 14 is similar to the process sequence 301A shown in FIG. 13 except that a preparation/analysis step 302C is performed before the performing the preparation/analysis step 302A. In one embodiment, the preparation/analysis step 302A includes a substrate preparation/analysis step (e.g., preparation/analysis step 302 in FIG. 5) or particle removal step as discussed above. In one embodiment, the preparation/analysis step 302C is a wet clean type substrate preparation step that is discussed below. In one embodiment, of the process sequence 301B, after performing the preparation/analysis step 302C, the substrate proceeds to the substrate process step 304 and the substrate process step 306, which may be selected from one of the following group of semiconductor device forming processes that may include oxide etch, metal etch, EPI, RTP, DPN, PVD, CVD (e.g., Blok®, CVD polysilicon, TEOS etc.), or other suitable semiconductor substrate processing step.
  • FIG. 15 is a plan view of one embodiment of a cluster tool 101 that contains a processing region 120, a linking module 350 and a front-end environment 104. The processing region 120 generally contains the components discussed above in conjunction with FIG. 2, which generally includes one or more processing chambers 201-204, one or more support chambers 211 (two are shown), a transfer chamber 110, and load lock chambers 106A-106B. The load lock chambers 106A-106B are in communication with the transfer chamber 110 and a linking module 350. It should be noted that the support chamber 211 may be positioned in other areas of the cluster tool, such as positions 114A-F, positions 214A-D and positions 354A-B in the linking module 350.
  • The linking module 350 generally has a transfer region 351 that connects the front-end environment 104 to the processing region 120. The linking module 350 generally contains a link robot 330 and one or more wet clean chambers 360. In one embodiment, the link robot 330 has a slide assembly 331 that is adapted to enable the link robot 330 to transfer substrates between the load lock chambers 106A-106B, the wet clean chambers 360, and support stage 104A, within the front-end environment 104. The link robot 330, disposed in the transfer region 351 of the linking module 350, is generally capable of linear, rotational, and vertical movement, in order to shuttle substrates between the load lock chambers 106A, 106B and the support stage 104A positioned on the front-end environment 104. The front-end environment 104 is generally used to transfer substrates from a cassette (not shown) seated in the plurality of pods 105 through an atmospheric pressure clean environment/enclosure to some desired location, such as a the support stage 104A.
  • The wet clean chamber 360 is generally a chamber that is adapted to remove the native oxide layer and other contaminants found on an exposed substrate surface using one or more wet chemical processing steps. The wet clean chamber 360 may be a wet-clean chamber sold under the tradename “Emersion”, a TEMPEST™ wet-clean chamber, both available from Applied Materials, Inc., or other suitable cleaning chamber. An example of an exemplary wet clean chamber 360 is further described in the commonly assigned U.S. patent application Ser. No. 09/891,849, filed Jun. 25, 2001, and the commonly assigned U.S. patent application Ser. No. 10/121,635, filed Apr. 11, 2002, which are both incorporated by reference herein in their entirety.
  • During processing, the wet clean chamber 360 is generally configured to clean a surface of the substrate. In one aspect, the wet clean chamber is adapted to perform one or more process steps that cause compounds exposed on the surface of the substrate to terminate in a functional group. Functional groups attached and/or formed on the surface of the substrate include hydroxyls (OH), alkoxy (OR, where R═Me, Et, Pr or Bu), haloxyls (OX, where X═F, Cl, Br or I), halides (F, Cl, Br or I), oxygen radicals and aminos (NR or NR2, where R═H, Me, Et, Pr or Bu). The wet cleaning process may expose the surface of the substrate to a reagent, such as NH3, B2H6, SiH4, SiH6, H2O, HF, HCl, O2, O3, H2O, H2O2, H2, atomic-H, atomic-N, atomic-O, alcohols, amines, plasmas thereof, derivatives thereof or combinations thereof. The functional groups may provide a base for an incoming chemical precursor used in the subsequent CVD or atomic layer deposition (ALD) steps to attach on the surface of the substrate. In one embodiment, the wet clean process may expose the surface of the substrate to a reagent for a period from about 1 second to about 2 minutes. Wet clean process may also include exposing the surface of the substrate to an RCA solution (SC1/SC2), an HF-last solution, water vapor from WVG or ISSG systems, peroxide solutions, acidic solutions, basic solutions, plasmas thereof, derivatives thereof or combinations thereof. Useful wet clean processes are described in commonly assigned U.S. Pat. No. 6,858,547 and co-pending U.S. patent application Ser. No. 10/302,752, filed Nov. 21, 2002, entitled, “Surface Pre-Treatment for Enhancement of Nucleation of High Dielectric Constant Materials,” and published as US 2003/0232501, which are both incorporated herein by reference in their entirety.
  • In one example of a wet clean process, a native oxide layer is removed prior to exposing substrate to a second process step that forms a chemical oxide layer having a thickness of about 10 Å or less, such as from about 5 Å to about 7 Å. Native oxides may be removed by a HF-last solution. The wet-clean process may be performed in a TEMPEST™ wet-clean system, available from Applied Materials, Inc. In another example, substrate is exposed to water vapor derived from a WVG system for about 15 seconds. A conventional HF-last processing step uses aqueous solutions that contain typically less than about 1% HF as the last step in the processing sequence to form a passivation layer on an exposed silicon surface. The HF-last process may be useful to reliably form a high quality gate oxide layer.
  • As noted in FIG. 14, after performing the preparation/analysis step 302A the substrate can then be processed using one or more substrate processing steps selected from one of the following group of processes that may include oxide etch, metal etch, EPI, RTP, DPN, PVD, CVD (e.g., CVD polysilicon, TEOS etc.), or other suitable semiconductor substrate processing step.
  • Process Enhancement Using A UV Clean Process
  • As semiconductor device sizes shrink, such as the 45 nm node or smaller, the queue time effects caused by native oxide growth, and/or exposure to organic contamination, become much more of an issue. To reduce the detrimental effect of native oxide growth, or contamination, on a formed semiconductor device, one or more clean processes may be performed prior to performing a deposition step to assure that the surface of the substrate is at a desired cleanliness level. In one embodiment of the cluster tool, one or more of the processing chambers 201-204, or support chambers 211, contain a radiation source that is adapted to deliver one or more wavelengths of UV light to clean a surface of the substrate to reduce the queue time effect, and thus prepare substrates for subsequent deposition processes, such as CVD, PVD, or ALD type processes. In this configuration, the sequence of processing steps performed on a substrate in the cluster tool will include the step of cleaning the substrate surface using a source of UV energy (hereafter UV clean process). The addition of the UV clean process prior to the deposition step can be especially useful when it is performed just prior to performing an epitaxial (EPI) layer deposition step, since the nucleation of the deposited EPI layer and the stress in the formed EPI layer is very sensitive to the state of the surface at the beginning of the process. In one embodiment, a substrate processing sequence includes a preparation step, such as a wet clean type substrate preparation step (preparation/analysis step 302C in FIG. 14) or preclean processing step (preparation/analysis step 302B in FIG. 13), and a UV clean process step to enhance the cleanliness of the surface of the substrate and more repeatably control the state of the substrate surface just prior to performing a substrate fabrication step, such as a EPI, CVD, PVD, or ALD deposition process. The preparation steps, such as a wet clean type substrate preparation step or preclean processing step can thus be used to remove the bulk of the contamination or native oxide layer on the substrate surface, while the UV clean process is used to finally prepare and/or passivate the substrate surface just prior to the completion of a subsequent substrate processing step.
  • In one embodiment, the UV clean process is used to reduce the temperature at which a cleaning and/or passivation process is carried out versus other conventional cleaning techniques to reduce thermal budget concerns. For example, the substrate temperature during processing when using a desirable amount of UV radiation may be less than 750° C., and typically less than 700° C. In one aspect, the UV enhanced process is performed at a temperature ranging between about 500° C. and about 700° C. Conventional silicon-containing substrate cleaning and passivation steps, which are commonly used just prior to an EPI deposition step, are typically performed at a temperature ranging from about 750° C. and about 1,000° C. In one aspect, by treating a substrate in an ambient environment comprising hydrogen in the presence of UV radiation, it is possible to reduce either the temperature at which the cleaning and passivating process is carried out or the time required to clean the surface, or a combination of both. In one embodiment, the UV clean process is performed to prepare a clean and passivated silicon-containing substrate surface for the deposition of epitaxially-grown, silicon-containing films.
  • Referring to FIG. 6, in one embodiment, the particle reduction chamber 700 is further adapted to perform the cleaning process on the surface of the substrate. In one aspect, the particle reduction chamber 700 contains an enclosure 701, a radiation source 711, a substrate support 704, a heating element 722, a vacuum pump 736 and a gas delivery source 735 that is adapted to deliver a cleaning gas that contains a reducing gas, such as hydrogen to the processing region 710. In operation, the vacuum pump 736 is used to control the pressure in the processing region 710 between about 0.1 and about 80 Torr during the substrate surface cleaning and passivation process. The heating elements 722 and system controller 102 are used to control the substrate temperature during processing to ranges between about 550° C. and about 750° C., and typically ranges between about 550° C. and about 700° C. The system controller 102 and radiation source 711 are used to control the power density of the UV radiation to a range from about 1 mW/cm2 to about 25 mW/cm2 at one or more wavelengths between about 120 nm and about 430 nm.
  • In one example, the UV clean process is completed by exposing the substrate to clean gas containing hydrogen with simultaneous exposure to radiation at a wavelength of about 180 nm or lower. During the UV clean process the hydrogen flow rate is maintained in a range between about 25 slm and about 50 slm, while the temperature at the substrate surface is in the range of 500° C. to 650° C. for a time period ranging from about 1 minute to about 5 minutes. The pressure in the processing region may range from about 0.1 Torr to about 100 Torr, typically the pressure is in the range of about 5 Torr to about 30 Torr. The power density of the UV radiation delivered to the surface of the substrate may range from about 2 mW/cm2 to about 25 mW/cm2.
  • In one embodiment, as shown in FIG. 16, a UV clean process 302D is performed after performing the preclean process step 302B and prior to performing the process step 304. The process sequence 301C, illustrated in FIG. 16, is similar to the process sequence shown in FIG. 13 except that a transfer step A3′ and a UV clean process 302D have been added to perform the UV clean process 302D. It should be noted that FIG. 16 is not intended to limit the order in which the UV clean process may be performed within a processing sequence, since the cleaning process can be performed before or after any of the processing steps without varying from the basic scope the invention. In general, it is desirable to transfer or retain the substrate in a vacuum or inert environment after performing the UV clean process 302D to prevent or minimize the interaction of the substrate surface with oxygen or other contaminants to prevent native oxide growth or damage to the cleaned surface prior to performing the next substrate processing step. Therefore, it is generally desirable to perform the UV clean process within a cluster tool that has a low partial pressure of oxygen or other contaminants.
  • In another embodiment, a source of UV radiation, a substrate heater and a clean gas source are attached or contained within one or more of the processing chambers (e.g., processing chambers 201-204) mounted within the cluster tool so that the UV clean process can be performed therein. In this configuration the UV clean process may be performed in a process chamber prior to performing a deposition process and thus a separate transfer step A3′ (FIG. 16) is not needed. In one embodiment, a UV radiation source (not shown) is added to the preclean chamber 1100 illustrated in FIG. 12 to improve the process results of the preclean process preformed on the substrate surface.
  • In one embodiment, one or more metrology steps (e.g., preparation/analysis step 302A in FIGS. 13-14) are performed on the substrate after performing the UV cleaning process to analyze the state of various regions of the substrate so that corrective actions can be made by the system controller to improve the effectiveness of the UV clean process on subsequent substrates and/or improve the process results achieved in one or more of the subsequent processes. In general, the UV clean process variables may include the UV clean process time, the intensity of the UV power delivered to the substrate surface, and/or the substrate temperature.
  • In another embodiment, one or more metrology steps (e.g., preparation/analysis step 302A in FIGS. 13-14) are performed after the UV clean process has been performed and one or more subsequent substrate processing steps (e.g., PVD, CVD or ALD deposition steps) are performed on the substrate surface. In this case, the metrology steps can be used to rapidly analyze the state of a region on the substrate surface to allow the system controller to make adjustments to one or more of the process variables within one or more of the process steps within the processing sequence to improve the achieved process results. In general, the process variables may include any of the UV clean process variables (e.g., UV clean process time, UV source power) or substrate processing process variables (e.g., RF power, process pressure, gas flow rate, film thickness, deposition rate, substrate temperature). In one example, an XRD device is used to measure and feedback the stress in a film deposited on the surface of a first substrate. Therefore, if the measured stress is out of a desired range the system controller can, for example, adjust the length of the UV clean process to improve the substrate surface cleanliness and reduce the stress in a deposited layer formed on a second substrate. This process can be important when used in cases where the deposited film properties (e.g., stress/strain) are very sensitive to the state of substrate surface prior to deposition, such as epitaxially deposited silicon layers.
  • The integration of the metrology step in the cluster tool allows the rapid feedback of desirable or undesirable process results after one or more processing steps in a process sequence to help reduce substrate scrap and device variability. The integrated metrology step within a cluster tool also improves the productivity of the cluster tool by possibly removing the need to waste time running test wafers or dummy wafers through the cluster tool to pre-qualify one or more of the process steps. Also, the use of one or more metrology chambers that are within, or in communication with, the controlled vacuum or inert environment regions of the cluster tool (e.g., transfer region 110) prevents and/or minimizes the interaction of the substrate surface with oxygen or other contaminants to provide more rapid and realistic metrology results versus process sequences that require the metrology steps to be performed outside of the controlled vacuum or inert environment. It is thus generally desirable to configure the cluster tool so that the metrology chamber(s) are attached to the cluster tool so that the transferring processes to and from the metrology chambers are performed within an environment that has a low partial pressure of oxygen or other contaminants.
  • UV Enhanced Deposition Processes
  • In one embodiment, a substrate processing chamber contains a UV radiation source that is adapted to reduce the substrate processing temperature during a substrate processing step (e.g., substrate process steps 304-306 in FIGS. 13, 14 and 16). The need to reduce the substrate processing temperatures is becoming increasingly important as the feature sizes are decreased to 45 nm, and below. The need to reduce the processing temperature is created by the need to minimize or avoid the device yield issues caused by the interdiffusion of materials between the layers of a formed device. Lower process temperatures are required for both substrate preparation steps and substrate fabrication steps. Reducing the substrate processing temperature improves the thermal budget of the formed device, which thus improves device yield and the useable lifetime of the formed device. It is thus desirable to use one or more process steps that contain a reduced processing temperature within a device fabrication processing sequence.
  • To accomplish this task, a substrate processing chamber, hereafter processing chamber, exposes one or more surfaces of a substrate to UV radiation during the step of performing the device fabrication process. When in use, the source of UV radiation is adapted to deliver enough energy to the surface of the substrate to reduce the need for thermal energy to cause the deposition or etching process to occur on the surface of the substrate. In general, it is believed that a radiation source that is adapted to deliver the UV radiation at wavelengths between about 120 and about 430 nanometers (nm) at a power density between about 5 mWatts/cm2 and about 25 mWatts/cm2 to a surface of the substrate, is useful to assist most conventional CVD or ALD processes. It should be noted that the UV radiation wavelength and delivered power may need to be adjusted for a given temperature, precursor and substrate combinations. The radiation from the radiation source may be supplied by a lamp containing elements, such as xenon, argon, krypton, nitrogen, xenon chloride, krypton fluoride, argon fluoride. A typical radiation source may be a conventional UV lamp (e.g., mercury vapor lamp, halogen type lamp) or other similar device. Combinations of UV radiation sources having different emitted wavelengths may also be used. In one embodiment, the pressure in the processing chamber during processing is in a range between about 0.1 and about 80 Torr.
  • FIG. 16 illustrates a schematic side cross-sectional view of an exemplary process chamber 1600 which may be employed as one or more of the processing chambers 201-204 in the cluster tool 100 illustrated in FIGS. 2-3. In one embodiment, as shown in FIG. 16, the deposition process chamber includes a stainless steel housing structure 1601 which encloses various functioning elements of the process chamber 1600. A quartz chamber 1630 includes an upper quartz chamber 1605, in which the UV radiation source 1608 is contained, and a lower quartz chamber 1624, in which a processing volume 1618 is contained. Reactive species are provided to processing volume 1618 and processing byproducts are removed from processing volume 1618. A substrate 1614 rests on a pedestal 1617, and the reactive species are applied to surface 1616 of the substrate 1614, with byproducts subsequently removed from surface 1616. Heating of the substrate 1614 and the processing volume 1618 is provided for using the infrared lamps 1610. Radiation from infrared lamps 1610 travels through upper quartz window 1604 of upper quartz chamber 1605 and through the lower quartz portion 1603 of lower quartz chamber 1624. One or more cooling gases for upper quartz chamber 1605 enter through inlet 1611 and exit 1613 through an outlet 1628. In one embodiment, where the process chamber is a CVD or ALD type process chamber a precursor, as well as diluent, purge and vent gases for lower quartz chamber 1624 enter through inlet 1620 and exit 1622 through outlet 1638. The outlets 1628 and 1638 are in communication with the same vacuum pump or are controlled to be at the same pressure using separate pumps, so that the pressure in upper quartz chamber 1605 and lower quartz chamber 1624 will be equalized. The UV radiation is thus used to energize reactive species and assist in adsorption of reactants and desorption of process byproducts from the surface 1616 of substrate 1614. An exemplary deposition chamber, UV clean process and process for depositing an EPI film using a UV assisted deposition process is further described in the commonly assigned U.S. patent application Ser. No. 10/866,471, filed Jun. 10, 2004, which is herein incorporated by reference in its entirety.
  • In one example, the deposition of a silicon nitride (SiN) film is carried out in the process chamber 1600 using a mixture of disilane (Si2H6) plus ammonia (NH3) at a temperature of about 400° C., while UV radiation is delivered at a wavelength within the range of about 172 nm at a power density between about 5 and about 10 mWatts/cm2. Typically, conventional SiN deposition processes require temperatures of about 650° C. or higher.
  • In one embodiment of the cluster tool, one or more metrology steps (e.g., preparation/analysis step 302A in FIGS. 13-14) are performed after performing one or more UV assisted substrate processing steps (e.g., a deposition step). In this case the metrology steps can be used to rapidly analyze the state of one or more layers deposited on the substrate surface to allow the system controller to make adjustments to the process variables in the substrate processing step to improve the process of forming the layer on the substrate surface. In general, the process variables may include, for example, UV radiation intensity (e.g., power), deposition time, process pressure, flow rate of process gases, RF power, film thickness, or substrate temperature. In one example, an XRD device is used to measure and feedback the stress in a film deposited on the surface of a first substrate so that the system controller can, for example, adjust the UV power during subsequent deposition processes to improve the film properties, such as stress, in layers formed using the UV assisted deposition process. This process can be important when used in cases where the deposited film properties (e.g., stress/strain) are very sensitive to the thermal environment during the deposition process. The integration of the metrology process step in the cluster tool allows the rapid feedback of desirable or undesirable process results achieved after one or more of the substrate fabrication process steps, which thus helps to improve device yield by reducing the number of misprocessed substrates and improve the productivity of the cluster tool by removing the need to waste time running test wafers through one or more of the process steps contained within a process sequence performed in the cluster tool to pre-qualify one or more of the processes performed within the process sequence.
  • Support Chamber Integration with Load Lock Chambers
  • FIG. 18 is a schematic side view of one embodiment of a support chamber assembly 801 that is integrated into a load lock chamber 106. The load lock chamber 106 generally comprises a chamber body 1802, an upper substrate holder 1804, a lower substrate holder 1806, and an optical source 811, which may be an optical device, such as an ultra violet light source, or UV source. The chamber body 1802 may be fabricated from a singular body of material such as aluminum. The chamber body 1802 also includes a first side wall 1810, a second side wall 1808, lateral walls (1842 in FIG. 19), a top 1814, and a bottom 1816 that defines an evacuable or controllable environment, generally referred to as a variable pressure region 1818. The variable pressure region 1818 is adapted to cycle between about 10−6 Torr when in communication with the transfer chamber 110, and at or near ambient atmosphere when in communication with the factory interface 104. An example of a load lock chamber 106 that may be used is described U.S. Pat. No. 6,841,200, filed Mar. 17, 2003 and issued Jan. 11, 2005, which is incorporated by reference in its entirety.
  • In one embodiment the load lock chamber 106 includes a pedestal 1840. In one example, the pedestal 1840 may be coupled to the bottom 1816 of the chamber body 1802 by a flexible support 1878 adapted to vacuum within the variable pressure region 1818. Alternatively, the pedestal 1840 may be movably coupled to the chamber body 1802, wherein the pedestal 1840 is adapted to move laterally or horizontally relative to the bottom 1816 and the source 811, and vacuum may be maintained by a cover 1898 alone, or in combination with the flexible support 1878. In another embodiment, the pedestal 1840 is adapted to move vertically and/or horizontally by a motor 1896 coupled to the pedestal 1840 by a shaft 1882.
  • The pedestal 1840 generally includes a platen 1880 which is generally fabricated from a thermally conductive material such as aluminum or stainless steel, but may alternatively be comprised of other materials such as ceramic. The platen 1880 generally has a heat transfer element 1886, such as fluid passage disposed in the platen 1880, or a fluid passage disposed in contact with a lower surface 1888 of the platen 1880. Alternatively, the heat transfer element 1886 may be a circulated water jacket, a thermoelectric device such as a resistive heating device, or other structure that may be utilized to control the temperature of the platen 1880.
  • In one embodiment, the heat transfer element 1886 comprises a tube 1890 disposed proximate the lower surface 1888 of the platen 1880. The tube 1890 is coupled to a fluid source 1894 that circulates a fluid through the tube. The fluid, for example facility water, from the fluid source 1894, may optionally be thermally regulated. The tube 1890 may be disposed in a substantially circular or spiral pattern against the lower surface 1888 of the platen 1880. Typically, the tube 1890 is brazed to the lower surface 1888, or adhered using a conductive adhesive. Optionally, a conductive plate (not shown), such as a copper plate may alternatively be disposed between the tube 1890 and platen 1880 to promote uniformity of heat transfer across the width of the platen 1880.
  • The environment of the variable pressure region 1818 may be controlled so that it may be evacuated to substantially match the environment of the transfer region 110C of the transfer chamber 110, and may be vented to substantially match the environment of the transfer region 104B of the factory interface 104. Generally, the chamber body 1802 includes a vent passage 1830 and a pump passage 1832. Typically, the vent passage 1830 and the pump passage 1832 are positioned at opposite ends of the chamber body 1802 to promote laminar flow within the variable pressure region 1818, during venting and evacuation, to minimize particulate contamination. In one embodiment, the vent passage 1830 is disposed through the top 1814 of the chamber body 1802, while the pump passage 1832 is disposed through the bottom 1816 of the chamber body 1802. The passages 1830, 1832 typically are coupled to valves 1812 to selectively allow flow into and out of the variable pressure region 1818. Alternatively, the passages 1830, 1832 may be positioned at opposite ends of one of the chamber walls, or on opposing or adjacent walls.
  • The environment of the variable pressure region 1818 may be controlled so that it may be evacuated to substantially match the environment of the transfer region 110C of the transfer chamber 110, and may be vented to substantially match the environment of the transfer region 104B of the factory interface 104. Generally, the chamber body 1802 includes a vent passage 1830 and a pump passage 1832. Typically, the vent passage 1830 and the pump passage 1832 are positioned at opposite ends of the chamber body 1802 to promote laminar flow within the variable pressure region 1818, during venting and evacuation, to minimize particulate contamination. In one embodiment, the vent passage 1830 is disposed through the top 1814 of the chamber body 1802, while the pump passage 1832 is disposed through the bottom 1816 of the chamber body 1802. The passages 1830, 1832 typically are coupled to valves 1812 to selectively allow flow into and out of the variable pressure region 1818. Alternatively, the passages 1830, 1832 may be positioned at opposite ends of one of the chamber walls, or on opposing or adjacent walls.
  • In one embodiment, the vent passage 1830 is coupled to a high efficiency air filter 1836. The pump passage 1832 may be coupled to a point-of-use pump having low vibration generation to minimize disturbance of the substrates W positioned within the load lock chamber 106, while promoting pump-down efficiency and abbreviating time by minimizing the fluid path between the chamber 106 and pump to generally less than three feet.
  • An inlet or first port 1839 is disposed in the first wall 1810 of the chamber body 1802 to allow substrates W to be transferred between the load lock chamber 106 and the factory interface 104. A first valve or inlet valve 1846, such as a slit valve, selectively seals the first port 1839 to isolate the load lock chamber 106 from the factory interface 104. An outlet or second port 1838 is disposed in the second wall 1808 of the chamber body 1802 to allow substrates W to be transferred between the load lock chamber 106 and the transfer chamber 110. A second valve or outlet valve 1844, such as a slit valve, selectively seals the second port 1838 to isolate the load lock chamber 106 from the vacuum environment of the transfer chamber 110. Although the valves 1844, 1846 and the ports 1838, 1839 may be referred to as inlets and outlets, the substrates W may pass through the load lock chamber 106 from the transfer chamber 110 to the factory interface 104, and from the factory interface 104 to the transfer chamber 110.
  • Generally, an elevator assembly 1815, which may be any device capable of receiving and supporting one or more substrates and capable of moving the substrates vertically, is disposed in the variable pressure region 1818. The elevator assembly 1815 includes an upper substrate holder 1804 is concentrically coupled to (i.e., stacked on top of) a lower substrate holder 1806 that is disposed above the chamber bottom 1816. The substrate holders 1804 and 1806 are generally mounted to a hoop 1820 that is coupled to a shaft 1884 that extends through an aperture formed in the bottom 1816 of the chamber body 1802. Typically, each substrate holder 1804, 1806 is configured to retain one substrate W, and the upper substrate holder 1804 is utilized to hold an unprocessed substrate while the lower substrate holder 1806 is utilized to hold a processed substrate returning from the transfer chamber 110, or vice-versa. The shaft 1884 is coupled to a lift mechanism 1894 that controls the elevation of the substrate holders 1804 and 1806 within the chamber body 1806. A flexible connection, such as bellows 1886, is generally disposed around the shaft 1884 to maintain pressure within the variable pressure region 1818 and to prevent leakage from, or into, the body 1806.
  • The source 811 is coupled to the top 1814 and an interior surface 1870 of the source 811 is in communication with the variable pressure region 1818 through an aperture 1872 formed in the top 1814. The source 811 may be adapted to perform the preparation/analysis step 302 and/or the post-processing/analysis step 310 (FIG. 5) to analyze a property of the substrate before or after performing a processing step in a processing sequence. The source 811 may be adapted to analyze a property of a substrate using a XRD, XPS, reflectometer, or ellipsometer techniques, as described above. In other embodiments, the source 811 may be adapted to perform a particle reduction step as described above. The source 811 is typically coupled to the system controller 102, and data collected from the source 811 is then used by the system controller 102 to adjust one or more process variables in one or more of the processing steps to facilitate favorable process results on subsequently processed substrates. The data provided by the source 811 is obtained in-situ (i.e., within the tool) and feedback to the controller 102 may be processed at or near real time, which provides enhanced process parameter control for subsequent process steps.
  • In one embodiment, the source 811 is a UV light source and generally includes a measurement tool 814 that is housed within and shares the environment of the variable pressure region 1818. The measurement tool 814 may be an optical instrument configured to emit photons in multiple wavelengths, such as in the deep ultra-violet (DUV) wavelength range or lesser wavelengths, such as the vacuum ultra-violet (VUV) range. For example, the measurement tool 814 may be adapted to emit photons in the DUV spectrum, which is between about 200 nm to about 600 nm, and the VUV spectrum, which is about 200 nm or less, more specifically, 190 nm or less.
  • In one embodiment, the measurement tool 814 comprises a source and a sensor as described in other embodiments. The source may include a lamp, a narrow band source, or a windowless discharge source, and a beam conditioner (not shown). The sensor may include a spectrometer and an array detector (not shown). Beam delivery optics (not shown) to provide direction and focusing of photons, may also be included within one or both of the source and sensor.
  • In one embodiment, the source 811 includes a positioning device 1805 configured to move the measurement tool 814 relative to the substrate W. The positioning device 1805 may be statically or movably coupled to an upper surface 1870 of the top 1814, and is adapted to position or move the measurement tool 814 horizontally and vertically relative to the substrate W. The positioning device 1805 may be coupled to the controller 102 and in one embodiment, is a stage adapted to move linearly and/or rotationally to position the measurement tool 814 with respect to the substrate W.
  • In one embodiment, focusing and/or positioning of the substrate W to facilitate pre-or post-processing and/or inspection is provided by moving the substrate W relative to the source 811. The movement of the substrate W may be provided by one or both of the substrate holders 1804, 1806, or the positioning of the substrate may be provided by a blade assembly 113A, as shown in FIGS. 9 and 11, for example. In this embodiment, the robot or the holders may be adapted to move linearly and/or rotate relative to the source 811 to provide one or more sampling areas located on the substrate W to be exposed to the source 811. In another embodiment, the focusing and/or positioning to facilitate pre-or post-processing and/or inspection is provided by moving the source relative to the substrate W with the positioning device 1805 as described above.
  • FIG. 19 depicts one embodiment of the substrate holders 1804,1806 coupled to the hoop 1820. The lower substrate holder 1806 is generally held above the bottom 1816 of the chamber body 1802 by the hoop 1820. A first standoff 1908 is disposed between each member 1904, 1906 to maintain the lower substrate holder 1806 in a spaced-apart relation to the hoop 1820. A second standoff 1910 is disposed between the upper and lower substrate holders 1804, 1806 to maintain a spaced-apart relation therebetween. Generally, the standoffs 1908, 1910 allow a robot blade of the transfer and factory interface robots 113, 108 to pass therebetween when retrieving and depositing substrates on the substrate holders 1804,1806.
  • Generally, each substrate holder 1804, 1806 includes a first member 1904 and a second member 1906. Each holder 1804, 1806 may alternatively include an “L-shaped” configuration that incorporates a standoff portion that maintains a spaced-apart relation between holders 1804, 1806 and adjacent components of the load lock chamber 106. Each member 1904, 1906 includes a curved inner portion 1912 that has a lip 1914 extending radially inwards therefrom and is configured to retain the substrate W therebetween. The curved inner portion 1912 is generally configured to allow the substrate W to pass therebetween and rest on the lip 1914.
  • Referring back to FIG. 18, the hoop 1820, having the substrate holders 1804, 1806 coupled thereto, may be raised or lowered to facilitate transfer of a substrate W. Additionally, the hoop 1820 may be raised or lowered to a first position to facilitate focusing of the measurement tool 814. In embodiments where the temperature control pedestal is used, the hoop 1820 may be lowered to a second position where an upper surface 1892 of the platen 1880 is in close proximity or in contact with the substrate W supported by the lower substrate holder 1806. In this manner, a substrate W supported in the upper substrate holder 1804 may be analyzed, while a substrate W supported in the lower substrate holder 1806 may be heated or cooled by the platen 1880. Alternatively, a substrate W supported by the lower substrate holder 1806 may be analyzed when the upper substrate holder 1804 does not have a substrate. The platen 1880 may additionally be coupled to a shaft 1882 to allow vertical movement of the platen 1880 relative to the hoop 1820 by action of a lift mechanism 1896 disposed exterior to the load lock chamber 106. The flexible support 1878, such as bellows, or the cover 1898, facilitates maintenance of pressure within the variable pressure region 1818 while allowing the platen 1880 to move within the load lock chamber 106.
  • FIG. 20 is a flow chart of a method 2000 for integrating the support chamber assembly 801 and an inspection step 2045 within an exemplary process sequence of the load lock chamber 106. At the beginning of, or during, a process sequence, incoming substrates may be placed in the factory interface 104 awaiting transfer to the cluster tool, and processed or outgoing substrates may be in the cluster tool awaiting transfer to the factory interface 104. In this example, an outgoing substrate (substrate WN in FIG. 20) may have been previously transferred to the load lock chamber 106 from the transfer chamber 110 and is awaiting transfer to the factory interface 104 in one of the substrate holders 1804, 1806, such as the lower substrate holder 1806 (HOLDER2 in FIG. 20). After the transfer of substrate WN to HOLDER2, the second valve 1844 (V2 in FIG. 20) may be closed and at 2010, the load lock chamber 106 is vented to substantially match the ambient pressure in the factory interface 104. Step 2020 includes positioning upper substrate holder 1804 (HOLDER1 in FIG. 20) to an exchange position while the first valve 1846 (V1 in FIG. 20) is opened to allow the factory interface environment to be in communication with the variable pressure environment 1818 of the load lock chamber 106. At 2025, the factory interface robot transfers a substrate W1 to HOLDER1 and at 2030, HOLDER2 is positioned at an exchange position to facilitate exchange of substrate WN to the factory interface 104. At 2035, the factory interface robot transfers substrate WN from HOLDER2 to the factory interface 104. As the upper substrate holder 1804 is supporting substrate W1 and the lower substrate holder 1806 is empty to receive another outgoing substrate from the transfer chamber, the first valve V1 may be closed at step 2040.
  • After step 2040, the load lock chamber 106 is pumped down to a suitable pressure that may be substantially equal to the pressure in the transfer chamber 110. This pumping may comprise a time period of about 15 seconds or less, such as about 12 seconds to about 15 seconds, during which time substrate W1 may sit idle, or be heated or cooled by the platen 1880. During this time period, the majority of the pressure drop occurs in the first few seconds, thus creating an enhanced environment in the variable pressure region 1818 for DUV light and/or a suitable pressure condition for VUV light. During this time period, an inspection step 2045, such as a metrology process performed by the source 811, may be performed concurrently on the substrate W1 while the load lock chamber 106 is pumped down.
  • To facilitate inspection, the elevator assembly 1815, specifically HOLDER1, may be raised or lowered to bring the substrate W1 in a desired vertical relationship relative to the measurement tool 814. Alternatively or additionally, the measurement tool 814, which may be statically or movably coupled to the positioning device 1805, which in turn, may be statically or movably coupled to the interior surface 1870 of the source 811, provides vertical, horizontal, and/or rotational movement to the measurement tool 814. In this manner, the measurement tool 814 may be moved relative to the substrate W1 in order to facilitate focusing and inspection. In either embodiment, one or more sampling areas located on substrate W1 may be accessed and analyzed by the measurement tool 814 to provide data to be processed by the system controller 102, which may adjust subsequent processing variables performed on substrate W1.
  • At step 2060, HOLDER1 may be positioned to an exchange position while valve V2 is opened, which puts the variable pressure region 1818 in communication with the environment of the transfer region 110C. At 2065, substrate W1 may be retrieved by the transfer robot and transferred into the transfer chamber 110. Data collected from the inspection step 2045 may be processed by the system controller 102 and processing parameters may be adjusted based on the data prior to substrate W1 being transferred to a process chamber on the cluster tool. At 2070, HOLDER2 is positioned in an exchange position to facilitate transfer of another outgoing substrate (substrate W2 in FIG. 20) from the transfer chamber 110 to HOLDER2 at step 2075. At step 2080, valve V2 may be closed and the load lock chamber 106 may be vented as described in step 2010.
  • The venting at step 2010 may comprise a time period of about 15 seconds or less, such as about 12 seconds to about 15 seconds, during which time substrate W2 may sit idle, or be heated or cooled by the platen 1880, an inspection step 2045 may be performed on substrate W2. During step 2010, the elevator assembly 1815, specifically HOLDER2, may be raised or lowered to bring the substrate W2 in a desired vertical relationship relative to the measurement tool 814. Alternatively or additionally, the measurement tool 814, which may be statically or movably coupled to the positioning device 1805, which in turn, may be statically or movably coupled to the interior surface 1870 of the source 811, provides vertical, horizontal, and/or rotational movement to the measurement tool 814. In this manner, the measurement tool 814 may be moved relative to the substrate W2 in order to facilitate focusing and inspection. In either embodiment, one or more sampling areas located on substrate W2 may be accessed and analyzed by the measurement tool 814 to provide data to be processed by the system controller 102, which may adjust subsequent processing variables performed on subsequent substrates.
  • In one embodiment, the inspection step 2045 may be performed on substrates at any time prior to the variable pressure region 1818 being vented to at or near ambient pressure. For example, the inspection step 2045 on substrates may be performed during venting or pumping down of the load lock chamber 106, providing the pressure in the variable pressure region 1818 is suitable for DUV light and/or VUV light, and/or the atmosphere or environment within the variable pressure region 1818 is non-absorbing to DUV and/or VUV light.
  • Alternatively, a pre-or post-processing step, and/or an inspection step on the substrate W disposed in the load lock chamber 106, may be performed without evacuating the variable pressure region 1818 to a specific pressure. Referring again to FIG. 18, the variable pressure region 1818 may be purged or otherwise supplied with gas from a gas source 1881 that is substantially transparent to, or otherwise permits transmission of, light in the DUV and/or VUV wavelengths. The gas may be provided to the variable pressure region 1818 by an inlet port 1871 formed in or coupled to the chamber body 1802, and the gas source 1881 may comprise a gas that is chosen to minimize or eliminate absorption of DUV photons and/or VUV photons, such as nitrogen (N2), helium (He), argon (Ar), or combinations thereof.
  • While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (18)

1. A substrate processing apparatus comprising:
a load lock chamber having an inlet valve and an outlet valve configured to receive at least one substrate into a vacuum environment; and
an optical inspection device disposed in the vacuum environment, wherein the optical inspection device is adapted to emit a wavelength of less than 190 nanometers and is in communication with the vacuum environment.
2. The apparatus of claim 1, further comprising:
a plurality of stacked substrate support members disposed in the vacuum environment.
3. The apparatus of claim 1, wherein the optical inspection device is a spectrometry device.
4. The apparatus of claim 1, wherein the optical inspection device measures thickness of a film located on the at least one substrate.
5. The apparatus of claim 1, wherein the optical inspection device measures stress of a film located on the at least one substrate.
8. The apparatus of claim 1, wherein the optical inspection device is coupled to a positioning device.
9. The apparatus of claim 1, wherein the optical inspection device is in communication with a plurality of processing chambers coupled to the load lock chamber by a system controller.
10. A substrate processing apparatus, comprising:
a load lock chamber having an elevator assembly disposed in an evacuable environment; and
an optical inspection device disposed above the elevator assembly in communication with the evacuable environment.
11. The apparatus of claim 10, wherein the evacuable environment is shared by the optical inspection device.
12. The apparatus of claim 10, wherein the evacuable environment is coupled to a purge gas source configured to provide an atmosphere within the evacuable environment that is substantially transparent to wavelengths between about 200 nanometers to about 600 nanometers or less.
13. The apparatus of claim 10, wherein the evacuable environment is coupled to a purge gas source configured to provide an atmosphere within the evacuable environment that is substantially transparent to wavelengths less than about 190 nanometers or less.
14. The apparatus of claim 10, wherein the elevator assembly comprises at least one substrate support.
15. A method of processing a substrate, comprising:
transferring a substrate to an evacuable chamber through an inlet valve coupled to the evacuable chamber;
providing an environment in the evacuable chamber that is non-absorbing to wavelengths less than 200 nanometers;
inspecting the substrate with an optical device that shares the environment in the evacuable chamber with the substrate; and
transferring the substrate through an outlet valve after inspection.
16. The method of claim 15, wherein the environment comprises a pressure between about ambient pressure to about 10−6 Torr.
17. The method of claim 15, wherein the environment comprises an atmosphere selected from the group of nitrogen, argon, and helium.
18. The method of claim 15, wherein providing the environment further comprises:
pumping the evacuable chamber to a pressure between the range of ambient pressure to about 10−6 Torr.
19. The method of claim 15, wherein the inspection further comprises:
moving the substrate relative to the optical device.
20. The method of claim 15, wherein the inspection further comprises:
moving the optical device relative to the substrate.
US11/610,468 2004-11-22 2006-12-13 Integrated vacuum metrology for cluster tool Abandoned US20070196011A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US11/610,468 US20070196011A1 (en) 2004-11-22 2006-12-13 Integrated vacuum metrology for cluster tool
PCT/US2007/087154 WO2008076733A1 (en) 2006-12-13 2007-12-12 Integrated vacuum metrology for cluster tool
TW096147748A TW200834778A (en) 2006-12-13 2007-12-13 Integrated vacuum metrology for cluster tool

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US63050104P 2004-11-22 2004-11-22
US64287705P 2005-01-10 2005-01-10
US11/286,063 US20060156979A1 (en) 2004-11-22 2005-11-22 Substrate processing apparatus using a batch processing chamber
US11/460,864 US20070134821A1 (en) 2004-11-22 2006-07-28 Cluster tool for advanced front-end processing
US11/610,468 US20070196011A1 (en) 2004-11-22 2006-12-13 Integrated vacuum metrology for cluster tool

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/460,864 Continuation-In-Part US20070134821A1 (en) 2004-11-22 2006-07-28 Cluster tool for advanced front-end processing

Publications (1)

Publication Number Publication Date
US20070196011A1 true US20070196011A1 (en) 2007-08-23

Family

ID=39536671

Family Applications (1)

Application Number Title Priority Date Filing Date
US11/610,468 Abandoned US20070196011A1 (en) 2004-11-22 2006-12-13 Integrated vacuum metrology for cluster tool

Country Status (3)

Country Link
US (1) US20070196011A1 (en)
TW (1) TW200834778A (en)
WO (1) WO2008076733A1 (en)

Cited By (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070202614A1 (en) * 2006-02-10 2007-08-30 Chiang Tony P Method and apparatus for combinatorially varying materials, unit process and process sequence
US20080093024A1 (en) * 2004-09-06 2008-04-24 Toshiji Abe Plasma Treating Apparatus
US20090186427A1 (en) * 2008-01-17 2009-07-23 International Business Machines Corporation Characterizing films using optical filter pseudo substrate
US20090202708A1 (en) * 2006-06-14 2009-08-13 Kazuki Moyama Apparatus for Manufacturing Light Emitting Elements and Method of Manufacturing Light Emitting Elements
US20100261349A1 (en) * 2006-10-30 2010-10-14 Novellus Systems, Inc. Uv treatment for carbon-containing low-k dielectric repair in semiconductor processing
US20100271055A1 (en) * 2009-04-23 2010-10-28 Heather Christine Watson Assembly for Electrical Conductivity Measurements in the Piston Cylinder Device
US20100323532A1 (en) * 2006-03-08 2010-12-23 Paul Carey Method of thermal processing structures formed on a substrate
US20110111533A1 (en) * 2009-11-12 2011-05-12 Bhadri Varadarajan Uv and reducing treatment for k recovery and surface clean in semiconductor processing
US20110117678A1 (en) * 2006-10-30 2011-05-19 Varadarajan Bhadri N Carbon containing low-k dielectric constant recovery using uv treatment
US8043667B1 (en) 2004-04-16 2011-10-25 Novellus Systems, Inc. Method to improve mechanical strength of low-K dielectric film using modulated UV exposure
US8062983B1 (en) 2005-01-31 2011-11-22 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US8137465B1 (en) * 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US20120070581A1 (en) * 2004-06-28 2012-03-22 Cambridge Nano Tech Inc. Vapor deposition systems and methods
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US20120266819A1 (en) * 2011-04-25 2012-10-25 Applied Materials, Inc. Semiconductor substrate processing system
US20120283865A1 (en) * 2007-09-28 2012-11-08 Lam Research Corporation Methods of in-situ measurements of wafer bow
US8398816B1 (en) 2006-03-28 2013-03-19 Novellus Systems, Inc. Method and apparatuses for reducing porogen accumulation from a UV-cure chamber
US8426778B1 (en) 2007-12-10 2013-04-23 Novellus Systems, Inc. Tunable-illumination reflector optics for UV cure system
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
CN103162538A (en) * 2013-03-22 2013-06-19 浙江固驰电子有限公司 Rectifier bridge module sintering device
US20130320071A1 (en) * 2008-09-04 2013-12-05 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and Method of Substrate to Substrate Bonding for Three Dimensional (3D) IC Interconnects
US20140127887A1 (en) * 2012-11-06 2014-05-08 Intermolecular, Inc. Chemical Vapor Deposition System
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US20150101754A1 (en) * 2013-10-10 2015-04-16 Psk Inc. Apparatus for treating substrate and method for carrying substrate
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
US20160027707A1 (en) * 2014-07-28 2016-01-28 Samsung Electronics Co., Ltd. Method of manufacturing a semiconductor device using semiconductor measurement system
TWI574342B (en) * 2015-06-12 2017-03-11 漢民科技股份有限公司 Automatic processing method
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US20170148674A1 (en) * 2015-11-20 2017-05-25 Micron Technology, Inc. Through substrate via liner densification
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US20180163306A1 (en) * 2016-12-12 2018-06-14 Applied Materials, Inc. UHV In-Situ Cryo-Cool Chamber
CN108538747A (en) * 2017-03-03 2018-09-14 应用材料公司 The controllable delivery module of environment and processing system
US20180277389A1 (en) * 2017-03-23 2018-09-27 Tokyo Electron Limited Heating method, film forming method, semiconductor device manufacturing method, and film forming apparatus
US10128337B2 (en) * 2016-06-03 2018-11-13 Applied Materials, Inc. Methods for forming fin structures with desired profile for 3D structure semiconductor applications
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
WO2019156793A1 (en) * 2018-02-12 2019-08-15 Applied Materials, Inc. Substrate transfer mechanism to reduce back-side substrate contact
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
WO2019182952A1 (en) * 2018-03-20 2019-09-26 Tokyo Electron Limited Self-aware and correcting heterogenous platform incorporating integrated semiconductor processing modules and method for using same
WO2019182913A1 (en) * 2018-03-20 2019-09-26 Tokyo Electron Limited Self-aware and correcting heterogenous platform incorporating integrated semiconductor processing modules and method for using same
WO2019182916A1 (en) * 2018-03-20 2019-09-26 Tokyo Electron Limited Substrate processing tool with integrated metrology and method of using
US20200227294A1 (en) * 2019-01-16 2020-07-16 Applied Materials, Inc. Optical stack deposition and on-board metrology
WO2020154708A1 (en) * 2019-01-25 2020-07-30 Lam Research Corporation Integrated wafer bow measurements
US20210143039A1 (en) * 2019-11-12 2021-05-13 Applied Materials, Inc. Systems and methods for controlling non-uniformity
US11195923B2 (en) 2018-12-21 2021-12-07 Applied Materials, Inc. Method of fabricating a semiconductor device having reduced contact resistance
US20220199435A1 (en) * 2020-12-22 2022-06-23 Tokyo Electron Limited Substrate processing system and particle removal method
US20220399457A1 (en) * 2019-10-02 2022-12-15 Applied Materials, Inc. Gate All Around I/O Engineering
US20230359128A1 (en) * 2022-05-03 2023-11-09 Tokyo Electron Limited In-situ lithography pattern enhancement with localized stress treatment tuning using heat zones
EP4078665A4 (en) * 2019-12-17 2024-01-17 Applied Materials Inc Surface profiling and texturing of chamber components
US11939666B2 (en) 2020-06-01 2024-03-26 Applied Materials, Inc. Methods and apparatus for precleaning and treating wafer surfaces
KR102655137B1 (en) 2018-03-20 2024-04-04 도쿄엘렉트론가부시키가이샤 Metrology-integrated board processing tools and methods of using them

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101271248B1 (en) * 2011-08-02 2013-06-07 주식회사 유진테크 Equipment for manufacturing semiconductor
WO2014144162A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc Temperature control systems and methods for small batch substrate handling systems
US20180076026A1 (en) 2016-09-14 2018-03-15 Applied Materials, Inc. Steam oxidation initiation for high aspect ratio conformal radical oxidation
US10727057B2 (en) * 2018-03-20 2020-07-28 Tokyo Electron Limited Platform and method of operating for integrated end-to-end self-aligned multi-patterning process

Citations (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4311542A (en) * 1979-02-07 1982-01-19 Breveteam S.A. Method for manufacturing a strip-shaped composite body
US4405435A (en) * 1980-08-27 1983-09-20 Hitachi, Ltd. Apparatus for performing continuous treatment in vacuum
US4498416A (en) * 1981-01-27 1985-02-12 Instrument S.A. Installation for treatment of materials for the production of semi-conductors
US4592306A (en) * 1983-12-05 1986-06-03 Pilkington Brothers P.L.C. Apparatus for the deposition of multi-layer coatings
US4607593A (en) * 1983-12-23 1986-08-26 U.S. Philips Corporation Apparatus for processing articles in a controlled environment
US4657621A (en) * 1984-10-22 1987-04-14 Texas Instruments Incorporated Low particulate vacuum chamber input/output valve
US4664062A (en) * 1984-10-31 1987-05-12 Hitachi, Ltd. Apparatus for manufacturing semiconductors
US4680061A (en) * 1979-12-21 1987-07-14 Varian Associates, Inc. Method of thermal treatment of a wafer in an evacuated environment
US4681773A (en) * 1981-03-27 1987-07-21 American Telephone And Telegraph Company At&T Bell Laboratories Apparatus for simultaneous molecular beam deposition on a plurality of substrates
US4687542A (en) * 1985-10-24 1987-08-18 Texas Instruments Incorporated Vacuum processing system
US4709655A (en) * 1985-12-03 1987-12-01 Varian Associates, Inc. Chemical vapor deposition apparatus
US4715921A (en) * 1986-10-24 1987-12-29 General Signal Corporation Quad processor
US4717461A (en) * 1986-09-15 1988-01-05 Machine Technology, Inc. System and method for processing workpieces
US4733631A (en) * 1986-09-30 1988-03-29 Denton Vacuum, Inc. Apparatus for coating substrate devices
US4739787A (en) * 1986-11-10 1988-04-26 Stoltenberg Kevin J Method and apparatus for improving the yield of integrated circuit devices
US4797054A (en) * 1985-06-17 1989-01-10 Fujitsu Limited Apparatus for loading and unloading a vacuum processing chamber
US4911597A (en) * 1985-01-22 1990-03-27 Applied Materials, Inc. Semiconductor processing system with robotic autoloader and load lock
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5259881A (en) * 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
US5292393A (en) * 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US5447409A (en) * 1989-10-20 1995-09-05 Applied Materials, Inc. Robot assembly
US5469035A (en) * 1989-10-20 1995-11-21 Applied Materials, Inc. Two-axis magnetically coupled robot
US6081334A (en) * 1998-04-17 2000-06-27 Applied Materials, Inc Endpoint detection for semiconductor processes
US6244121B1 (en) * 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
US6270582B1 (en) * 1997-12-15 2001-08-07 Applied Materials, Inc Single wafer load lock chamber for pre-processing and post-processing wafers in a vacuum processing system
US20020029788A1 (en) * 2000-06-26 2002-03-14 Applied Materials, Inc. Method and apparatus for wafer cleaning
US6379095B1 (en) * 2000-04-14 2002-04-30 Applied Materials, Inc. Robot for handling semiconductor wafers
US20030045131A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US6539106B1 (en) * 1999-01-08 2003-03-25 Applied Materials, Inc. Feature-based defect detection
US6608689B1 (en) * 1998-08-31 2003-08-19 Therma-Wave, Inc. Combination thin-film stress and thickness measurement device
US20030167612A1 (en) * 1999-11-30 2003-09-11 Applied Materials, Inc. Dual wafer load lock
US6630995B1 (en) * 1999-09-07 2003-10-07 Applied Materials, Inc. Method and apparatus for embedded substrate and system status monitoring
US20030192577A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Method and apparatus for wafer cleaning
US20030192570A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Method and apparatus for wafer cleaning
US6654698B2 (en) * 2001-06-12 2003-11-25 Applied Materials, Inc. Systems and methods for calibrating integrated inspection tools
US20030232501A1 (en) * 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US6684523B2 (en) * 2001-08-27 2004-02-03 Applied Materials, Inc. Particle removal apparatus
US6693708B1 (en) * 1999-09-07 2004-02-17 Applied Materials, Inc. Method and apparatus for substrate surface inspection using spectral profiling techniques
US6707544B1 (en) * 1999-09-07 2004-03-16 Applied Materials, Inc. Particle detection and embedded vision system to enhance substrate yield and throughput
US6707545B1 (en) * 1999-09-07 2004-03-16 Applied Materials, Inc. Optical signal routing method and apparatus providing multiple inspection collection points on semiconductor manufacturing systems
US6721045B1 (en) * 1999-09-07 2004-04-13 Applied Materials, Inc. Method and apparatus to provide embedded substrate process monitoring through consolidation of multiple process inspection techniques
US6719516B2 (en) * 1998-09-28 2004-04-13 Applied Materials, Inc. Single wafer load lock with internal wafer transport
US6725564B2 (en) * 2001-08-27 2004-04-27 Applied Materials, Inc. Processing platform with integrated particle removal system
US6744266B2 (en) * 2000-10-02 2004-06-01 Applied Materials, Inc. Defect knowledge library
US20040127044A1 (en) * 2002-12-16 2004-07-01 Applied Materials, Inc. Single wafer cleaning method to reduce particle defects on a wafer surface
US6779226B2 (en) * 2001-08-27 2004-08-24 Applied Materials, Inc. Factory interface particle removal platform
US6803998B2 (en) * 2002-08-20 2004-10-12 Applied Materials, Inc. Ultra low cost position and status monitoring using fiber optic delay lines
US6805137B2 (en) * 2001-08-27 2004-10-19 Applied Materials, Inc. Method for removing contamination particles from substrates
US6813032B1 (en) * 1999-09-07 2004-11-02 Applied Materials, Inc. Method and apparatus for enhanced embedded substrate inspection through process data collection and substrate imaging techniques
US20040242021A1 (en) * 2003-05-28 2004-12-02 Applied Materials, Inc. Method and apparatus for plasma nitridation of gate dielectrics using amplitude modulated radio-frequency energy
US20050001173A1 (en) * 2003-01-16 2005-01-06 Harrison Dale A. Semiconductor processing techniques utilizing vacuum ultraviolet reflectometer
US20050005847A1 (en) * 2002-01-08 2005-01-13 Tsutomu Hiroki Semiconductor processing system and semiconductor carrying mechanism
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US6878636B2 (en) * 2001-08-27 2005-04-12 Applied Materials, Inc. Method for enhancing substrate processing
US20050079691A1 (en) * 2003-10-10 2005-04-14 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US6882416B1 (en) * 1999-09-07 2005-04-19 Applied Materials, Inc. Methods for continuous embedded process monitoring and optical inspection of substrates using specular signature analysis
US6899765B2 (en) * 2002-03-29 2005-05-31 Applied Materials Israel, Ltd. Chamber elements defining a movable internal chamber
US6952491B2 (en) * 1990-11-16 2005-10-04 Applied Materials, Inc. Optical inspection apparatus for substrate defect detection
US20050277272A1 (en) * 2004-06-10 2005-12-15 Applied Materials, Inc. Low temperature epitaxial growth of silicon-containing films using UV radiation
US20060021702A1 (en) * 2004-07-29 2006-02-02 Ajay Kumar Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor
US7006888B2 (en) * 2002-01-14 2006-02-28 Applied Materials, Inc. Semiconductor wafer preheating
US7012684B1 (en) * 1999-09-07 2006-03-14 Applied Materials, Inc. Method and apparatus to provide for automated process verification and hierarchical substrate examination
US7067818B2 (en) * 2003-01-16 2006-06-27 Metrosol, Inc. Vacuum ultraviolet reflectometer system and method
US20060156979A1 (en) * 2004-11-22 2006-07-20 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7082345B2 (en) * 2001-06-19 2006-07-25 Applied Materials, Inc. Method, system and medium for process control for the matching of tools, chambers and/or other semiconductor-related entities

Patent Citations (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4311542A (en) * 1979-02-07 1982-01-19 Breveteam S.A. Method for manufacturing a strip-shaped composite body
US4680061A (en) * 1979-12-21 1987-07-14 Varian Associates, Inc. Method of thermal treatment of a wafer in an evacuated environment
US4405435A (en) * 1980-08-27 1983-09-20 Hitachi, Ltd. Apparatus for performing continuous treatment in vacuum
US4498416A (en) * 1981-01-27 1985-02-12 Instrument S.A. Installation for treatment of materials for the production of semi-conductors
US4681773A (en) * 1981-03-27 1987-07-21 American Telephone And Telegraph Company At&T Bell Laboratories Apparatus for simultaneous molecular beam deposition on a plurality of substrates
US4592306A (en) * 1983-12-05 1986-06-03 Pilkington Brothers P.L.C. Apparatus for the deposition of multi-layer coatings
US4607593A (en) * 1983-12-23 1986-08-26 U.S. Philips Corporation Apparatus for processing articles in a controlled environment
US4657621A (en) * 1984-10-22 1987-04-14 Texas Instruments Incorporated Low particulate vacuum chamber input/output valve
US4664062A (en) * 1984-10-31 1987-05-12 Hitachi, Ltd. Apparatus for manufacturing semiconductors
US4911597A (en) * 1985-01-22 1990-03-27 Applied Materials, Inc. Semiconductor processing system with robotic autoloader and load lock
US4797054A (en) * 1985-06-17 1989-01-10 Fujitsu Limited Apparatus for loading and unloading a vacuum processing chamber
US4687542A (en) * 1985-10-24 1987-08-18 Texas Instruments Incorporated Vacuum processing system
US4709655A (en) * 1985-12-03 1987-12-01 Varian Associates, Inc. Chemical vapor deposition apparatus
US4717461A (en) * 1986-09-15 1988-01-05 Machine Technology, Inc. System and method for processing workpieces
US4733631A (en) * 1986-09-30 1988-03-29 Denton Vacuum, Inc. Apparatus for coating substrate devices
US4733631B1 (en) * 1986-09-30 1993-03-09 Apparatus for coating substrate devices
US4715921A (en) * 1986-10-24 1987-12-29 General Signal Corporation Quad processor
US4739787A (en) * 1986-11-10 1988-04-26 Stoltenberg Kevin J Method and apparatus for improving the yield of integrated circuit devices
US5292393A (en) * 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5469035A (en) * 1989-10-20 1995-11-21 Applied Materials, Inc. Two-axis magnetically coupled robot
US5447409A (en) * 1989-10-20 1995-09-05 Applied Materials, Inc. Robot assembly
US6952491B2 (en) * 1990-11-16 2005-10-04 Applied Materials, Inc. Optical inspection apparatus for substrate defect detection
US5259881A (en) * 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
US6270582B1 (en) * 1997-12-15 2001-08-07 Applied Materials, Inc Single wafer load lock chamber for pre-processing and post-processing wafers in a vacuum processing system
US6244121B1 (en) * 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
US6895831B2 (en) * 1998-03-06 2005-05-24 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
US6468816B2 (en) * 1998-03-06 2002-10-22 Applied Materials, Inc. Method for sensing conditions within a substrate processing system
US6677166B2 (en) * 1998-03-06 2004-01-13 Applied Materials, Inc. Method for confirming alignment of a substrate support mechanism in a semiconductor processing system
US6642853B2 (en) * 1998-03-06 2003-11-04 Applied Materials, Inc. Movable wireless sensor device for performing diagnostics with a substrate processing system
US6081334A (en) * 1998-04-17 2000-06-27 Applied Materials, Inc Endpoint detection for semiconductor processes
US6608689B1 (en) * 1998-08-31 2003-08-19 Therma-Wave, Inc. Combination thin-film stress and thickness measurement device
US6719516B2 (en) * 1998-09-28 2004-04-13 Applied Materials, Inc. Single wafer load lock with internal wafer transport
US6539106B1 (en) * 1999-01-08 2003-03-25 Applied Materials, Inc. Feature-based defect detection
US6707544B1 (en) * 1999-09-07 2004-03-16 Applied Materials, Inc. Particle detection and embedded vision system to enhance substrate yield and throughput
US6707545B1 (en) * 1999-09-07 2004-03-16 Applied Materials, Inc. Optical signal routing method and apparatus providing multiple inspection collection points on semiconductor manufacturing systems
US6813032B1 (en) * 1999-09-07 2004-11-02 Applied Materials, Inc. Method and apparatus for enhanced embedded substrate inspection through process data collection and substrate imaging techniques
US6721045B1 (en) * 1999-09-07 2004-04-13 Applied Materials, Inc. Method and apparatus to provide embedded substrate process monitoring through consolidation of multiple process inspection techniques
US6693708B1 (en) * 1999-09-07 2004-02-17 Applied Materials, Inc. Method and apparatus for substrate surface inspection using spectral profiling techniques
US7012684B1 (en) * 1999-09-07 2006-03-14 Applied Materials, Inc. Method and apparatus to provide for automated process verification and hierarchical substrate examination
US6882416B1 (en) * 1999-09-07 2005-04-19 Applied Materials, Inc. Methods for continuous embedded process monitoring and optical inspection of substrates using specular signature analysis
US6630995B1 (en) * 1999-09-07 2003-10-07 Applied Materials, Inc. Method and apparatus for embedded substrate and system status monitoring
US6841200B2 (en) * 1999-11-30 2005-01-11 Applied Materials, Inc. Dual wafer load lock
US20030167612A1 (en) * 1999-11-30 2003-09-11 Applied Materials, Inc. Dual wafer load lock
US6379095B1 (en) * 2000-04-14 2002-04-30 Applied Materials, Inc. Robot for handling semiconductor wafers
US20020029788A1 (en) * 2000-06-26 2002-03-14 Applied Materials, Inc. Method and apparatus for wafer cleaning
US6744266B2 (en) * 2000-10-02 2004-06-01 Applied Materials, Inc. Defect knowledge library
US6654698B2 (en) * 2001-06-12 2003-11-25 Applied Materials, Inc. Systems and methods for calibrating integrated inspection tools
US7082345B2 (en) * 2001-06-19 2006-07-25 Applied Materials, Inc. Method, system and medium for process control for the matching of tools, chambers and/or other semiconductor-related entities
US6684523B2 (en) * 2001-08-27 2004-02-03 Applied Materials, Inc. Particle removal apparatus
US6779226B2 (en) * 2001-08-27 2004-08-24 Applied Materials, Inc. Factory interface particle removal platform
US6805137B2 (en) * 2001-08-27 2004-10-19 Applied Materials, Inc. Method for removing contamination particles from substrates
US6725564B2 (en) * 2001-08-27 2004-04-27 Applied Materials, Inc. Processing platform with integrated particle removal system
US6878636B2 (en) * 2001-08-27 2005-04-12 Applied Materials, Inc. Method for enhancing substrate processing
US20030045131A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US20050005847A1 (en) * 2002-01-08 2005-01-13 Tsutomu Hiroki Semiconductor processing system and semiconductor carrying mechanism
US7006888B2 (en) * 2002-01-14 2006-02-28 Applied Materials, Inc. Semiconductor wafer preheating
US6899765B2 (en) * 2002-03-29 2005-05-31 Applied Materials Israel, Ltd. Chamber elements defining a movable internal chamber
US20030192577A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Method and apparatus for wafer cleaning
US20030192570A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Method and apparatus for wafer cleaning
US20030232501A1 (en) * 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US6803998B2 (en) * 2002-08-20 2004-10-12 Applied Materials, Inc. Ultra low cost position and status monitoring using fiber optic delay lines
US20040127044A1 (en) * 2002-12-16 2004-07-01 Applied Materials, Inc. Single wafer cleaning method to reduce particle defects on a wafer surface
US20050001173A1 (en) * 2003-01-16 2005-01-06 Harrison Dale A. Semiconductor processing techniques utilizing vacuum ultraviolet reflectometer
US7067818B2 (en) * 2003-01-16 2006-06-27 Metrosol, Inc. Vacuum ultraviolet reflectometer system and method
US7026626B2 (en) * 2003-01-16 2006-04-11 Metrosol, Inc. Semiconductor processing techniques utilizing vacuum ultraviolet reflectometer
US20040242021A1 (en) * 2003-05-28 2004-12-02 Applied Materials, Inc. Method and apparatus for plasma nitridation of gate dielectrics using amplitude modulated radio-frequency energy
US20050079691A1 (en) * 2003-10-10 2005-04-14 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US20050277272A1 (en) * 2004-06-10 2005-12-15 Applied Materials, Inc. Low temperature epitaxial growth of silicon-containing films using UV radiation
US20060021702A1 (en) * 2004-07-29 2006-02-02 Ajay Kumar Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor
US20060156979A1 (en) * 2004-11-22 2006-07-20 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber

Cited By (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8043667B1 (en) 2004-04-16 2011-10-25 Novellus Systems, Inc. Method to improve mechanical strength of low-K dielectric film using modulated UV exposure
US8715788B1 (en) 2004-04-16 2014-05-06 Novellus Systems, Inc. Method to improve mechanical strength of low-K dielectric film using modulated UV exposure
US9556519B2 (en) * 2004-06-28 2017-01-31 Ultratech Inc. Vapor deposition systems and methods
US20120070581A1 (en) * 2004-06-28 2012-03-22 Cambridge Nano Tech Inc. Vapor deposition systems and methods
US20080093024A1 (en) * 2004-09-06 2008-04-24 Toshiji Abe Plasma Treating Apparatus
US8267041B2 (en) * 2004-09-06 2012-09-18 Tokyo Electron Limited Plasma treating apparatus
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US8062983B1 (en) 2005-01-31 2011-11-22 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US8951348B1 (en) 2005-04-26 2015-02-10 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US10121682B2 (en) 2005-04-26 2018-11-06 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US9873946B2 (en) 2005-04-26 2018-01-23 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8137465B1 (en) * 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8518210B2 (en) 2005-04-26 2013-08-27 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8734663B2 (en) 2005-04-26 2014-05-27 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US9384959B2 (en) 2005-04-26 2016-07-05 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8629068B1 (en) * 2005-04-26 2014-01-14 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US9073100B2 (en) 2005-12-05 2015-07-07 Novellus Systems, Inc. Method and apparatuses for reducing porogen accumulation from a UV-cure chamber
US11177131B2 (en) 2005-12-05 2021-11-16 Novellus Systems, Inc. Method and apparatuses for reducing porogen accumulation from a UV-cure chamber
US10020197B2 (en) 2005-12-05 2018-07-10 Novellus Systems, Inc. Method for reducing porogen accumulation from a UV-cure chamber
US20070202614A1 (en) * 2006-02-10 2007-08-30 Chiang Tony P Method and apparatus for combinatorially varying materials, unit process and process sequence
US20100323532A1 (en) * 2006-03-08 2010-12-23 Paul Carey Method of thermal processing structures formed on a substrate
US8518838B2 (en) * 2006-03-08 2013-08-27 Applied Materials, Inc. Method of thermal processing structures formed on a substrate
US20120145684A1 (en) * 2006-03-08 2012-06-14 Applied Materials, Inc. Method of thermal processing structures formed on a substrate
US10141191B2 (en) * 2006-03-08 2018-11-27 Applied Materials, Inc. Method of thermal processing structures formed on a substrate
US8398816B1 (en) 2006-03-28 2013-03-19 Novellus Systems, Inc. Method and apparatuses for reducing porogen accumulation from a UV-cure chamber
US20090202708A1 (en) * 2006-06-14 2009-08-13 Kazuki Moyama Apparatus for Manufacturing Light Emitting Elements and Method of Manufacturing Light Emitting Elements
US20110117678A1 (en) * 2006-10-30 2011-05-19 Varadarajan Bhadri N Carbon containing low-k dielectric constant recovery using uv treatment
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US7851232B2 (en) 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US20100261349A1 (en) * 2006-10-30 2010-10-14 Novellus Systems, Inc. Uv treatment for carbon-containing low-k dielectric repair in semiconductor processing
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US8512818B1 (en) 2007-08-31 2013-08-20 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US9123582B2 (en) * 2007-09-28 2015-09-01 Lam Research Corporation Methods of in-situ measurements of wafer bow
US20120283865A1 (en) * 2007-09-28 2012-11-08 Lam Research Corporation Methods of in-situ measurements of wafer bow
US8426778B1 (en) 2007-12-10 2013-04-23 Novellus Systems, Inc. Tunable-illumination reflector optics for UV cure system
US20090186427A1 (en) * 2008-01-17 2009-07-23 International Business Machines Corporation Characterizing films using optical filter pseudo substrate
US8080849B2 (en) * 2008-01-17 2011-12-20 International Business Machines Corporation Characterizing films using optical filter pseudo substrate
US9418961B2 (en) * 2008-09-04 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method of substrate to substrate bonding for three dimensional (3D) IC interconnects
US20130320071A1 (en) * 2008-09-04 2013-12-05 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and Method of Substrate to Substrate Bonding for Three Dimensional (3D) IC Interconnects
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
US8193823B2 (en) * 2009-04-23 2012-06-05 Lawrence Livermore National Security, Llc Assembly for electrical conductivity measurements in the piston cylinder device
US20100271055A1 (en) * 2009-04-23 2010-10-28 Heather Christine Watson Assembly for Electrical Conductivity Measurements in the Piston Cylinder Device
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US20110111533A1 (en) * 2009-11-12 2011-05-12 Bhadri Varadarajan Uv and reducing treatment for k recovery and surface clean in semiconductor processing
US20120266819A1 (en) * 2011-04-25 2012-10-25 Applied Materials, Inc. Semiconductor substrate processing system
US9512520B2 (en) * 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
US20140127887A1 (en) * 2012-11-06 2014-05-08 Intermolecular, Inc. Chemical Vapor Deposition System
CN103162538A (en) * 2013-03-22 2013-06-19 浙江固驰电子有限公司 Rectifier bridge module sintering device
US20150101754A1 (en) * 2013-10-10 2015-04-16 Psk Inc. Apparatus for treating substrate and method for carrying substrate
US9583402B2 (en) * 2014-07-28 2017-02-28 Samsung Electronics Co., Ltd. Method of manufacturing a semiconductor device using semiconductor measurement system
US20160027707A1 (en) * 2014-07-28 2016-01-28 Samsung Electronics Co., Ltd. Method of manufacturing a semiconductor device using semiconductor measurement system
TWI574342B (en) * 2015-06-12 2017-03-11 漢民科技股份有限公司 Automatic processing method
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US11270896B2 (en) 2015-11-16 2022-03-08 Lam Research Corporation Apparatus for UV flowable dielectric
US20170148674A1 (en) * 2015-11-20 2017-05-25 Micron Technology, Inc. Through substrate via liner densification
US10163655B2 (en) * 2015-11-20 2018-12-25 Micron Technology, Inc. Through substrate via liner densification
US10128337B2 (en) * 2016-06-03 2018-11-13 Applied Materials, Inc. Methods for forming fin structures with desired profile for 3D structure semiconductor applications
US11075127B2 (en) 2016-08-09 2021-07-27 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US20180163306A1 (en) * 2016-12-12 2018-06-14 Applied Materials, Inc. UHV In-Situ Cryo-Cool Chamber
US11802340B2 (en) * 2016-12-12 2023-10-31 Applied Materials, Inc. UHV in-situ cryo-cool chamber
CN108538747A (en) * 2017-03-03 2018-09-14 应用材料公司 The controllable delivery module of environment and processing system
US10361104B2 (en) * 2017-03-03 2019-07-23 Applied Materials, Inc. Ambient controlled transfer module and process system
US10818525B2 (en) * 2017-03-03 2020-10-27 Applied Materials, Inc. Ambient controlled transfer module and process system
US10475665B2 (en) * 2017-03-23 2019-11-12 Tokyo Electron Limited Heating method, film forming method, semiconductor device manufacturing method, and film forming apparatus
US20180277389A1 (en) * 2017-03-23 2018-09-27 Tokyo Electron Limited Heating method, film forming method, semiconductor device manufacturing method, and film forming apparatus
US11784076B2 (en) 2018-02-12 2023-10-10 Applied Materials, Inc. Substrate transfer mechanism to reduce back-side substrate contact
WO2019156793A1 (en) * 2018-02-12 2019-08-15 Applied Materials, Inc. Substrate transfer mechanism to reduce back-side substrate contact
US11424149B2 (en) 2018-02-12 2022-08-23 Applied Materials, Inc. Substrate transfer mechanism to reduce back-side substrate contact
US10755955B2 (en) 2018-02-12 2020-08-25 Applied Materials, Inc. Substrate transfer mechanism to reduce back-side substrate contact
CN111670490A (en) * 2018-02-12 2020-09-15 应用材料公司 Substrate transfer mechanism for reducing backside substrate contact
CN112106182A (en) * 2018-03-20 2020-12-18 东京毅力科创株式会社 Self-sensing corrective heterogeneous platform incorporating integrated semiconductor processing modules and methods of use thereof
WO2019182916A1 (en) * 2018-03-20 2019-09-26 Tokyo Electron Limited Substrate processing tool with integrated metrology and method of using
WO2019182952A1 (en) * 2018-03-20 2019-09-26 Tokyo Electron Limited Self-aware and correcting heterogenous platform incorporating integrated semiconductor processing modules and method for using same
US10916472B2 (en) 2018-03-20 2021-02-09 Tokyo Electron Limited Self-aware and correcting heterogenous platform incorporating integrated semiconductor processing modules and method for using same
KR102655137B1 (en) 2018-03-20 2024-04-04 도쿄엘렉트론가부시키가이샤 Metrology-integrated board processing tools and methods of using them
US11769677B2 (en) 2018-03-20 2023-09-26 Tokyo Electron Limited Substrate processing tool with integrated metrology and method of using
CN112074940A (en) * 2018-03-20 2020-12-11 东京毅力科创株式会社 Self-sensing corrective heterogeneous platform incorporating integrated semiconductor processing modules and methods of use thereof
US11101173B2 (en) 2018-03-20 2021-08-24 Tokyo Electron Limited Self-aware and correcting heterogenous platform incorporating integrated semiconductor processing modules and method for using same
WO2019182913A1 (en) * 2018-03-20 2019-09-26 Tokyo Electron Limited Self-aware and correcting heterogenous platform incorporating integrated semiconductor processing modules and method for using same
CN112074939A (en) * 2018-03-20 2020-12-11 东京毅力科创株式会社 Substrate processing tool with integrated metrology and method of use thereof
US11264254B2 (en) * 2018-03-20 2022-03-01 Tokyo Electron Limited Substrate processing tool with integrated metrology and method of using
TWI828666B (en) * 2018-03-20 2024-01-11 日商東京威力科創股份有限公司 Self-aware and correcting heterogenous platform incorporating integrated semiconductor processing modules and method for using same
TWI828667B (en) * 2018-03-20 2024-01-11 日商東京威力科創股份有限公司 Self-aware and correcting heterogenous platform incorporating integrated semiconductor processing modules and method for using same
US11195923B2 (en) 2018-12-21 2021-12-07 Applied Materials, Inc. Method of fabricating a semiconductor device having reduced contact resistance
US20200227294A1 (en) * 2019-01-16 2020-07-16 Applied Materials, Inc. Optical stack deposition and on-board metrology
EP3912186A4 (en) * 2019-01-16 2022-09-21 Applied Materials, Inc. Optical stack deposition and on-board metrology
US10886155B2 (en) * 2019-01-16 2021-01-05 Applied Materials, Inc. Optical stack deposition and on-board metrology
WO2020154708A1 (en) * 2019-01-25 2020-07-30 Lam Research Corporation Integrated wafer bow measurements
US11885750B2 (en) 2019-01-25 2024-01-30 Lam Research Corporation Integrated wafer bow measurements
US20220399457A1 (en) * 2019-10-02 2022-12-15 Applied Materials, Inc. Gate All Around I/O Engineering
US11923441B2 (en) * 2019-10-02 2024-03-05 Applied Materials, Inc. Gate all around I/O engineering
TWI777292B (en) * 2019-11-12 2022-09-11 美商應用材料股份有限公司 Systems and methods for controlling non-uniformity
WO2021096865A3 (en) * 2019-11-12 2021-07-08 Applied Materials, Inc. Systems and methods for controlling non-uniformity
US20210143039A1 (en) * 2019-11-12 2021-05-13 Applied Materials, Inc. Systems and methods for controlling non-uniformity
EP4078665A4 (en) * 2019-12-17 2024-01-17 Applied Materials Inc Surface profiling and texturing of chamber components
US11939666B2 (en) 2020-06-01 2024-03-26 Applied Materials, Inc. Methods and apparatus for precleaning and treating wafer surfaces
US20220199435A1 (en) * 2020-12-22 2022-06-23 Tokyo Electron Limited Substrate processing system and particle removal method
US20230359128A1 (en) * 2022-05-03 2023-11-09 Tokyo Electron Limited In-situ lithography pattern enhancement with localized stress treatment tuning using heat zones

Also Published As

Publication number Publication date
TW200834778A (en) 2008-08-16
WO2008076733A1 (en) 2008-06-26

Similar Documents

Publication Publication Date Title
US20070196011A1 (en) Integrated vacuum metrology for cluster tool
US20070134821A1 (en) Cluster tool for advanced front-end processing
WO2008005773A2 (en) Cluster tool for advanced front-end processing
US7159599B2 (en) Method and apparatus for processing a wafer
US7585686B2 (en) Method and apparatus for processing a wafer
US6467491B1 (en) Processing apparatus and processing method
US8927435B2 (en) Load lock having secondary isolation chamber
JP4191137B2 (en) Cleaning method for substrate processing apparatus
JP4131239B2 (en) Rapid atmosphere switching system and method for rapid heat treatment
KR100297284B1 (en) Treatment Units and Dry Cleaning Methods
KR101010419B1 (en) Integrated method for removal of halogen residues from etched substrates by thermal process
US20050221020A1 (en) Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US20080045030A1 (en) Substrate processing method, substrate processing system and storage medium
US20120220116A1 (en) Dry Chemical Cleaning For Semiconductor Processing
US11018033B2 (en) Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium
CN101484973A (en) Cluster tool for advanced front-end processing
JP2005039185A (en) Work processing apparatus, work processing method therefor, pressure control method, work carrying method, and carrying apparatus
US20230377958A1 (en) Cluster processing system for forming a metal containing material
JP2007056336A (en) Substrate treatment device, method and program for conveying substrate of substrate treatment device, and recording medium recording the program
US11232946B2 (en) Method of optimizing film deposition process in semiconductor fabrication by using gas sensor
JP2007088401A (en) Substrate processing device, substrate processing method, program, and record medium recorded therewith
US11548804B2 (en) Method and apparatus for processing oxygen-containing workpiece

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:COX, DAMON K.;EGAN, TODD;THAKUR, RANDHIR;AND OTHERS;REEL/FRAME:019189/0703;SIGNING DATES FROM 20070202 TO 20070330

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION