US20070193573A1 - Vacuum system of semiconductor device manufacturing equipment - Google Patents

Vacuum system of semiconductor device manufacturing equipment Download PDF

Info

Publication number
US20070193573A1
US20070193573A1 US11/542,108 US54210806A US2007193573A1 US 20070193573 A1 US20070193573 A1 US 20070193573A1 US 54210806 A US54210806 A US 54210806A US 2007193573 A1 US2007193573 A1 US 2007193573A1
Authority
US
United States
Prior art keywords
vent line
closing member
run
substrate processing
processing equipment
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/542,108
Inventor
Chul-Hwan Choi
Kyung-tae Kim
Jun-Woo Choi
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Assigned to SAMSUNG ELECTRONICS CO., LTD. reassignment SAMSUNG ELECTRONICS CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHOI, JUN-WOO, KIM, KYUNG-TAE, CHOI, CHUL-HWAN, KIM, KYUNG-TAE
Publication of US20070193573A1 publication Critical patent/US20070193573A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K1/00Lift valves or globe valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces
    • F16K1/16Lift valves or globe valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces with pivoted closure-members
    • F16K1/18Lift valves or globe valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces with pivoted closure-members with pivoted discs or flaps
    • F16K1/22Lift valves or globe valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces with pivoted closure-members with pivoted discs or flaps with axis of rotation crossing the valve member, e.g. butterfly valves
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

Semiconductor device manufacturing equipment has a vacuum system that enhances the fluency of the gas being discharged from a chamber of the equipment. The vacuum system has a vent line, and a throttle valve that includes a vent line opening and closing member oriented so that it is readily opened by a concentrated flow of gas in the vent line. The vacuum system may include a heating unit that heats the vent line through which the gas passes. Still further, a bend in the vent line upstream of the vent line opening and closing member may have a gently curved shape and/or may subtend an angle of less than 90°. As a result, the efficiency of the ventilation of the process chamber is improved, making it easier to control the pressure of the process chamber and minimizing the contamination of the throttle valve and the vent line.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates to semiconductor device manufacturing equipment or the like. More particularly, the present invention relates to a vacuum system of semiconductor device manufacturing equipment which includes a vent line and a throttle valve unit to control the opening and closing of the vent line.
  • 2. Description of the Related Art
  • In general, a semiconductor device is manufactured by repeatedly and selectively performing numerous different unit processes on a semiconductor substrate such as a wafer. These processes include an ion implantation process of implanting group 3B (for example, B) or group 5B (for example, P or As) impurity ions into the semiconductor substrate, a thin film deposition process of forming a thin layer of material on the semiconductor substrate, an etching process of patterning the thin layer of material, a process of forming an interlayer insulating layer on the patterned thin layer of material, a subsequent chemical mechanical polishing (CMP) process of polishing the surface of the semiconductor substrate removing to remove steps produced as the result of the forming of the interlayer over the patterned thin layer, and a cleaning process. The semiconductor device manufacturing equipment used to carry out these processes typically includes a process chamber in which a vacuum ambient is produced. Also, the process chamber is hermetically sealed. Therefore, hardly any potential contaminants, such as particles, are present within the chamber. However, a great deal of time is spent evacuating the inside of the process chamber to reduce the pressure within the chamber from atmospheric pressure to a vacuum pressure.
  • Conventional semiconductor device manufacturing equipment further includes a load-lock chamber, a transfer chamber, and a robot disposed in the transfer chamber. A wafer cassette that supports a plurality of wafers is supported within the load-lock chamber. The load-lock chamber is maintained at a vacuum pressure similar to the vacuum pressure inside the process chamber. The robot removes wafers from the cassette in the load-lock chamber and transfers the wafers to the process chamber. For purposes of maximizing the efficiency of the manufacturing process, such semiconductor device manufacturing equipment has a plurality of load-lock chambers and a plurality of process chambers arranged in a circle around the transfer chamber. Semiconductor device manufacturing equipment having this type of arrangement is referred to as cluster type of semiconductor device manufacturing equipment.
  • Moreover, the rapid development of information and communications technology and the growing popularity of information processing devices such as computers have brought about a demand for semiconductor devices that operate at a high speed and have high capacity memories. Also, the memory cells of semiconductor devices are becoming smaller with an aim towards increasing the degree of integration of the semiconductor devices. However, the processes of manufacturing a semiconductor device must be carried out with the highest degree of accuracy to secure the very small process margin needed to fabricate today's highly integrated semiconductor devices.
  • To this end, plasma provides the precision necessary to perform the thin film deposition, and etching processes, etc. used in the fabricating of a semiconductor device having a small design rule. However, the use of plasma in multi-chambered semiconductor device manufacturing equipment requires that a consistent pressure be maintained in the process chamber of the process apparatus, in the load-lock chamber, and in the transfer chamber.
  • Also, in semiconductor device manufacture equipment, including that of the cluster type, particles in the atmosphere or particles of polymer produced during some of the processes have the potential to adversely affect the reliability of the resulting semiconductor devices and hence, to adversely affect the yield of the overall manufacturing process. Thus, the inside of the process chamber is evacuated for maintaining a vacuum pressure in the process chamber and for maintaining a certain degree of cleanliness within the chamber.
  • A system generally used for evacuating the process chamber includes a pumping system such as a turbo pump and a dry pump, and a vent line through which gas within the process chamber is discharged by the turbo pump. The vacuum apparatus also includes a throttle valve in the vent line to control the pressure inside the process chamber. When process gas is introduced into the process chamber, the pressure inside the process chamber rises. At this time, the vacuum system is continuously operated while a substrate is being processed suing the process gas, to maintain the pressure in the process chamber. At the same time, non-reacted gas inside the process chamber and byproducts of the reaction produced during the process are also discharged from the process chamber by the vacuum system. The vacuum system is operated in various modes. For example, the turbo pump is operated to create a high degree of vacuum of about 1×10−6 Torr in the process chamber. The blade of a turbo pump usually rotates at a speed of 27,000 rpm or more when to create such a high degree of vacuum in a typical plasma processing chamber.
  • Subsequently, a purge gas such as nitrogen (N2) or argon (Ar) is supplied to induce a plasma reaction. At this time, a low vacuum pressure of about 1×10−3 Torr to about 1×10−1 Torr is maintained in the process chamber. In this case, the throttle valve controls the extent to which the vent line is open so that the pressure in the process chamber is maintained at the desired level.
  • FIG. 1 illustrates a conventional vacuum apparatus having a vent line in which such a throttle valve is installed.
  • As illustrated in FIG. 1, the throttle valve 16 is positioned in the vent line 14 between a process chamber 10 and a turbo pump 12. The throttle valve 16 includes a vent line opening and closing member 18 in the form of a round plate having semi-circular halves 18 a, 18 b which tilt up and down, respectively, around a central horizontal axis 20. The extent to which the vent line 14 is opened is controlled by the tilting of the vent line opening and closing member 18. More specifically, the vent line 14 is completely shut when the vent line opening and closing member 18 is positioned horizontally. On the other hand, when the vent line opening and closing member 18 is tilted at a predetermined angle relative to the horizontal, the vent line 14 is opened by an amount corresponding to the angle. Air 22 pumped from the process chamber 10 by flows towards the turbo pump 12 at a rate corresponding to the amount by which the vent line 14 is opened by the vent line opening and closing member 18. Note, throughout the specification the term “air” or “gas” will be used interchangeably to refer to the atmosphere within the process chamber regardless of the actual content of the atmosphere.
  • During operation, air is pumped from the process chamber 10 by the turbo pump 12 when the pressure in the process chamber 10 is higher than that required for carrying out a process in the process chamber. The air 22 pumped from the process chamber 10 is discharged through the vent line 14. The rate at which the air 22 flows through the vent line 14 is controlled by the vent line opening and closing member 18 so that the pressure in the process chamber 10 is maintained at the level required for the process carried out in the process chamber 10.
  • However, the conventional vacuum apparatus does not discharge air smoothly from the process chamber 10.
  • Firstly, the axis of rotation 20 of the vent line opening and closing member 20 extends parallel to the horizontal run of the vent line 14. Thus, each half 18 a, 18 b of the vent line opening and closing member spans the vertical run of the vent line 14 from the side of the vertical run of the vent line that extends from the inside corner of the bend A to the side of the vertical run of the vent line that extends from the outside corner of the bend A. Therefore, the same amounts of air reach the halves 18 a, 18 b of the vent line opening and closing member 18. Thus, the same or similar fluid pressure is exerted on the halves 18 a, 18 b of the vent line opening and closing member. As a result, the vent line opening and closing member does not tilt quickly about its axis of rotation 20. Thus, the air is not smoothly discharged past the vent line opening and closing member 18.
  • Furthermore, the air pumped from the process chamber 10 contains powder of, for example, a polymeric material. The powder accumulates on the surface of the vent line opening and closing member 18 of the throttle valve 16. Eventually, the accumulation of powder prevents the vent line opening and closing member 18 from operating smoothly. Specifically, the powder creates friction with the device that rotates the vent line opening and closing member 18 about the central axis 20. As a result, the vent line 14 is not opened to the degree necessary to produce the proper pressure in the process chamber 10. Furthermore, the surface of the vent line opening and closing member 18, to which the powder adheres, becomes rough. Air passing over the rough surface of the vent line opening and closing member 18 becomes turbulent. As a result, air does not flow smoothly from the process chamber 10 and consequently, it becomes difficult to accurately control the pressure within the process chamber 10.
  • Secondly, the temperature in the process chamber 10 is typically high during processing. Thus, gas molecules have a high degree of mobility inside the process chamber 10. On the other hand, the temperature of the vent line 14 connected to the process chamber 10 is relatively low. Thus, the mobility of the gas molecules decreases rapidly, i.e., the gas flow slows, as the gas molecules pass from the process chamber 10 into the vent line 14. As a result, the gas flow becomes turbulent, and this makes it difficult to control the pressure in the process chamber.
  • Thirdly, the throttle valve 16 is located below (downstream of) a bend A of the vent line 14 which connects a horizontal run of the vent line with a vertical run of the vent line. The bend A is formed at a straight right angle. Air 22 pumped from the process chamber 10 flows through a horizontal run of the vent line at a predetermined speed, and then bumps against the vent line 14 at the bend A. Accordingly, the flow of air 22 is temporarily interrupted. Subsequently, the air 22 flows through the vertical run of the vent line 14 in which the vent line opening and closing member 18 is installed. That is, the speed and direction of the air flow is suddenly changed by the straight right-angled bend A in the vent line 14, thereby causing a so-called hunting phenomenon inside the vent line 14. As a result, the flow of air 22 through the vent line 14 and hence, from the process chamber 10, becomes irregular. In this case, the vent line opening and closing member 18 of the throttle valve 16 operates unstably. As a result, it is difficult to precisely control the pressure in the process chamber. Furthermore, much more powder is likely to adhere to the vent line opening and closing member.
  • As described above, the pressure in the process chamber can not be regulated precisely when air is flowing irregularly through the vent line in the conventional semiconductor device manufacturing equipment. Consequently, the deposition rate, etching rate, or cleaning rate is inconsistent. Such inconsistency in the processing of the wafers results in semiconductor devices whose reliability is poor. That is, the productivity of the manufacturing process is negatively affected by the inability of the manufacturing equipment to discharge air smoothly thorough the vent line. Furthermore, particles precipitate out inside the vent line when process gas is not smoothly discharged from the process chamber through the vent line. Therefore, the vent line must be cleaned as part of the PM (preventative maintenance) to remove these particles, thereby adding to the amount of downtime of the equipment. Furthermore, the particles may backflow from the vent line into the process chamber. This can cause defects in the semiconductor devices and leads to increased maintenance and repair costs.
  • SUMMARY OF THE INVENTION
  • Therefore, an object of the present invention is to provide a vacuum system of semiconductor device manufacturing equipment or the like which facilitates a fluent flow of gas through a vent line of the system.
  • Another object of the present invention is to provide a vacuum system of semiconductor device manufacturing equipment or the like, by which the extent to which a vent line of the system is open can be precisely regulated.
  • Another object of the present invention is to provide a vacuum system of semiconductor device manufacturing equipment or the like, by which the pressure in a chamber of the equipment can be precisely controlled.
  • Another object of the present invention is to provide a vacuum system of semiconductor device manufacturing equipment or the like, that prevents particles from precipitating out in a vent line of the system.
  • Another object of the present invention is to provide a vacuum system of semiconductor device manufacturing equipment or the like, which does not reduce the PM cycle of the equipment.
  • According to one aspect of the present invention, there is provided substrate processing equipment having a vacuum chamber, and a vacuum system that discharges gas from the vacuum chamber, wherein the vacuum system includes a vacuum pump, a vent line having a bend, and a throttle valve unit including a vent line opening and closing member disposed in the vent line downstream of the bend and oriented so that it is readily opened by a concentrated flow of gas in the vent line.
  • Basically, the bend in the vent line makes it such that gas discharged from the vacuum chamber by the vacuum pump flows at a greater rate through one portion of a cross-sectional area of the vent line, as taken at a given location downstream of the bend, than through the remaining portion of the cross-sectional area. The vent line opening and closing member is in the form of a plate having a shape corresponding to that of the cross-sectional area of the vent line. The vent line opening and closing member is mounted so as to be rotatable about an axis of rotation that extends across the vent line. Accordingly, the vent line opening and closing member has first and second sections disposed on opposite sides of the axis of rotation, respectively. The second section of the vent line opening and closing member occupies a portion of the cross-sectional area of the vent line through which the gas will flow at a relatively high flow rate, i.e., where the gas is concentrated. On the other hand, the first section of the vent line opening and closing member occupies the remaining portion of the cross-sectional area of the vent line through which relatively little of the gas flows.
  • According to another aspect of the present invention, there is provided substrate processing equipment having a vacuum chamber, and a vacuum system that discharges gas from the vacuum chamber, wherein the vacuum system includes a vacuum pump, a vent line connecting the vacuum pump to the vacuum chamber, a throttle valve unit which controls the degree to which the vent line is open, and a heating unit comprising a heater operatively associated with the vent line so as to heat gas flowing through the vent line.
  • According to yet another aspect of the present invention, there is provided substrate processing equipment having a vacuum chamber, and a vacuum system that discharges gas from the vacuum chamber, wherein the vacuum system includes a vacuum pump, a vent line having a first run extending from the vacuum chamber, a second run, and a curvilinear and/or acute-angled bend extending between and interconnecting the first and second runs, and a throttle valve unit including a vent line opening and closing member disposed in the second run of the vent line to control the degree to which the vent line is open.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The above and other objects, features and advantages of the present invention will become more apparent to those of ordinary skill in the art from the following detailed description of the preferred embodiments thereof made with reference to the attached drawings in which:
  • FIG. 1 is a schematic diagram of conventional semiconductor device manufacturing equipment;
  • FIG. 2 is a plan view of cluster-type semiconductor device manufacturing equipment having a throttle valve unit in accordance with the present invention;
  • FIG. 3 is a sectional view of semiconductor device manufacturing equipment in accordance with the present invention;
  • FIG. 4 is a schematic diagram of semiconductor device manufacturing equipment having a throttle valve unit in accordance with the present invention;
  • FIG. 5 is a side view of the throttle valve unit in accordance with the present invention;
  • FIG. 6 is a schematic diagram of a second embodiment of semiconductor device manufacturing equipment having a throttle valve unit in accordance with the present invention; and
  • FIG. 7 is a schematic diagram of a third embodiment of semiconductor device manufacturing equipment having a throttle valve unit in accordance with the present invention.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • The present invention is applicable to various types of equipment for processing substrates which include a chamber, and vacuum apparatus for evacuating the chamber. In particular, the present invention is useful in connection with the process apparatus of such equipment. However, the present invention may be applied to any vacuum chamber of substrate processing equipment in which the pressure is to be controlled, such as a load-lock chamber or a transfer chamber.
  • For purposes of description only, however, the present invention will be described in connection with cluster-type semiconductor device manufacturing equipment. The cluster-type semiconductor device manufacturing equipment 100 has a plurality of process apparatus 102, an alignment apparatus 104, a transfer chamber 108, and a plurality of load-locks 116. Unit processes, such as thin film and etching processes, are performed by the process apparatus 102. The alignment apparatus 104 orients wafers W such that flat zones of the wafers W face in a given direction before the wafers W are subjected to the unit processes in the process apparatuses 102. That is, the alignment apparatus 104 aligns the wafers W with the process apparatus 102. A robot 106 is disposed in the transfer chamber 108 for transferring the wafers W from the alignment apparatus 104 to the process apparatus 102. The load-locks 116 are in communication with the transfer chamber 108. Each load-lock 116 includes a slit valve 110 which faces the transfer chamber 108, and a door 114 that faces away from the transfer chamber 108 t. The door 114 allows a wafer cassette 112 that supports a number of wafers W to be moved into or out of the vacuum chamber of the load-lock. The slit valve 110 is opened to allow the robot 106 to enter the vacuum chamber of the load-lock and transfer a wafer W to or from the wafer cassette 112.
  • In the semiconductor device manufacturing equipment of FIG. 2, each process apparatus 102 includes a process chamber that defines a hermetically sealed space in which a wafer W is processed. For example, the process apparatus 102 may be a physical or chemical vapor deposition apparatus used to carry out a deposition process of forming a thin film on the wafer W. The process apparatus 102 may also be an etching apparatus used to carry out an etching process of patterning a layer on the wafer W wherein the layer is exposed by a mask such as a mask of photoresist. The process apparatus 102 may also perform an ashing process to oxidize and remove the photoresist.
  • The pressure within the process chamber of a process apparatus 102 must be maintained at a predetermined level when performing a process such as a thin film deposition process, an etching process and an ashing process, if the process is to be executed satisfactorily and to otherwise minimize the inflow of contaminants such as particles. To this end, the process chambers of the process apparatus 102 are evacuated by a vacuum system having a vacuum device such as a turbo pump.
  • The vacuum system will be described in more detail with reference to a DPS plasma etching apparatus shown in FIG. 3. The DPS plasma etching apparatus is used as an example of the process apparatus 102 to which the present invention can be applied. The DPS etching apparatus 102 uses plasma to etch a layer, on a wafer, to form a conductive pattern.
  • The etching apparatus 102 comprises a chamber wall 118 that forms a process chamber. The process chamber has an upper section and a lower section. An upper electrode 120 to which an RF power is applied, and a showerhead 122 are disposed above the upper section of the process chamber. The RF power has a high frequency of about 60 MHz or more. The shower head 122 forms a buffer space 124 in which gas supplied through a gas supply conduit accumulates, and a plurality of gas spray holes 126 through which the gas in the buffer space 124 is sprayed into the process chamber. The gas supplied into the process chamber by the showerhead 122 becomes plasma as the high frequency power is applied to the upper electrode 120. At the same time, a predetermined pressure is maintained in the process chamber to facilitate the etching of the wafer with the plasma. In this case, the etching process can be carried out even at a low pressure of 10 mT or less to form a pattern having a very small design rule.
  • A dome 130 forms the ceiling of the upper section of the process chamber. The etching apparatus also comprises a dome temp control unit (DTCU) 128 constituting an auxiliary section of the process chamber above the dome 130. The DTCU 128 is connected to the RF power source and maintains the temperature inside the process chamber at about 80 □. To this end, the DTCU 128 comprises a number of RF coils 134 and a number of lamps 132 disposed above the dome 130. Also, an etching end point sensing unit (not shown) for detecting a state at which the etch process should be terminated is disposed in atop the dome 130.
  • A lower electrode 136 to which RF power is applied, and an electrostatic chuck 138 that supports a wafer being processed (etched) are disposed in the lower section of the process chamber 102. The frequency of the RF power applied to the lower electrode 136 is about 2 MHz, and induces plasma ions to accelerate towards a wafer supported on the electrostatic chuck 138. A clamp ring 140 forms the outer periphery of the electrostatic chuck 138. The clamp ring 140 surrounds the wafer held by the electrostatic chuck 138 and is sized to ensure that the wafer remains at a desired position on the electrostatic chuck 138. In addition, the clamp ring 140 ensures that the plasma can impinge the outer peripheral portion of the wafer so that the entire surface of the wafer can be plasma etched.
  • The DPS plasma etch apparatus 120 also includes an elevating mechanism 144 comprising lift pins 142 and a driving unit for moving the lift pins 142 up and down. The lift pins 142 may be extended above and retracted below the upper surface of the electrostatic chuck 138 for raising a wafer off of and lowering a wafer onto the electrostatic chuck 138.
  • The process chamber 102 is connected to a turbo pump 148 through a vent line 146. A gate valve 150 and a throttle valve unit 152 are disposed in the vent line 146 between the process chamber 102 and the turbo pump 148. The gate valve 150 is movable to a closed position to isolate the process chamber 102 from the turbo pump 148. The throttle valve unit 152 controls the extent to which the vent line 146 is open. The turbo pump 148 is connected to a dry pump (not shown). Together with the turbo pump 148, the dry pump discharges a process gas from the process chamber of the etch apparatus 102. In general, the dry pump serves to equilibrate the level of vacuum pressure in the process chamber of the etch apparatus 102 and the transfer chamber 108.
  • On the other hand, the pressure inside of the process chamber of the etch apparatus 102 rises momentarily when the process gas is introduced into the process chamber 102. The turbo pump 148 operates continuously during the etch process to maintain this pressure as the process pressure. Specifically, non-reacted gas and by-products of the etch process are discharged from the process chamber by the turbo pump 148.
  • Semiconductor device manufacturing equipment having vacuum systems which each include a throttle valve unit 152 in accordance with the present invention will now be described in detail with reference to FIGS. 4 through 7.
  • The throttle valve unit of the present invention, as illustrated in FIG. 4, is characterized by a vent line opening and closing member 156 which can be quickly positioned to precisely regulate the flow of air through the vent line 146. In general, air 158 pumped from the process chamber 102 by the turbo pump 148 flows through the vent line 146 at a predetermined speed. When the air 158 passes through a bend B in the vent line 146, the air 158 is concentrated due to its inertia at a region C of the vertical run of the vent line (the right-hand side of the vent line 146 as viewed in FIG. 4). Region C is located at the side of the vertical run of the vent line that extends downwardly from the outside corner of the bend B. On the other hand, hardly any air is present in the other region of the vertical run of the vent line 146 (side of the vertical run of the vent line 146 that extends downwardly from the inside corner of the bend B).
  • The vent line opening and closing member 156 is designed with this in mind. Specifically, the vent line opening and closing member 156 is disposed in the (vertical) run of the vent line that extends directly downwardly from the bend B. The vent line opening and closing member 156 comprises a plate having a shape corresponding to that of the cross section of the vertical run of the vent line 146, and an axis of rotation 154 about which the plate is tiltable in the vent line 146. Thus, vent line opening and closing member 156 is divided into first and second sections 156 a, 156 b on opposite sides of the axis of rotation 154. The first section 156 is disposed in the region of the vertical run of the vent line where there is hardly any air flow while the turbo pump 148 is pumping air from the process chamber. On the other hand, the second section 156 b of the vent line opening and closing member 156 is disposed in the region C of the vertical run of the vent line. To this end, the axis of rotation 154 of the vent line opening and closing member 156 is skewed relative to the horizontal run of the vent line 146.
  • That is, as illustrated in FIG. 4, the orientation of the axis of rotation 154 of the vent line opening and closing member 156 differs from that of the prior art of FIG. 1. Referring to FIG. 5, air 158 pumped from the process chamber 102 and flowing along the horizontal run of the vent line 146 passes through the bend B of the vent line. At this time, the air 158 is concentrated due to its inertia at the far side of the vertical run of the vent line 146. The second section 156 b of the vent line opening and closing member 156 is pressed by the air 158 at the far side of the vertical run of the vent line 146. As a result, the vent line opening and closing member 156 is rotated about the axis of rotation 156 with the first section 156 a of the vent line opening and closing member 156 being raised. The throttle valve unit 152 is completely open when the amount of air 158 passing through the vent line 146 is great enough to rotate the vent line opening and closing member 156 90 degrees. That is, the rotation of the vent line opening and closing member 156 varies within a range of 0˜90 degrees according to the amount of air pumped from the process chamber and flowing through the vent line 146. The air 158 flows to the turbo pump, through the open vent line 146, and is discharged outside the equipment.
  • Preferably, the orientation of the axis of rotation 154 of the vent line opening and closing member 156 is offset by an angle of 90 degrees compared to that of the prior art of FIG. 1 such that the axis of rotation 154 extends in a direction perpendicular to that of the horizontal run of the vent line 146. However, the present invention is not so limited. This is simply the model which can form the best conditions under which to evacuate the process chamber. Rather, according to the present invention, the axis of rotation of the vent line opening and closing member 156 is oriented according to the flow of gas through the vent line of the semiconductor device manufacturing equipment. More specifically, the axis of rotation 154 of the vent line opening and closing member 156 is oriented such that the sections 156 a, 156 b of the vent line opening and closing member 156 each lie in a respective portion of a cross-sectional region of the vent line 146 but wherein the flow rate and hence, the concentration of gas flowing through one of such portions is higher than the flow rate of gas flowing through the other portion of such region when the pump unit connected to the vent line 146 is being operated.
  • As described above, the throttle valve unit is oriented, based on the characteristics of the flow of gas in the vent line, to be promptly and easily opened. As a result, the pressure of the process chamber can be accurately and more promptly controlled, thereby ensuring that the unit process is successfully performed in the process chamber. In addition, the fluency of the flow of gas in the vent line is enhanced to minimize the contamination of the throttle valve and the vent line.
  • Referring now to FIG. 6, another vacuum system of the semiconductor device manufacturing equipment includes a vent line heating unit 160 comprising a heater for heating the vent line 146. The heater of the vent line heating unit 160 may comprise a resistive heater in the form of a coil wound around the vent line 146. Preferably, the vent line heating unit 160 heats the vent line 146 to 70□ or higher and, more preferably, to a temperature within a range of 70˜150 □. Also, the vent line 146 may be heated by the vent line heating unit 160 at the same time that the air is ventilated, or the vent line 146 may be heated before the air is ventilated.
  • Typically, the temperature in the process chamber of the process apparatus 102 is high while a wafer is being processed. Thus, gas molecules have a high degree of mobility inside the process chamber. On the other hand, the temperature of the vent line 146 connected to the process chamber is otherwise typically relatively low. The heating unit 160 heats the vent line 146 to prevent a significant temperature difference from existing between the interiors of the process chamber and the vent line. Thus, the heating unit 160 prevents the mobility of the gas molecules from decreasing rapidly when the gas molecules pass from the process chamber into the vent line 146. As a result, particles will not precipitate out from the gas inside the vent line due to a decrease in the speed of the gas molecules. Furthermore, the pressure in the process chamber can be controlled precisely because the speed of the gas molecules does not decrease when the gas molecules enter the vent line 146 and hence, the gas is discharged smoothly from the process chamber.
  • Also, as can be seen in FIG. 6, the vent line opening and closing member 156 of the throttle valve may be oriented as described above in connection with FIGS. 4 and 5 to thereby further enhance the fluency of the flow of air in the vent line 146.
  • FIG. 7 shows another vacuum system of semiconductor device manufacturing equipment according to the present invention. As illustrated in FIG. 7, the bend D of the vent line 146, which connects a horizontal (first) run of the vent line 146 with a vertical (second) run of the vent line 146, is curvilinear. Furthermore, or alternatively, the bend D of the vent line 146 may form an angle of less than 90°. Therefore, the gas passing through the horizontal run of the vent line flows fluently into the vertical run of the vent line along the bend D. As a result, the hunting phenomenon is minimized, whereby the pressure in the process chamber can be precisely controlled. In addition, particles will not precipitate out inside the vent line and adhere to the vent line opening and closing member 156 of the throttle valve because the speed of the gas flow is maintained.
  • Also, as can be seen in FIG. 7, the vent line opening and closing member 156 of the throttle valve may be oriented as described above in connection with FIGS. 4 and 5 to thereby further enhance the fluency of the flow of air in the vent line 146. Likewise, the vent line heating unit 160 shown in and described with reference to FIG. 6 may be employed in conjunction with the vent line 146 having the curvilinear bend D which connects the horizontal run with the vertical run, such that the efficiency at which the process chamber is ventilated is even further enhanced.
  • In accordance with the present invention described above, the vent line opening and closing member of the throttle valve unit is oriented so that it is readily opened by a concentrated flow of gas. Also, in accordance with the present invention described above, the heating unit heats the vent line through which the gas passes. Still further, the bend in the vent line connecting the horizontal and vertical runs of the vent line has a gently curved shape and/or subtends an angle of less than 90°. As a result, the efficiency of the ventilation of the process chamber is improved, making it easier to control the pressure of the process chamber. As a result, the present invention contributes to improving the reliability of semiconductor devices manufactured using substrate processing equipment and increases the productivity of such equipment. Furthermore, a fluent flow of gas prevents powder from precipitating out and adhering to the upper surface of the vent line opening and closing member of the throttle valve and to the inner surfaces of the vent line. Thus, the present invention extends the useful life and minimizes the PM cycle of substrate processing equipment.
  • Finally, although the present invention has been described in connection with the preferred embodiments thereof, it is to be understood that the scope of the invention is not so limited. On the contrary, various modifications of and changes to the preferred embodiments will be apparent to those of ordinary skill in the art. Thus, changes to and modifications of the preferred embodiments can fall within the true spirit and scope of the invention as defined by the appended claims.

Claims (20)

1. Substrate processing equipment, comprising:
a vacuum chamber; and
a vacuum system that discharges gas from the vacuum chamber, the vacuum system including a pump unit comprising a vacuum pump,
a vent line extending outside of the process chamber and connecting the vacuum pump to the vacuum chamber, the vent line having a bend such that gas discharged from the vacuum chamber by the vacuum pump flows at a greater rate through one portion of a cross-sectional area of the vent line, as taken at a given location downstream of the bend, than through the remaining portion of said cross-sectional area, and
a throttle valve unit including a vent line opening and closing member in the form of a plate having a shape corresponding to that of said cross-sectional area of the vent line and disposed in the vent line at said location, said vent line opening and closing member being mounted to said vent line so as to be rotatable about an axis of rotation that extends across the vent line at said location, whereby the vent line opening and closing member has first and second sections disposed on opposite sides of the axis of rotation, respectively, and wherein said second section of the vent line opening and closing member occupies said one portion of the cross-sectional area of the vent line and said first section of the vent line opening and closing member occupies said remaining portion of said cross-sectional area of the vent line when the vent line opening and closing member is in a closed position.
2. The substrate processing equipment according to claim 1, wherein the vent line has a first run extending from the vacuum chamber, and a second run, said bend extending between and interconnecting the first and second runs, and wherein the axis of rotation of the vent line opening and closing member is skewed relative to the longitudinal axis of the first run of the vent line.
3. The substrate processing equipment according to claim 2, wherein the first run extends horizontally from the vacuum chamber, and the second run extends vertically from the bend.
4. The substrate processing equipment according to claim 3, wherein the axis of rotation of the vent line opening and closing member extends horizontally in a direction that is skewed 90° relative to the horizontal run of the vent line.
5. Substrate processing equipment, comprising:
a vacuum chamber; and
a vacuum system that discharges gas from the vacuum chamber, the vacuum system including
a pump unit comprising a vacuum pump,
a vent line extending outside of the process chamber and connecting the vacuum pump to the vacuum chamber,
a throttle valve unit including a vent line opening and closing member disposed in the vent line and supported so as to be movable, wherein movement of the vent line opening and closing member controls the degree to which the vent line is open, and
a heating unit comprising a heater operatively associated with the vent line so as to heat gas flowing through the vent line.
6. The substrate processing equipment according to claim 5, wherein the heater comprises a resistive heating element in the form of a coil wound around the vent line.
7. The substrate processing equipment according to claim 6, wherein the heater is operative to heat the vent line to a temperature of 70˜150° C.
8. The substrate processing equipment according to claim 5, wherein the vent line has a bend such that gas discharged from the vacuum chamber by the vacuum pump flows at a greater rate through one portion of a cross-sectional area of the vent line, as taken at a given location downstream of the bend, than through the remaining portion of said cross-sectional area, and
the vent line opening and closing member is in the form of a plate having a shape corresponding to that of said cross-sectional area of the vent line and is disposed in the vent line at said location, said vent line opening and closing member being mounted to said vent line so as to be rotatable about an axis of rotation that extends across the vent line at said location, whereby the vent line opening and closing member has first and second sections disposed on opposite sides of the axis of rotation, respectively, and wherein said second section of the vent line opening and closing member occupies said one portion of the cross-sectional area of the vent line and said first section of the vent line opening and closing member occupies said remaining portion of the cross-sectional area of the vent line when the vent line opening and closing member is in a closed position.
9. The substrate processing equipment according to claim 8, wherein the vent line has a first run extending from the vacuum chamber, and a second run, said bend extending between and interconnecting the first and second runs, and wherein the axis of rotation of the vent line opening and closing member is skewed relative to the longitudinal axis of the first run of the vent line.
10. The substrate processing equipment according to claim 9, wherein the first run extends horizontally from the vacuum chamber, and the second run extends vertically from the bend.
11. The substrate processing equipment according to claim 10, wherein the axis of rotation of the vent line opening and closing member extends horizontally in a direction that is skewed 90° relative to the horizontal run of the vent line.
12. Substrate processing equipment, comprising:
a vacuum chamber; and
a vacuum system that discharges gas from the vacuum chamber, the vacuum system including a pump unit comprising a vacuum pump,
a vent line extending outside of the process chamber and connecting the vacuum pump to the vacuum chamber, the vent line having a first run extending from the vacuum chamber, a second run, and a bend extending between and interconnecting the first and second runs, the bend being curvilinear and/or subtending an angle of less than 90°, whereby the bend facilitates a smooth flow of gas therethrough, and
a throttle valve unit including a vent line opening and closing member disposed in the second run of the vent line and supported so as to be movable, wherein movement of the vent line opening and closing member controls the degree to which the vent line is open.
13. The substrate processing equipment according to claim 12, wherein the bend is curvilinear.
14. The substrate processing equipment according to claim 12, wherein gas discharged from the vacuum chamber by the vacuum pump flows at a greater rate through one portion of a cross-sectional area of the vent line, as taken at a given location downstream of the bend, than through the remaining portion of said cross-sectional area, and
the vent line opening and closing member is in the form of a plate having a shape corresponding to that of said cross-sectional area of the vent line and is disposed in the vent line at said location, said vent line opening and closing member being mounted to said vent line so as to be rotatable about an axis of rotation that extends across the vent line at said location, whereby the vent line opening and closing member has first and second sections disposed on opposite sides of the axis of rotation, respectively, and wherein said second section of the vent line opening and closing member occupies said one portion of the cross-sectional area of the vent line and said first section of the vent line opening and closing member occupies said remaining portion of the cross-sectional area of the vent line when the vent line opening and closing member is in a closed position.
15. The substrate processing equipment according to claim 14, wherein the vent line has a first run extending from the vacuum chamber, and a second run, said bend extending between and interconnecting the first and second runs, and wherein the axis of rotation of the vent line opening and closing member is skewed relative to the longitudinal axis of the first run of the vent line.
16. The substrate processing equipment according to claim 15, wherein the first run extends horizontally from the vacuum chamber, and the second run extends vertically from the bend.
17. The substrate processing equipment according to claim 16, wherein the axis of rotation of the vent line opening and closing member extends horizontally in a direction that is skewed 90° relative to the horizontal run of the vent line.
18. The substrate processing equipment according to claim 13, further comprising a heating unit having a heater operatively associated with the vent line so as to heat gas flowing through the vent.
19. The substrate processing equipment according to claim 18, wherein the heater comprises a resistive heating element in the form of a coil wound around the vent line.
20. The substrate processing equipment according to claim 19, wherein the heater is operative to heat the vent line to a temperature of 70˜150° C.
US11/542,108 2006-02-17 2006-10-04 Vacuum system of semiconductor device manufacturing equipment Abandoned US20070193573A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR2006-0015399 2006-02-17
KR1020060015399A KR100754243B1 (en) 2006-02-17 2006-02-17 vacuum apparatus of semiconductor device manufacturing equipment

Publications (1)

Publication Number Publication Date
US20070193573A1 true US20070193573A1 (en) 2007-08-23

Family

ID=38426906

Family Applications (1)

Application Number Title Priority Date Filing Date
US11/542,108 Abandoned US20070193573A1 (en) 2006-02-17 2006-10-04 Vacuum system of semiconductor device manufacturing equipment

Country Status (3)

Country Link
US (1) US20070193573A1 (en)
KR (1) KR100754243B1 (en)
CN (1) CN101026085A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120247953A1 (en) * 2011-03-28 2012-10-04 Chien-Min Weng Film-coating system
US20210205861A1 (en) * 2017-05-31 2021-07-08 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and methods for exhaust cleaning

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101593666B (en) * 2008-05-28 2011-05-18 和舰科技(苏州)有限公司 Helium pipeline structure for machine platform
CN102304702A (en) * 2011-09-23 2012-01-04 深圳市华星光电技术有限公司 Exhaust pipe of vacuum pump for chemical vapor deposition machine station and corresponding vacuum pump
KR101463961B1 (en) * 2013-02-15 2014-11-26 최대규 Plasma process system
CN104878369B (en) * 2015-06-07 2017-10-27 上海华虹宏力半导体制造有限公司 The connecting piece for exhaust pipe and blast pipe of TEOS boards
EP3512978A4 (en) * 2016-09-16 2020-05-13 Picosun Oy Apparatus and methods for atomic layer deposition
KR101895289B1 (en) 2016-10-25 2018-09-05 프리시스 주식회사 The valve to discharge line unit with the cyclone
CN111676464A (en) * 2020-06-17 2020-09-18 北京北方华创微电子装备有限公司 Exhaust device of semiconductor processing equipment and semiconductor processing equipment

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4612207A (en) * 1985-01-14 1986-09-16 Xerox Corporation Apparatus and process for the fabrication of large area thin film multilayers
US5482739A (en) * 1993-07-30 1996-01-09 Applied Materials, Inc. Silicon nitride deposition
US6568417B2 (en) * 2001-04-17 2003-05-27 Intel Corporation Throttle valve assembly
US6808163B2 (en) * 2003-02-20 2004-10-26 Taiwan Semiconductor Manufacturing Co., Ltd Modified blades for process chamber throttle valve
US6843264B2 (en) * 2002-12-18 2005-01-18 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-phase pressure control valve for process chamber

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10132141A (en) * 1996-10-28 1998-05-22 Hitachi Ltd Conductance adjusting valve and semiconductor manufacturing device
JP3037173B2 (en) * 1997-01-24 2000-04-24 山口日本電気株式会社 Decompression processing equipment
KR19990023067U (en) * 1997-12-02 1999-07-05 구본준 Powder incinerator of wafer deposition equipment
JPH11243059A (en) * 1998-02-26 1999-09-07 Kokusai Electric Co Ltd Semiconductor manufacture device
KR20040012064A (en) * 2002-07-31 2004-02-11 삼성전자주식회사 Vacuum forming equipment for semiconductor manufacturing equipment having improved exhaust structure
KR20040079060A (en) * 2003-03-06 2004-09-14 삼성전자주식회사 exhaust gas system of semiconductor device manufacturing equipment

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4612207A (en) * 1985-01-14 1986-09-16 Xerox Corporation Apparatus and process for the fabrication of large area thin film multilayers
US5482739A (en) * 1993-07-30 1996-01-09 Applied Materials, Inc. Silicon nitride deposition
US6568417B2 (en) * 2001-04-17 2003-05-27 Intel Corporation Throttle valve assembly
US6843264B2 (en) * 2002-12-18 2005-01-18 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-phase pressure control valve for process chamber
US6808163B2 (en) * 2003-02-20 2004-10-26 Taiwan Semiconductor Manufacturing Co., Ltd Modified blades for process chamber throttle valve

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120247953A1 (en) * 2011-03-28 2012-10-04 Chien-Min Weng Film-coating system
US20210205861A1 (en) * 2017-05-31 2021-07-08 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and methods for exhaust cleaning

Also Published As

Publication number Publication date
CN101026085A (en) 2007-08-29
KR100754243B1 (en) 2007-09-03
KR20070082613A (en) 2007-08-22

Similar Documents

Publication Publication Date Title
US20070193573A1 (en) Vacuum system of semiconductor device manufacturing equipment
TWI449100B (en) Method and system for distributing gas for a bevel edge etcher
JP6170540B2 (en) Variable temperature method for adjustable electrostatic chuck
KR100272146B1 (en) Method of manafacturing semiconductor device, apparatus of manufacturing the same, and method of cleaning the same
US9502242B2 (en) Indium gallium zinc oxide layers for thin film transistors
KR100446875B1 (en) Vacuum processing apparatus
US9953843B2 (en) Chamber for patterning non-volatile metals
US10546761B2 (en) Substrate processing apparatus
US20130224962A1 (en) Non-contact substrate processing
JP2009062604A (en) Vacuum treatment system, and method for carrying substrate
KR20050010770A (en) Method And Apparatus For Shaping Thin Films In The Near-Edge Regions Of In-Process Semiconductor Substrates
TW202027162A (en) Plasma processing apparatus
US10648076B2 (en) Cleaning method and film deposition apparatus executing the cleaning method for uniformly cleaning rotary table
JP3258885B2 (en) Film processing equipment
EP1630858B1 (en) Substrate processing system
US20210320027A1 (en) Systems and methods for substrate support temperature control
US20130153149A1 (en) Substrate Processing Tool with Tunable Fluid Flow
JPH0714822A (en) Semiconductor device manufacturing device
US20030066605A1 (en) Air exhaust system of a chamber for manufacturing semiconductor device
US10504741B2 (en) Semiconductor manufacturing method and plasma processing apparatus
JP2004339566A (en) Substrate treatment apparatus
JP3333177B2 (en) Plasma etching apparatus and plasma etching method
US9953829B2 (en) Image processing apparatus with improved slide printout based on layout data
KR20230059737A (en) Bevel etcher using atmospheric plasma
TW202324636A (en) Downstream residue management hardware

Legal Events

Date Code Title Description
AS Assignment

Owner name: SAMSUNG ELECTRONICS CO., LTD., KOREA, REPUBLIC OF

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:KIM, KYUNG-TAE;CHOI, CHUL-HWAN;KIM, KYUNG-TAE;AND OTHERS;REEL/FRAME:018378/0815;SIGNING DATES FROM 20060925 TO 20060926

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION