US20070128851A1 - Fabrication of semiconductor interconnect structures - Google Patents

Fabrication of semiconductor interconnect structures Download PDF

Info

Publication number
US20070128851A1
US20070128851A1 US11/672,005 US67200507A US2007128851A1 US 20070128851 A1 US20070128851 A1 US 20070128851A1 US 67200507 A US67200507 A US 67200507A US 2007128851 A1 US2007128851 A1 US 2007128851A1
Authority
US
United States
Prior art keywords
station
cavities
layer
conductive material
chemical mechanical
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/672,005
Inventor
Bulent Basol
Homayoun Talieh
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/795,687 external-priority patent/US6953392B2/en
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Priority to US11/672,005 priority Critical patent/US20070128851A1/en
Assigned to NOVELLUS SYSTEMS, INC. reassignment NOVELLUS SYSTEMS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ASM NUTOOL, INC.
Publication of US20070128851A1 publication Critical patent/US20070128851A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/04Electroplating with moving electrodes
    • C25D5/06Brush or pad plating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/046Lapping machines or devices; Accessories designed for working plane surfaces using electric current
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B49/00Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation
    • B24B49/16Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation taking regard of the load
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67219Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one polishing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/6723Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one plating chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells

Definitions

  • the present invention relates to manufacture of semiconductor integrated circuits and more particularly to a method of electrochemical mechanical deposition and chemical mechanical polishing of conductive layers.
  • Conventional semiconductor devices generally include a semiconductor substrate, usually a silicon substrate, and a plurality of sequentially formed dielectric interlayers such as silicon dioxide and conductive paths or interconnects made of conductive materials. Copper and copper alloys have recently received considerable attention as interconnect materials because of their superior electromigration and low resistivity characteristics.
  • the interconnects are usually formed by filling copper in features or cavities etched into the dielectric interlayers by a metallization process. The preferred method of copper metallization process is electroplating. In an integrated circuit, multiple levels of interconnect networks laterally extend with respect to the substrate surface. Interconnects formed in sequential interlayers can be electrically connected using vias or contacts.
  • an insulating interlayer is formed on the semiconductor substrate.
  • Patterning and etching processes are performed to form features such as trenches and vias in the insulating layer.
  • the width of the trenches is larger than the width of the vias.
  • copper is electroplated to fill the features.
  • CMP chemical mechanical polishing
  • FIG. 1A shows a substrate 8 which is processed to form an exemplary dual damascene structure shown in FIG. 1B .
  • a via 10 and a trench 12 are formed in an isolating layer 14 on the substrate 8 , and filled with copper 16 through electroplating process.
  • the isolating layer 14 is first coated with a barrier layer 18 , for example, a Ta/TaN composite layer.
  • the barrier layer 18 coats the insulating layer to ensure good adhesion and acts as a barrier material to prevent diffusion of the copper into the insulating layers and into the semiconductor devices.
  • a seed layer (not shown), which is often a copper layer, is deposited on the barrier layer.
  • the seed layer forms a conductive material base for copper crystal growth during the subsequent copper deposition.
  • the copper 16 quickly fills the small via 10 but coats the wide trench and the surface in a conformal manner.
  • the trench is also filled with copper, but with a step ‘s’ and a thick copper layer ‘t’. Thick copper on the surface presents a problem during CMP step that is expensive and time consuming.
  • a non-planar 20 surface may be formed on the remaining surface of the copper layer.
  • the non-planar surface may form due to the difference in polishing rate between the barrier layer and the copper.
  • the non-planar surface 20 or so called “dishing effect”, adversely affects the quality of the subsequently deposited layers.
  • Some prior art processes attempt to minimize or eliminate the dishing effect by employing multiple polishing steps with different slurries and polishing pads. For example, in one particular prior art process, at a first CMP process step the bulk copper layer on the substrate is removed down to a thickness that is over the barrier layer. The first step is performed in a first CMP station with a polishing pad that has no abrasive particles. A second step is performed in a second CMP station that has a pad with fixed abrasives to expose a portion of the barrier layer that overlies the insulating layer. In a third step, the portion of the barrier layer that overlies the insulating layer is removed using a pad that has no fixed particles. The third step is performed in a third CMP station.
  • the present invention provides a method of and system for plating a conductor and then chemically mechanically polishing the plated conductor in an advantageous manner that increases throughput and reduces defects.
  • the conductor is plated using an electrochemical mechanical deposition (ECMD) process, and thereafter subjected to chemical mechanical polishing (CMP).
  • ECMD electrochemical mechanical deposition
  • CMP chemical mechanical polishing
  • An exemplary embodiment system and a method of forming copper interconnect structures in a surface of a wafer includes a step of performing a planar electroplating process in an electrochemical mechanical deposition station for filling copper material into a plurality of cavities formed in the in the insulator layer or dielectric layer on the surface of the wafer.
  • the electroplating continues until a planar layer of copper with a predetermined thickness is formed on the surface of the wafer.
  • the planar layer is removed until the copper remains only in the cavities, isolated from one another by the dielectric layer.
  • FIG. 1A is a schematic illustration of a prior art dual damascene structure having an electrodeposited copper overburden layer
  • FIG. 1B is a schematic illustration of the prior art structure shown in FIG. 1A wherein the copper overburden and the barrier layer are polished using CMP resulting in dishing in the copper layer;
  • FIG. 2 is a schematic view of an embodiment of an integrated tool to perform the present invention by employing ECMD and CMP modules;
  • FIG. 3A is a schematic view of a dual damascene structure having a planar copper layer, wherein the planar copper layer has been electroplated using the system shown in FIG. 2 ;
  • FIG. 3B is a schematic view of the structure shown in FIG. 3A , wherein the planar copper layer has been polished using the system shown in FIG. 2 ;
  • FIG. 3C is a schematic view of the structure shown in FIG. 3B , wherein a barrier layer has been removed from the field regions;
  • FIG. 4 is a schematic view of a second embodiment of an integrated tool to perform the present invention by employing ECMD and CMP modules;
  • FIG. 5A is a schematic view of a dual damascene structure having a planar copper layer, wherein the planar copper layer has been electroplated using the system shown in FIG. 4 ;
  • FIG. 5B is a schematic view of the structure shown in FIG. 3A , wherein the both planar copper layer and the barrier layer on the field regions have been polished using the system shown in FIG. 4 .
  • the present invention provides a method and a system for manufacturing interconnects for semiconductor integrated circuits.
  • the present invention employs a planar deposition process, such as electrochemical mechanical deposition (ECMD) process and chemical mechanical polishing process (CMP) to form copper interconnects.
  • ECMD electrochemical mechanical deposition
  • CMP chemical mechanical polishing process
  • a thin planar copper layer is initially formed by an ECMD process step which is subsequently removed by carrying out two separate CMP process steps to produce final interconnect structure.
  • an initial ECMD process step is used to form a planar layer that is thinner than the layer formed in the first embodiment. This thin planar layer along with the barrier are removed using a single CMP step to form the final interconnect structure.
  • the CMP process conventionally involves pressing a semiconductor wafer or other such substrate against a moving polishing surface that is wetted with a chemical reactive abrasive slurry.
  • the slurries are usually either basic or acidic and generally contain alumina, ceria, silica or other hard ceramic particles.
  • the polishing surface is typically a planar pad made of polymeric materials well known in the art of CMP.
  • the pad itself may also be an abrasive pad.
  • a wafer carrier with a wafer to be processed is placed on a CMP pad and pressed against it.
  • the pad which may be an abrasive pad, may be moved laterally as a linear belt or may be rotated.
  • the process is performed by moving the wafer against the pad or the linear belt in a CMP slurry solution flowing between the pad and the wafer surface.
  • the slurry may be any of the known CMP slurries in the art, and may be flowed over the pad or may be flowed through the pad if the pad is porous in the latter case.
  • FIG. 2 shows a first system 100 of the present invention.
  • the first system 100 comprises a processing section 102 comprising a planar conductor deposition station 104 such as an ECMD copper process station as well as a first CMP process station 106 and a second CMP process station 108 .
  • a buffer section 110 is in communication with the processing section 102 through a robot 116 or robot arm.
  • the stations 104 - 108 are shown as an integrated part of the first system 100 , they may be individual stations that are located separately.
  • the stations 104 - 108 may preferably be vertically stacked chambers including a lower process chamber (ECMD or CMP chamber) and a top rinsing and drying chamber.
  • ECMD process chamber
  • CMP chamber top rinsing and drying chamber.
  • a wafer 114 or work piece to be plated may be picked up from a load unload section (not shown) of the system by the robot 116 which is located in the buffer section 110 .
  • the wafer 114 can then be transferred to the ECMD station 104 in the processing section 102 to initiate the process.
  • the process stations 104 - 108 can be either adapted to process 200 or 300 millimeter wafers.
  • the system 100 may also have an anneal chamber (not shown) to anneal the planar deposited substrates before or after the CMP processes, or before and after the CMP process.
  • FIGS. 3A-3C are schematic cross-sectional views exemplifying the process of the present invention to form a copper interconnect using the method of the present invention and the system shown in FIG. 2 .
  • copper is used as an example material that is deposited and/or removed herein, the present invention may be used when depositing or removing other conductors, for example Ni, Pd, Pt, Au, Pb, Sn, Ag, Co and their alloys.
  • an exemplary dual damascene structure will be formed in accordance with the principles of the present invention.
  • FIG. 3A shows a semiconductor substrate 120 having a planar copper layer 122 formed in a first step of the present invention.
  • the planar layer 122 is electroplated into a via 124 and a trench 126 which are patterned and etched into an insulating layer 128 .
  • the insulating layer 128 has a top surface 129 and is formed on a semiconductor wafer 130 .
  • a conducting layer 132 conformally coats the via 124 , the trench 126 and the top surface 129 of insulating layer 128 .
  • the conducting layer 132 comprises a barrier layer.
  • the conducting 132 layer may also comprise a copper seed layer (not shown) which is deposited on the barrier layer 132 .
  • the thickness of a portion of the flat copper layer 122 that overlies the top surface 129 of the insulator 128 is related to the depth of the largest feature, i.e., the feature with the largest width, to be filled on the substrate 130 , which is in this example the trench 126 . If the width of the trench 126 which is denoted by ‘W’ is the largest on the substrate, the thickness ‘t’ of the flat copper portion that overlies the top surface 129 can be equal to or less than 0.75 D, where ‘D’ is the depth of the trench.
  • thickness t will be a function of the depth of that larger feature, i.e., it would be less than or equal to about three quarters of the depth of that largest feature.
  • the thickness of the copper overburden is larger than D, i.e., t>D.
  • Such thin and flat copper layer produced by the planar deposition techniques such as ECMD process advantageously eliminates the use of a conventional step of removing overburden or the excess copper from the surface of the substrate.
  • the ECMD station 104 then rinses the substrate and sends to the first CMP station 106 .
  • a CMP process is performed in the first CMP station to polish away the excess flat copper layer, in a planar manner, that overlies barrier layer on the top surface 129 of the insulating layer 128 .
  • the second step can preferably be performed using a fixed abrasive pad 134 without an abrasive slurry.
  • the fixed abrasive pad 134 selectively removes the copper layer 122 down to the barrier layer.
  • the first CMP station 106 then rinses the substrate and transfers to the second CMP station 108 .
  • the barrier layer 132 overlying the top surface 129 of the insulating layer 128 is removed with a slurry based CMP process using a non-abrasive pad 136 . Any remaining portions of copper is also removed during this step. Removal of copper and barrier layers using different polishing pad and slurries is disclosed in the co-pending U.S. Provisional Patent Application No. 60/365,001, entitled “Method and Apparats for Integrated Chemical Mechanical Polishing of Copper and Barrier Layers,” filed Mar. 13, 2002, commonly owned by the assignee of the present invention.
  • FIG. 4 shows a second system 200 of the present invention.
  • the second system 200 comprises a processing section 202 comprising an ECMD process station 204 and a CMP process station 206 .
  • a buffer section 210 is connected to the processing section 202 .
  • the stations 204 and 206 are shown as an integrated part of the second system 200 , they may be individual stations that are located separately.
  • the stations 204 and 206 may preferably be vertically stacked chambers including a lower process chamber (ECMD or CMP chamber) and a top rinsing and drying chamber.
  • ECMD or CMP chamber a lower process chamber
  • top rinsing and drying chamber One such exemplary vertical chamber design and operation is disclosed in the co-pending U.S. Pat. No. 6,352,623, entitled “Vertically Configured Chamber Used for Multiple Processes,” filed Dec.
  • a wafer 214 or work piece to be plated may be picked up from a load/unload section (not shown) of the system by a robot 216 which is located in the buffer section 212 .
  • the wafer 214 can then be transferred to the ECMD station in the processing section 202 to initiate the process.
  • the process stations 204 and 206 can be either adapted to process 200 or 300 millimeter wafers.
  • the system 200 may also have an anneal chamber (not shown) to anneal substrates processed in ECMD chamber prior to or after the CMP process, or before and after the CMP process.
  • FIGS. 5A and 5B are schematic cross-sectional views exemplifying the process of the present invention to form a copper interconnect using the system shown in FIG. 4 .
  • a dual damascene structure will be formed in accordance with the principles of the present invention.
  • FIG. 5A shows a semiconductor substrate having a thin planar copper layer 222 formed in a first step of the present invention.
  • the planar layer is electroplated into a via 224 and a trench 226 which are patterned and etched into an insulating layer 228 .
  • the insulating layer 228 has a top surface 229 and is formed on a semiconductor wafer 230 .
  • a barrier layer 232 coats the via 224 , the trench 226 and the top surface 229 of insulating layer 228 .
  • the thickness of a portion of the flat copper layer 222 that overlies the top surface 229 of the insulator 228 is less than or equal to 2000 Angstroms, preferably, less than 1000 Angstroms.
  • Such thin and flat copper layer produced by the ECMD process advantageously eliminates the use of a conventional steps of removing overburden or the excess copper and the barrier layer from the surface of the substrate.
  • the ECMD station 204 then rinses the substrate and sends to the CMP station 206 (see FIG. 4 ).
  • a CMP process is performed to polish away the excess flat copper layer and the barrier layer, in a single polishing step, that overlies barrier layer on the top surface 129 of the insulating layer 128 .
  • This step can be performed using a pad 234 with an abrasive slurry or an abrasive pad with non-abrasive slurry.
  • the pad 234 removes the copper layer 222 and the barrier layer 232 down to the top surface 229 of the interconnect 228 .
  • a metallic interconnect is formed, thereby forming a complete dual damascene structure.
  • a non-selective slurry may also be used in this step to remove a small thickness of the insulator or dielectric layer, thereby minimizing dishing effects.

Abstract

A system and a method of forming copper interconnect structures in a surface of a wafer is provided. The method includes a step of performing a planar electroplating process in an electrochemical mechanical deposition station for filling copper material into a plurality of cavities formed in the surface of the wafer. The electroplating continues until a planar layer of copper with a predetermined thickness is formed on the surface of the wafer. In a following chemical mechanical polishing step the planar layer is removed until the copper remains in the cavities, insulated from one another by exposed regions of the dielectric layer.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a divisional of co-pending U.S. patent application Ser. No. 10/264,726, filed on Oct. 3, 2002, which is a continuation-in-part of U.S. Pat. No. 6,953,392, filed Feb. 27, 2001, claiming priority to U.S. Provisional Application No. 60/261,263, filed Jan. 16, 2001 and U.S. Provisional Application No. 60/259,676, filed Jan. 5, 2001 (NT-202), all incorporated herein by reference.
  • U.S. patent application Ser. No. 10/264,726 also claims priority to U.S. Provisional Application No. 60/327,025, filed Oct. 3, 2001, and U.S. Provisional Application No. 60/365,001, filed Mar. 13, 2002, all incorporated herein by reference.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates to manufacture of semiconductor integrated circuits and more particularly to a method of electrochemical mechanical deposition and chemical mechanical polishing of conductive layers.
  • 2. Background
  • Conventional semiconductor devices generally include a semiconductor substrate, usually a silicon substrate, and a plurality of sequentially formed dielectric interlayers such as silicon dioxide and conductive paths or interconnects made of conductive materials. Copper and copper alloys have recently received considerable attention as interconnect materials because of their superior electromigration and low resistivity characteristics. The interconnects are usually formed by filling copper in features or cavities etched into the dielectric interlayers by a metallization process. The preferred method of copper metallization process is electroplating. In an integrated circuit, multiple levels of interconnect networks laterally extend with respect to the substrate surface. Interconnects formed in sequential interlayers can be electrically connected using vias or contacts.
  • In a typical process, first an insulating interlayer is formed on the semiconductor substrate. Patterning and etching processes are performed to form features such as trenches and vias in the insulating layer. Typically the width of the trenches is larger than the width of the vias. Then, copper is electroplated to fill the features. Once the plating is over, a chemical mechanical polishing (CMP) step is conducted to remove the excess copper layer and other conductive layers that are above the top surface of the substrate to form the interconnect structure. These processes are repeated multiple times to manufacture multi layer interconnects.
  • An exemplary prior art process can be briefly described with the help of FIGS. 1A and 1B. FIG. 1A shows a substrate 8 which is processed to form an exemplary dual damascene structure shown in FIG. 1B. In this structure, a via 10 and a trench 12 are formed in an isolating layer 14 on the substrate 8, and filled with copper 16 through electroplating process. Conventionally, after patterning and etching which form the cavities such as vias and trenches, the isolating layer 14 is first coated with a barrier layer 18, for example, a Ta/TaN composite layer. The barrier layer 18 coats the insulating layer to ensure good adhesion and acts as a barrier material to prevent diffusion of the copper into the insulating layers and into the semiconductor devices. Next, a seed layer (not shown), which is often a copper layer, is deposited on the barrier layer. The seed layer forms a conductive material base for copper crystal growth during the subsequent copper deposition. As the copper film is electroplated, the copper 16 quickly fills the small via 10 but coats the wide trench and the surface in a conformal manner. When the deposition process is continued, the trench is also filled with copper, but with a step ‘s’ and a thick copper layer ‘t’. Thick copper on the surface presents a problem during CMP step that is expensive and time consuming. As shown in FIG. 1B, during the CMP removal of the thick copper layer on the trench 12 and the barrier layer 18 on the top surface, a non-planar 20 surface may be formed on the remaining surface of the copper layer. The non-planar surface may form due to the difference in polishing rate between the barrier layer and the copper. The non-planar surface 20, or so called “dishing effect”, adversely affects the quality of the subsequently deposited layers.
  • Some prior art processes attempt to minimize or eliminate the dishing effect by employing multiple polishing steps with different slurries and polishing pads. For example, in one particular prior art process, at a first CMP process step the bulk copper layer on the substrate is removed down to a thickness that is over the barrier layer. The first step is performed in a first CMP station with a polishing pad that has no abrasive particles. A second step is performed in a second CMP station that has a pad with fixed abrasives to expose a portion of the barrier layer that overlies the insulating layer. In a third step, the portion of the barrier layer that overlies the insulating layer is removed using a pad that has no fixed particles. The third step is performed in a third CMP station.
  • In such prior art processes, multiple polishing steps increase the production time and the production cost. To this end, there is a need for an alternative method of planarizing plated substrates.
  • SUMMARY OF THE INVENTION
  • The present invention provides a method of and system for plating a conductor and then chemically mechanically polishing the plated conductor in an advantageous manner that increases throughput and reduces defects. In particular, the conductor is plated using an electrochemical mechanical deposition (ECMD) process, and thereafter subjected to chemical mechanical polishing (CMP).
  • An exemplary embodiment system and a method of forming copper interconnect structures in a surface of a wafer is provided. The method includes a step of performing a planar electroplating process in an electrochemical mechanical deposition station for filling copper material into a plurality of cavities formed in the in the insulator layer or dielectric layer on the surface of the wafer. The electroplating continues until a planar layer of copper with a predetermined thickness is formed on the surface of the wafer. In a following chemical mechanical polishing step the planar layer is removed until the copper remains only in the cavities, isolated from one another by the dielectric layer.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1A is a schematic illustration of a prior art dual damascene structure having an electrodeposited copper overburden layer;
  • FIG. 1B is a schematic illustration of the prior art structure shown in FIG. 1A wherein the copper overburden and the barrier layer are polished using CMP resulting in dishing in the copper layer;
  • FIG. 2 is a schematic view of an embodiment of an integrated tool to perform the present invention by employing ECMD and CMP modules;
  • FIG. 3A is a schematic view of a dual damascene structure having a planar copper layer, wherein the planar copper layer has been electroplated using the system shown in FIG. 2;
  • FIG. 3B is a schematic view of the structure shown in FIG. 3A, wherein the planar copper layer has been polished using the system shown in FIG. 2;
  • FIG. 3C is a schematic view of the structure shown in FIG. 3B, wherein a barrier layer has been removed from the field regions;
  • FIG. 4 is a schematic view of a second embodiment of an integrated tool to perform the present invention by employing ECMD and CMP modules;
  • FIG. 5A is a schematic view of a dual damascene structure having a planar copper layer, wherein the planar copper layer has been electroplated using the system shown in FIG. 4; and
  • FIG. 5B is a schematic view of the structure shown in FIG. 3A, wherein the both planar copper layer and the barrier layer on the field regions have been polished using the system shown in FIG. 4.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • As will be described below, the present invention provides a method and a system for manufacturing interconnects for semiconductor integrated circuits. In one embodiment, the present invention employs a planar deposition process, such as electrochemical mechanical deposition (ECMD) process and chemical mechanical polishing process (CMP) to form copper interconnects. In this embodiment, for example, a thin planar copper layer is initially formed by an ECMD process step which is subsequently removed by carrying out two separate CMP process steps to produce final interconnect structure. In another embodiment, an initial ECMD process step is used to form a planar layer that is thinner than the layer formed in the first embodiment. This thin planar layer along with the barrier are removed using a single CMP step to form the final interconnect structure.
  • Descriptions of various ECMD deposition methods and apparatus that provide for planar deposition of a conductor can be found in the following patents and pending applications, all commonly owned by the assignee of the present invention. U.S. Pat. No. 6,176,992, entitled “Method and Apparatus for Electrochemical Mechanical Deposition.” U.S. application Ser. No. 09/740,701 (U.S. Patent Publication No. 2002/0074230), entitled “Plating Method and Apparatus that Creates a Differential between Additive Disposed on a Top Surface and a Cavity Surface of a Workpiece Using an External Influence,” filed on Dec. 18, 2001. A system that uses ECMD, and which can be adapted to obtain the systems described herein and perform the processes described herein is discussed in U.S. Utility application Ser. No. 09/795,687 (U.S. Patent Publication No. 2002/0088543), entitled “Integrated System for Processing Semiconductor Wafers” filed on Feb. 27, 2001 (incorporated herein by reference above) and which is based on priority provisional application No. 60/259,676 filed Jan. 5, 2001 and No. 60/261,263 filed Jan. 16, 2001. As described in those references, the ECMD uniformly fills holes (or vias) and trenches on a surface of a wafer with a conductive material while mechanically maintaining the planarity of the surface with a pad.
  • The CMP process conventionally involves pressing a semiconductor wafer or other such substrate against a moving polishing surface that is wetted with a chemical reactive abrasive slurry. The slurries are usually either basic or acidic and generally contain alumina, ceria, silica or other hard ceramic particles. The polishing surface is typically a planar pad made of polymeric materials well known in the art of CMP. The pad itself may also be an abrasive pad. During a CMP process a wafer carrier with a wafer to be processed is placed on a CMP pad and pressed against it. The pad, which may be an abrasive pad, may be moved laterally as a linear belt or may be rotated. The process is performed by moving the wafer against the pad or the linear belt in a CMP slurry solution flowing between the pad and the wafer surface. The slurry may be any of the known CMP slurries in the art, and may be flowed over the pad or may be flowed through the pad if the pad is porous in the latter case.
  • Reference will now be made to the drawings wherein like numerals refer to like parts throughout. FIG. 2 shows a first system 100 of the present invention. The first system 100 comprises a processing section 102 comprising a planar conductor deposition station 104 such as an ECMD copper process station as well as a first CMP process station 106 and a second CMP process station 108. A buffer section 110 is in communication with the processing section 102 through a robot 116 or robot arm. Although, in this example, the stations 104-108 are shown as an integrated part of the first system 100, they may be individual stations that are located separately. In this embodiment, the stations 104-108 may preferably be vertically stacked chambers including a lower process chamber (ECMD or CMP chamber) and a top rinsing and drying chamber. One such exemplary vertical chamber design and operation is disclosed in the co-pending U.S. Pat. No. 6,352,623, entitled “Vertically Configured Chamber Used for Multiple Processes,” filed Dec. 17, 1999, commonly owned by the assignee of the present invention. In operation, a wafer 114 or work piece to be plated may be picked up from a load unload section (not shown) of the system by the robot 116 which is located in the buffer section 110. The wafer 114 can then be transferred to the ECMD station 104 in the processing section 102 to initiate the process. The process stations 104-108 can be either adapted to process 200 or 300 millimeter wafers. The system 100 may also have an anneal chamber (not shown) to anneal the planar deposited substrates before or after the CMP processes, or before and after the CMP process.
  • FIGS. 3A-3C are schematic cross-sectional views exemplifying the process of the present invention to form a copper interconnect using the method of the present invention and the system shown in FIG. 2. Although copper is used as an example material that is deposited and/or removed herein, the present invention may be used when depositing or removing other conductors, for example Ni, Pd, Pt, Au, Pb, Sn, Ag, Co and their alloys. In this example an exemplary dual damascene structure will be formed in accordance with the principles of the present invention. FIG. 3A shows a semiconductor substrate 120 having a planar copper layer 122 formed in a first step of the present invention. In the ECMD station 104 shown in FIG. 2, the planar layer 122 is electroplated into a via 124 and a trench 126 which are patterned and etched into an insulating layer 128. The insulating layer 128 has a top surface 129 and is formed on a semiconductor wafer 130. A conducting layer 132 conformally coats the via 124, the trench 126 and the top surface 129 of insulating layer 128. The conducting layer 132 comprises a barrier layer. The conducting 132 layer may also comprise a copper seed layer (not shown) which is deposited on the barrier layer 132. The thickness of a portion of the flat copper layer 122 that overlies the top surface 129 of the insulator 128 is related to the depth of the largest feature, i.e., the feature with the largest width, to be filled on the substrate 130, which is in this example the trench 126. If the width of the trench 126 which is denoted by ‘W’ is the largest on the substrate, the thickness ‘t’ of the flat copper portion that overlies the top surface 129 can be equal to or less than 0.75 D, where ‘D’ is the depth of the trench. However, it is understood that if there is a larger, i.e., wider feature, on the entire wafer surface, thickness t will be a function of the depth of that larger feature, i.e., it would be less than or equal to about three quarters of the depth of that largest feature. It should be noted that in the prior art process (see FIG. 1A), the thickness of the copper overburden is larger than D, i.e., t>D. Such thin and flat copper layer produced by the planar deposition techniques such as ECMD process advantageously eliminates the use of a conventional step of removing overburden or the excess copper from the surface of the substrate. The ECMD station 104 then rinses the substrate and sends to the first CMP station 106.
  • As shown in FIG. 3B, in a second step of the present invention, a CMP process is performed in the first CMP station to polish away the excess flat copper layer, in a planar manner, that overlies barrier layer on the top surface 129 of the insulating layer 128. The second step can preferably be performed using a fixed abrasive pad 134 without an abrasive slurry. The fixed abrasive pad 134 selectively removes the copper layer 122 down to the barrier layer. The first CMP station 106 then rinses the substrate and transfers to the second CMP station 108.
  • As shown in FIG. 3C, at the final polishing step that is performed in the second CMP station, the barrier layer 132 overlying the top surface 129 of the insulating layer 128 is removed with a slurry based CMP process using a non-abrasive pad 136. Any remaining portions of copper is also removed during this step. Removal of copper and barrier layers using different polishing pad and slurries is disclosed in the co-pending U.S. Provisional Patent Application No. 60/365,001, entitled “Method and Apparats for Integrated Chemical Mechanical Polishing of Copper and Barrier Layers,” filed Mar. 13, 2002, commonly owned by the assignee of the present invention.
  • FIG. 4 shows a second system 200 of the present invention. The second system 200 comprises a processing section 202 comprising an ECMD process station 204 and a CMP process station 206. A buffer section 210 is connected to the processing section 202. Although, in this example, the stations 204 and 206 are shown as an integrated part of the second system 200, they may be individual stations that are located separately. In this embodiment, the stations 204 and 206 may preferably be vertically stacked chambers including a lower process chamber (ECMD or CMP chamber) and a top rinsing and drying chamber. One such exemplary vertical chamber design and operation is disclosed in the co-pending U.S. Pat. No. 6,352,623, entitled “Vertically Configured Chamber Used for Multiple Processes,” filed Dec. 17, 1999, commonly owned by the assignee of the present invention. In operation, a wafer 214 or work piece to be plated may be picked up from a load/unload section (not shown) of the system by a robot 216 which is located in the buffer section 212. The wafer 214 can then be transferred to the ECMD station in the processing section 202 to initiate the process. The process stations 204 and 206 can be either adapted to process 200 or 300 millimeter wafers. The system 200 may also have an anneal chamber (not shown) to anneal substrates processed in ECMD chamber prior to or after the CMP process, or before and after the CMP process.
  • FIGS. 5A and 5B are schematic cross-sectional views exemplifying the process of the present invention to form a copper interconnect using the system shown in FIG. 4. In this embodiment a dual damascene structure will be formed in accordance with the principles of the present invention.
  • FIG. 5A shows a semiconductor substrate having a thin planar copper layer 222 formed in a first step of the present invention. In the ECMD station 204 shown in FIG. 4, the planar layer is electroplated into a via 224 and a trench 226 which are patterned and etched into an insulating layer 228. The insulating layer 228 has a top surface 229 and is formed on a semiconductor wafer 230. A barrier layer 232 coats the via 224, the trench 226 and the top surface 229 of insulating layer 228. In this embodiment, the thickness of a portion of the flat copper layer 222 that overlies the top surface 229 of the insulator 228 is less than or equal to 2000 Angstroms, preferably, less than 1000 Angstroms. Such thin and flat copper layer produced by the ECMD process advantageously eliminates the use of a conventional steps of removing overburden or the excess copper and the barrier layer from the surface of the substrate. The ECMD station 204 then rinses the substrate and sends to the CMP station 206 (see FIG. 4).
  • As shown in FIG. 5B, in the final step of the present invention, a CMP process is performed to polish away the excess flat copper layer and the barrier layer, in a single polishing step, that overlies barrier layer on the top surface 129 of the insulating layer 128. This step can be performed using a pad 234 with an abrasive slurry or an abrasive pad with non-abrasive slurry. The pad 234 removes the copper layer 222 and the barrier layer 232 down to the top surface 229 of the interconnect 228. Ultimately, a metallic interconnect is formed, thereby forming a complete dual damascene structure. A non-selective slurry may also be used in this step to remove a small thickness of the insulator or dielectric layer, thereby minimizing dishing effects.
  • It should be noted that although the present invention is described through the use of the ECMD process, it is also applicable to any planar deposition process that can yield thin layers.
  • Although, exemplary system comprising specific number of process modules have been illustrated and described above, it is understood that the above described systems may include more or less number of ECMD and CMP process modules depending upon throughput considerations. Further, in this application, the systems are shown schematically, thus, the process modules within the systems may be varied without changing the process results of the invention.
  • Although various preferred embodiments and the best mode have been described in detail above, those skilled in the art will readily appreciate that many modifications of the exemplary embodiment are possible without materially departing from the novel teachings and advantages of this invention.

Claims (20)

1. An integrated system for processing a workpiece having cavities formed in a substrate, wherein a top surface of the workpiece and the cavities are coated with a conducting film comprising a barrier layer, the system comprising:
an electroplating station configured to fill the cavities with conductive material and to form a planar layer of conductive material over the top surface of the workpiece;
a first chemical mechanical polishing station configured to remove the planar layer of conductive material until exposing the barrier layer on the top surface so that the conductive material remains in the cavities, separated from one another by exposed regions of the barrier layer on the top surface; and
a workpiece handling device configured to move workpieces between the stations.
2. The system of claim 1, further comprising a second chemical mechanical polishing station configured to remove the exposed regions of the barrier layer on the top surface while increasing the planarity of the conductive material remaining in the cavities.
3. The system of claim 2, wherein the second chemical mechanical polishing station comprises a non-abrasive polishing pad.
4. The system of claim 1, wherein the first chemical mechanical polishing station comprises a fixed abrasive polishing pad.
5. The system of claim 4, wherein the first chemical mechanical polishing station is configured to remove the planar layer using the fixed abrasive polishing pad without a slurry.
6. The system of claim 1, wherein the electroplating station is an electrochemical mechanical deposition (ECMD) station.
7. The system of claim 6, wherein the ECMD station further comprises a vertically stacked rinsing chamber.
8. The system of claim 1, further comprising an annealing station accessible by the workpiece handling device.
9. The system of claim 1, wherein the first chemical mechanical polishing station is configured to remove the barrier layer on the top surface after removing the planar layer of conductive material, so that the conductive material remains to fill the cavities.
10. The system of claim 9, wherein the electroplating station is configured to form the planar layer to a thickness less than about 2000 Å.
11. The system of claim 1, wherein the workpiece handling device is in a buffer station adjacent the electroplating station and the first chemical mechanical polishing station.
12. A system for processing a workpiece having cavities formed in a substrate, wherein a top surface of the workpiece and the cavities are coated with a conducting film comprising a barrier layer, the system comprising:
a planar conductor station configured to fill the cavities with conductive material and to form a planar layer of conductive material over the top surface of the workpiece;
a first chemical mechanical polishing station configured to remove the planar layer of conductive material until exposing the barrier layer on the top surface so that the conductive material remains filling the cavities; and
a second chemical mechanical polishing station configured to remove the barrier layer and leaving conductive material filling the cavities.
13. The system of claim 12, further comprising a workpiece handling device configured to move workpieces between the stations.
14. The system of claim 13, wherein the workpiece handling device is in a buffer station.
15. The system of claim 12, wherein the planar conductor station is an electrochemical mechanical deposition (ECMD) station.
16. The system of claim 12, wherein the planar conductor station is configured to form the planar layer to a thickness less than about ¾ D, wherein D is a depth of the cavities.
17. The system of claim 12, wherein at least one of the stations comprises a vertically stacked rinsing and drying chamber.
18. The system of claim 12, further comprising an annealing chamber configured to anneal the workpiece after forming the planar layer.
19. The system of claim 12, wherein the first chemical mechanical polishing station comprises a fixed abrasive polishing pad.
20. The system of claim 12, wherein the second chemical mechanical polishing station comprises a non-abrasive polishing pad.
US11/672,005 2001-01-05 2007-02-06 Fabrication of semiconductor interconnect structures Abandoned US20070128851A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/672,005 US20070128851A1 (en) 2001-01-05 2007-02-06 Fabrication of semiconductor interconnect structures

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US25967601P 2001-01-05 2001-01-05
US26126301P 2001-01-16 2001-01-16
US09/795,687 US6953392B2 (en) 2001-01-05 2001-02-27 Integrated system for processing semiconductor wafers
US32702501P 2001-10-03 2001-10-03
US36500102P 2002-03-13 2002-03-13
US10/264,726 US7172497B2 (en) 2001-01-05 2002-10-03 Fabrication of semiconductor interconnect structures
US11/672,005 US20070128851A1 (en) 2001-01-05 2007-02-06 Fabrication of semiconductor interconnect structures

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/264,726 Division US7172497B2 (en) 2001-01-05 2002-10-03 Fabrication of semiconductor interconnect structures

Publications (1)

Publication Number Publication Date
US20070128851A1 true US20070128851A1 (en) 2007-06-07

Family

ID=38119338

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/264,726 Expired - Lifetime US7172497B2 (en) 2001-01-05 2002-10-03 Fabrication of semiconductor interconnect structures
US11/672,005 Abandoned US20070128851A1 (en) 2001-01-05 2007-02-06 Fabrication of semiconductor interconnect structures

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/264,726 Expired - Lifetime US7172497B2 (en) 2001-01-05 2002-10-03 Fabrication of semiconductor interconnect structures

Country Status (1)

Country Link
US (2) US7172497B2 (en)

Families Citing this family (341)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100395767B1 (en) * 2001-09-13 2003-08-21 삼성전자주식회사 Ferroelectric memory device and method of forming the same
JP2004356117A (en) * 2003-05-26 2004-12-16 Ebara Corp Method and apparatus for processing substrate
US20050016861A1 (en) * 2003-07-24 2005-01-27 Thomas Laursen Method for planarizing a work piece
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US8076237B2 (en) * 2008-05-09 2011-12-13 Asm America, Inc. Method and apparatus for 3D interconnect
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8071452B2 (en) * 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8310328B2 (en) * 2010-10-07 2012-11-13 Touch Micro-System Technology Corp. Planar coil and method of making the same
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (en) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 Apparatus including a clean mini environment
JP7214724B2 (en) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. Storage device for storing wafer cassettes used in batch furnaces
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
KR20190129718A (en) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
JP2021529880A (en) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
JP2020136677A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic accumulation method for filing concave part formed inside front surface of base material, and device
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
JP2021097227A (en) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride layer and structure including vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (93)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US446864A (en) * 1891-02-24 Electric motor
US2965556A (en) * 1959-04-15 1960-12-20 Struers Chemiske Lab H Apparatus for the electro-mechanical polishing of surfaces
US3448023A (en) * 1966-01-20 1969-06-03 Hammond Machinery Builders Inc Belt type electro-chemical (or electrolytic) grinding machine
US3595089A (en) * 1969-09-23 1971-07-27 Frank J Jirik Rotary grain sampler device
US3637468A (en) * 1968-04-29 1972-01-25 Dalic Sa Electrodes for electrolytic processes
US3779887A (en) * 1972-03-14 1973-12-18 Sifco Ind Inc Vibratory applicator for electroplating solutions
US3959089A (en) * 1972-07-31 1976-05-25 Watts John Dawson Surface finishing and plating method
US4024029A (en) * 1974-10-17 1977-05-17 National Research Development Corporation Electrodeposition
US4110176A (en) * 1975-03-11 1978-08-29 Oxy Metal Industries Corporation Electrodeposition of copper
US4391684A (en) * 1980-07-17 1983-07-05 Rolls-Royce Limited Method of manufacture of an article having internal passages
US4431501A (en) * 1980-08-05 1984-02-14 Outokumpu Oy Apparatus for electrolytic polishing
US4610772A (en) * 1985-07-22 1986-09-09 The Carolinch Company Electrolytic plating apparatus
US5024735A (en) * 1989-02-15 1991-06-18 Kadija Igor V Method and apparatus for manufacturing interconnects with fine lines and spacing
US5171412A (en) * 1991-08-23 1992-12-15 Applied Materials, Inc. Material deposition method for integrated circuit manufacturing
US5429733A (en) * 1992-05-21 1995-07-04 Electroplating Engineers Of Japan, Ltd. Plating device for wafer
US5516412A (en) * 1995-05-16 1996-05-14 International Business Machines Corporation Vertical paddle plating cell
US5558568A (en) * 1994-10-11 1996-09-24 Ontrak Systems, Inc. Wafer polishing machine with fluid bearings
US5567300A (en) * 1994-09-02 1996-10-22 Ibm Corporation Electrochemical metal removal technique for planarization of surfaces
US5620578A (en) * 1994-12-08 1997-04-15 Sony Corporation Sputtering apparatus having an on board service module
US5679059A (en) * 1994-11-29 1997-10-21 Ebara Corporation Polishing aparatus and method
US5692947A (en) * 1994-08-09 1997-12-02 Ontrak Systems, Inc. Linear polisher and method for semiconductor wafer planarization
US5700366A (en) * 1996-03-20 1997-12-23 Metal Technology, Inc. Electrolytic process for cleaning and coating electrically conducting surfaces
US5755859A (en) * 1995-08-24 1998-05-26 International Business Machines Corporation Cobalt-tin alloys and their applications for devices, chip interconnections and packaging
US5807165A (en) * 1997-03-26 1998-09-15 International Business Machines Corporation Method of electrochemical mechanical planarization
US5821168A (en) * 1997-07-16 1998-10-13 Motorola, Inc. Process for forming a semiconductor device
US5826129A (en) * 1994-06-30 1998-10-20 Tokyo Electron Limited Substrate processing system
US5827110A (en) * 1994-12-28 1998-10-27 Kabushiki Kaisha Toshiba Polishing facility
US5830045A (en) * 1995-08-21 1998-11-03 Ebara Corporation Polishing apparatus
US5833820A (en) * 1997-06-19 1998-11-10 Advanced Micro Devices, Inc. Electroplating apparatus
US5863412A (en) * 1995-10-17 1999-01-26 Canon Kabushiki Kaisha Etching method and process for producing a semiconductor element using said etching method
US5862605A (en) * 1996-05-24 1999-01-26 Ebara Corporation Vaporizer apparatus
US5885138A (en) * 1993-09-21 1999-03-23 Ebara Corporation Method and apparatus for dry-in, dry-out polishing and washing of a semiconductor device
US5911619A (en) * 1997-03-26 1999-06-15 International Business Machines Corporation Apparatus for electrochemical mechanical planarization
US5930669A (en) * 1997-04-03 1999-07-27 International Business Machines Corporation Continuous highly conductive metal wiring structures and method for fabricating the same
US5933753A (en) * 1996-12-16 1999-08-03 International Business Machines Corporation Open-bottomed via liner structure and method for fabricating same
US5954072A (en) * 1997-01-24 1999-09-21 Tokyo Electron Limited Rotary processing apparatus
US5972110A (en) * 1996-09-06 1999-10-26 Tokyo Electron Limited Resist processing system
US6059637A (en) * 1997-12-15 2000-05-09 Lsi Logic Corporation Process for abrasive removal of copper from the back surface of a silicon substrate
US6074546A (en) * 1997-08-21 2000-06-13 Rodel Holdings, Inc. Method for photoelectrochemical polishing of silicon wafers
US6090239A (en) * 1998-02-20 2000-07-18 Lsi Logic Corporation Method of single step damascene process for deposition and global planarization
US6110011A (en) * 1997-11-10 2000-08-29 Applied Materials, Inc. Integrated electrodeposition and chemical-mechanical polishing tool
US6122566A (en) * 1998-03-03 2000-09-19 Applied Materials Inc. Method and apparatus for sequencing wafers in a multiple chamber, semiconductor wafer processing system
US6132289A (en) * 1998-03-31 2000-10-17 Lam Research Corporation Apparatus and method for film thickness measurement integrated into a wafer load/unload unit
US6136163A (en) * 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
US6143155A (en) * 1998-06-11 2000-11-07 Speedfam Ipec Corp. Method for simultaneous non-contact electrochemical plating and planarizing of semiconductor wafers using a bipiolar electrode assembly
US6176992B1 (en) * 1998-11-03 2001-01-23 Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
US6210554B1 (en) * 1997-04-28 2001-04-03 Mitsubishi Denki Kabushiki Kaisha Method of plating semiconductor wafer and plated semiconductor wafer
US6218306B1 (en) * 1998-04-22 2001-04-17 Applied Materials, Inc. Method of chemical mechanical polishing a metal layer
US6224737B1 (en) * 1999-08-19 2001-05-01 Taiwan Semiconductor Manufacturing Company Method for improvement of gap filling capability of electrochemical deposition of copper
US6224638B1 (en) * 1996-10-21 2001-05-01 Applied Materials, Inc. Method and apparatus for scheduling wafer processing within a multiple chamber semiconductor wafer processing tool having a multiple blade robot
US6245676B1 (en) * 1998-02-20 2001-06-12 Nec Corporation Method of electroplating copper interconnects
US6251759B1 (en) * 1998-10-03 2001-06-26 Applied Materials, Inc. Method and apparatus for depositing material upon a semiconductor wafer using a transition chamber of a multiple chamber semiconductor wafer processing system
US6251235B1 (en) * 1999-03-30 2001-06-26 Nutool, Inc. Apparatus for forming an electrical contact with a semiconductor substrate
US6270647B1 (en) * 1997-09-30 2001-08-07 Semitool, Inc. Electroplating system having auxiliary electrode exterior to main reactor chamber for contact cleaning operations
US6284121B1 (en) * 1997-10-08 2001-09-04 Novellus Systems, Inc. Electroplating system including additive for filling sub-micron features
US6303014B1 (en) * 1998-10-14 2001-10-16 Faraday Technology Marketing Group, Llc Electrodeposition of metals in small recesses using modulated electric fields
US6346479B1 (en) * 2000-06-14 2002-02-12 Advanced Micro Devices, Inc. Method of manufacturing a semiconductor device having copper interconnects
US6352623B1 (en) * 1999-12-17 2002-03-05 Nutool, Inc. Vertically configured chamber used for multiple processes
US6355153B1 (en) * 1999-09-17 2002-03-12 Nutool, Inc. Chip interconnect and packaging deposition methods and structures
US6354916B1 (en) * 2000-02-11 2002-03-12 Nu Tool Inc. Modified plating solution for plating and planarization and process utilizing same
US20020031985A1 (en) * 2000-07-28 2002-03-14 Applied Materials, Inc. Chemical mechanical polishing composition and process
US6368880B2 (en) * 1999-10-21 2002-04-09 Applied Materials, Inc. Barrier applications for aluminum planarization
US20020074238A1 (en) * 1998-10-26 2002-06-20 Mayer Steven T. Method and apparatus for uniform electropolishing of damascene ic structures by selective agitation
US6409904B1 (en) * 1998-12-01 2002-06-25 Nutool, Inc. Method and apparatus for depositing and controlling the texture of a thin film
US6409576B1 (en) * 1999-07-26 2002-06-25 Ebara Corporation Polishing apparatus
US6413869B1 (en) * 2000-11-06 2002-07-02 Advanced Micro Devices, Inc. Dielectric protected chemical-mechanical polishing in integrated circuit interconnects
US6436267B1 (en) * 2000-08-29 2002-08-20 Applied Materials, Inc. Method for achieving copper fill of high aspect ratio interconnect features
US6440295B1 (en) * 1998-07-09 2002-08-27 Acm Research, Inc. Method for electropolishing metal on semiconductor devices
US6451697B1 (en) * 2000-04-06 2002-09-17 Applied Materials, Inc. Method for abrasive-free metal CMP in passivation domain
US6461225B1 (en) * 2000-04-11 2002-10-08 Agere Systems Guardian Corp. Local area alloying for preventing dishing of copper during chemical-mechanical polishing (CMP)
US6468022B1 (en) * 2000-07-05 2002-10-22 Integrated Dynamics Engineering, Inc. Edge-gripping pre-aligner
US6482656B1 (en) * 2001-06-04 2002-11-19 Advanced Micro Devices, Inc. Method of electrochemical formation of high Tc superconducting damascene interconnect for integrated circuit
US6506103B1 (en) * 1999-07-23 2003-01-14 Riken ELID centerless grinding apparatus
US6534116B2 (en) * 2000-08-10 2003-03-18 Nutool, Inc. Plating method and apparatus that creates a differential between additive disposed on a top surface and a cavity surface of a workpiece using an external influence
US20030054729A1 (en) * 2000-08-30 2003-03-20 Whonchee Lee Methods and apparatus for electromechanically and/or electrochemically-mechanically removing conductive material from a microelectronic substrate
US6575816B2 (en) * 1999-03-08 2003-06-10 Speedfam-Ipec Corporation Dual purpose handoff station for workpiece polishing machine
US6578853B1 (en) * 2000-12-22 2003-06-17 Lam Research Corporation Chuck assembly for use in a spin, rinse, and dry module and methods for making and implementing the same
US6600229B2 (en) * 2001-01-23 2003-07-29 Honeywell International Inc. Planarizers for spin etch planarization of electronic components
US6610190B2 (en) * 2000-11-03 2003-08-26 Nutool, Inc. Method and apparatus for electrodeposition of uniform film with minimal edge exclusion on substrate
US6613200B2 (en) * 2001-01-26 2003-09-02 Applied Materials, Inc. Electro-chemical plating with reduced thickness and integration with chemical mechanical polisher into a single platform
US6629883B2 (en) * 2000-05-16 2003-10-07 Ebara Corporation Polishing apparatus
US6645550B1 (en) * 2000-06-22 2003-11-11 Applied Materials, Inc. Method of treating a substrate
US20030209445A1 (en) * 2000-03-17 2003-11-13 Homayoun Talieh Device providing electrical contact to the surface of a semiconductor workpiece during processing
US6653226B1 (en) * 2001-01-09 2003-11-25 Novellus Systems, Inc. Method for electrochemical planarization of metal surfaces
US20040007478A1 (en) * 1998-12-01 2004-01-15 Basol Bulent M. Electroetching system and process
US20040052930A1 (en) * 2000-04-27 2004-03-18 Bulent Basol Conductive structure fabrication process using novel layered structure and conductive structure fabricated thereby for use in multi-level metallization
US6736929B2 (en) * 2002-02-15 2004-05-18 Nutool, Inc. Distributed control system for semiconductor manufacturing equipment
US6848970B2 (en) * 2002-09-16 2005-02-01 Applied Materials, Inc. Process control in electrochemically assisted planarization
US6857838B2 (en) * 2002-03-25 2005-02-22 Tokyo Electron Limited Substrate processing system with positioning device and substrate positioning method
US6867136B2 (en) * 2001-07-20 2005-03-15 Nutool, Inc. Method for electrochemically processing a workpiece
US6902659B2 (en) * 1998-12-01 2005-06-07 Asm Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
US6936154B2 (en) * 2000-12-15 2005-08-30 Asm Nutool, Inc. Planarity detection methods and apparatus for electrochemical mechanical processing systems
US7349090B2 (en) * 2000-09-20 2008-03-25 Kla-Tencor Technologies Corp. Methods and systems for determining a property of a specimen prior to, during, or subsequent to lithography

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3296300B2 (en) 1998-08-07 2002-06-24 ウシオ電機株式会社 Light irradiation type heating device
JP3979750B2 (en) 1998-11-06 2007-09-19 株式会社荏原製作所 Substrate polishing equipment
US6656842B2 (en) * 1999-09-22 2003-12-02 Applied Materials, Inc. Barrier layer buffing after Cu CMP
US6599368B1 (en) 2000-10-05 2003-07-29 Applied Materials, Inc. System architecture of semiconductor manufacturing equipment

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US446864A (en) * 1891-02-24 Electric motor
US2965556A (en) * 1959-04-15 1960-12-20 Struers Chemiske Lab H Apparatus for the electro-mechanical polishing of surfaces
US3448023A (en) * 1966-01-20 1969-06-03 Hammond Machinery Builders Inc Belt type electro-chemical (or electrolytic) grinding machine
US3637468A (en) * 1968-04-29 1972-01-25 Dalic Sa Electrodes for electrolytic processes
US3595089A (en) * 1969-09-23 1971-07-27 Frank J Jirik Rotary grain sampler device
US3779887A (en) * 1972-03-14 1973-12-18 Sifco Ind Inc Vibratory applicator for electroplating solutions
US3959089A (en) * 1972-07-31 1976-05-25 Watts John Dawson Surface finishing and plating method
US4024029A (en) * 1974-10-17 1977-05-17 National Research Development Corporation Electrodeposition
US4110176A (en) * 1975-03-11 1978-08-29 Oxy Metal Industries Corporation Electrodeposition of copper
US4391684A (en) * 1980-07-17 1983-07-05 Rolls-Royce Limited Method of manufacture of an article having internal passages
US4431501A (en) * 1980-08-05 1984-02-14 Outokumpu Oy Apparatus for electrolytic polishing
US4610772A (en) * 1985-07-22 1986-09-09 The Carolinch Company Electrolytic plating apparatus
US5024735A (en) * 1989-02-15 1991-06-18 Kadija Igor V Method and apparatus for manufacturing interconnects with fine lines and spacing
US5171412A (en) * 1991-08-23 1992-12-15 Applied Materials, Inc. Material deposition method for integrated circuit manufacturing
US5429733A (en) * 1992-05-21 1995-07-04 Electroplating Engineers Of Japan, Ltd. Plating device for wafer
US5885138A (en) * 1993-09-21 1999-03-23 Ebara Corporation Method and apparatus for dry-in, dry-out polishing and washing of a semiconductor device
US5826129A (en) * 1994-06-30 1998-10-20 Tokyo Electron Limited Substrate processing system
US5692947A (en) * 1994-08-09 1997-12-02 Ontrak Systems, Inc. Linear polisher and method for semiconductor wafer planarization
US5567300A (en) * 1994-09-02 1996-10-22 Ibm Corporation Electrochemical metal removal technique for planarization of surfaces
US5558568A (en) * 1994-10-11 1996-09-24 Ontrak Systems, Inc. Wafer polishing machine with fluid bearings
US5679059A (en) * 1994-11-29 1997-10-21 Ebara Corporation Polishing aparatus and method
US5620578A (en) * 1994-12-08 1997-04-15 Sony Corporation Sputtering apparatus having an on board service module
US5827110A (en) * 1994-12-28 1998-10-27 Kabushiki Kaisha Toshiba Polishing facility
US5516412A (en) * 1995-05-16 1996-05-14 International Business Machines Corporation Vertical paddle plating cell
US5830045A (en) * 1995-08-21 1998-11-03 Ebara Corporation Polishing apparatus
US5755859A (en) * 1995-08-24 1998-05-26 International Business Machines Corporation Cobalt-tin alloys and their applications for devices, chip interconnections and packaging
US5863412A (en) * 1995-10-17 1999-01-26 Canon Kabushiki Kaisha Etching method and process for producing a semiconductor element using said etching method
US5700366A (en) * 1996-03-20 1997-12-23 Metal Technology, Inc. Electrolytic process for cleaning and coating electrically conducting surfaces
US5862605A (en) * 1996-05-24 1999-01-26 Ebara Corporation Vaporizer apparatus
US5972110A (en) * 1996-09-06 1999-10-26 Tokyo Electron Limited Resist processing system
US6224638B1 (en) * 1996-10-21 2001-05-01 Applied Materials, Inc. Method and apparatus for scheduling wafer processing within a multiple chamber semiconductor wafer processing tool having a multiple blade robot
US5933753A (en) * 1996-12-16 1999-08-03 International Business Machines Corporation Open-bottomed via liner structure and method for fabricating same
US5954072A (en) * 1997-01-24 1999-09-21 Tokyo Electron Limited Rotary processing apparatus
US5911619A (en) * 1997-03-26 1999-06-15 International Business Machines Corporation Apparatus for electrochemical mechanical planarization
US5807165A (en) * 1997-03-26 1998-09-15 International Business Machines Corporation Method of electrochemical mechanical planarization
US5930669A (en) * 1997-04-03 1999-07-27 International Business Machines Corporation Continuous highly conductive metal wiring structures and method for fabricating the same
US6210554B1 (en) * 1997-04-28 2001-04-03 Mitsubishi Denki Kabushiki Kaisha Method of plating semiconductor wafer and plated semiconductor wafer
US5833820A (en) * 1997-06-19 1998-11-10 Advanced Micro Devices, Inc. Electroplating apparatus
US5821168A (en) * 1997-07-16 1998-10-13 Motorola, Inc. Process for forming a semiconductor device
US6074546A (en) * 1997-08-21 2000-06-13 Rodel Holdings, Inc. Method for photoelectrochemical polishing of silicon wafers
US6270647B1 (en) * 1997-09-30 2001-08-07 Semitool, Inc. Electroplating system having auxiliary electrode exterior to main reactor chamber for contact cleaning operations
US6284121B1 (en) * 1997-10-08 2001-09-04 Novellus Systems, Inc. Electroplating system including additive for filling sub-micron features
US6110011A (en) * 1997-11-10 2000-08-29 Applied Materials, Inc. Integrated electrodeposition and chemical-mechanical polishing tool
US6059637A (en) * 1997-12-15 2000-05-09 Lsi Logic Corporation Process for abrasive removal of copper from the back surface of a silicon substrate
US6245676B1 (en) * 1998-02-20 2001-06-12 Nec Corporation Method of electroplating copper interconnects
US6090239A (en) * 1998-02-20 2000-07-18 Lsi Logic Corporation Method of single step damascene process for deposition and global planarization
US6122566A (en) * 1998-03-03 2000-09-19 Applied Materials Inc. Method and apparatus for sequencing wafers in a multiple chamber, semiconductor wafer processing system
US6132289A (en) * 1998-03-31 2000-10-17 Lam Research Corporation Apparatus and method for film thickness measurement integrated into a wafer load/unload unit
US6218306B1 (en) * 1998-04-22 2001-04-17 Applied Materials, Inc. Method of chemical mechanical polishing a metal layer
US6143155A (en) * 1998-06-11 2000-11-07 Speedfam Ipec Corp. Method for simultaneous non-contact electrochemical plating and planarizing of semiconductor wafers using a bipiolar electrode assembly
US6440295B1 (en) * 1998-07-09 2002-08-27 Acm Research, Inc. Method for electropolishing metal on semiconductor devices
US6251759B1 (en) * 1998-10-03 2001-06-26 Applied Materials, Inc. Method and apparatus for depositing material upon a semiconductor wafer using a transition chamber of a multiple chamber semiconductor wafer processing system
US6303014B1 (en) * 1998-10-14 2001-10-16 Faraday Technology Marketing Group, Llc Electrodeposition of metals in small recesses using modulated electric fields
US20020074238A1 (en) * 1998-10-26 2002-06-20 Mayer Steven T. Method and apparatus for uniform electropolishing of damascene ic structures by selective agitation
US6676822B1 (en) * 1998-11-03 2004-01-13 Nutool, Inc. Method for electro chemical mechanical deposition
US6176992B1 (en) * 1998-11-03 2001-01-23 Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
US6402925B2 (en) * 1998-11-03 2002-06-11 Nutool, Inc. Method and apparatus for electrochemical mechanical deposition
US6409904B1 (en) * 1998-12-01 2002-06-25 Nutool, Inc. Method and apparatus for depositing and controlling the texture of a thin film
US20040007478A1 (en) * 1998-12-01 2004-01-15 Basol Bulent M. Electroetching system and process
US6902659B2 (en) * 1998-12-01 2005-06-07 Asm Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
US20020153256A1 (en) * 1998-12-01 2002-10-24 Nutool, Inc. Method and apparatus for depositing and controlling the texture of a thin film
US6136163A (en) * 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
US6575816B2 (en) * 1999-03-08 2003-06-10 Speedfam-Ipec Corporation Dual purpose handoff station for workpiece polishing machine
US6958114B2 (en) * 1999-03-30 2005-10-25 Asm Nutool, Inc. Method and apparatus for forming an electrical contact with a semiconductor substrate
US6251235B1 (en) * 1999-03-30 2001-06-26 Nutool, Inc. Apparatus for forming an electrical contact with a semiconductor substrate
US6471847B2 (en) * 1999-03-30 2002-10-29 Nutool, Inc. Method for forming an electrical contact with a semiconductor substrate
US6506103B1 (en) * 1999-07-23 2003-01-14 Riken ELID centerless grinding apparatus
US6409576B1 (en) * 1999-07-26 2002-06-25 Ebara Corporation Polishing apparatus
US6224737B1 (en) * 1999-08-19 2001-05-01 Taiwan Semiconductor Manufacturing Company Method for improvement of gap filling capability of electrochemical deposition of copper
US6355153B1 (en) * 1999-09-17 2002-03-12 Nutool, Inc. Chip interconnect and packaging deposition methods and structures
US6368880B2 (en) * 1999-10-21 2002-04-09 Applied Materials, Inc. Barrier applications for aluminum planarization
US6352623B1 (en) * 1999-12-17 2002-03-05 Nutool, Inc. Vertically configured chamber used for multiple processes
US6354916B1 (en) * 2000-02-11 2002-03-12 Nu Tool Inc. Modified plating solution for plating and planarization and process utilizing same
US20030209445A1 (en) * 2000-03-17 2003-11-13 Homayoun Talieh Device providing electrical contact to the surface of a semiconductor workpiece during processing
US6451697B1 (en) * 2000-04-06 2002-09-17 Applied Materials, Inc. Method for abrasive-free metal CMP in passivation domain
US6461225B1 (en) * 2000-04-11 2002-10-08 Agere Systems Guardian Corp. Local area alloying for preventing dishing of copper during chemical-mechanical polishing (CMP)
US20040052930A1 (en) * 2000-04-27 2004-03-18 Bulent Basol Conductive structure fabrication process using novel layered structure and conductive structure fabricated thereby for use in multi-level metallization
US6629883B2 (en) * 2000-05-16 2003-10-07 Ebara Corporation Polishing apparatus
US6346479B1 (en) * 2000-06-14 2002-02-12 Advanced Micro Devices, Inc. Method of manufacturing a semiconductor device having copper interconnects
US6645550B1 (en) * 2000-06-22 2003-11-11 Applied Materials, Inc. Method of treating a substrate
US6468022B1 (en) * 2000-07-05 2002-10-22 Integrated Dynamics Engineering, Inc. Edge-gripping pre-aligner
US20020031985A1 (en) * 2000-07-28 2002-03-14 Applied Materials, Inc. Chemical mechanical polishing composition and process
US6534116B2 (en) * 2000-08-10 2003-03-18 Nutool, Inc. Plating method and apparatus that creates a differential between additive disposed on a top surface and a cavity surface of a workpiece using an external influence
US6436267B1 (en) * 2000-08-29 2002-08-20 Applied Materials, Inc. Method for achieving copper fill of high aspect ratio interconnect features
US20030054729A1 (en) * 2000-08-30 2003-03-20 Whonchee Lee Methods and apparatus for electromechanically and/or electrochemically-mechanically removing conductive material from a microelectronic substrate
US7349090B2 (en) * 2000-09-20 2008-03-25 Kla-Tencor Technologies Corp. Methods and systems for determining a property of a specimen prior to, during, or subsequent to lithography
US6942780B2 (en) * 2000-11-03 2005-09-13 Asm Nutool, Inc. Method and apparatus for processing a substrate with minimal edge exclusion
US6610190B2 (en) * 2000-11-03 2003-08-26 Nutool, Inc. Method and apparatus for electrodeposition of uniform film with minimal edge exclusion on substrate
US6413869B1 (en) * 2000-11-06 2002-07-02 Advanced Micro Devices, Inc. Dielectric protected chemical-mechanical polishing in integrated circuit interconnects
US6936154B2 (en) * 2000-12-15 2005-08-30 Asm Nutool, Inc. Planarity detection methods and apparatus for electrochemical mechanical processing systems
US6578853B1 (en) * 2000-12-22 2003-06-17 Lam Research Corporation Chuck assembly for use in a spin, rinse, and dry module and methods for making and implementing the same
US6653226B1 (en) * 2001-01-09 2003-11-25 Novellus Systems, Inc. Method for electrochemical planarization of metal surfaces
US6600229B2 (en) * 2001-01-23 2003-07-29 Honeywell International Inc. Planarizers for spin etch planarization of electronic components
US6613200B2 (en) * 2001-01-26 2003-09-02 Applied Materials, Inc. Electro-chemical plating with reduced thickness and integration with chemical mechanical polisher into a single platform
US6482656B1 (en) * 2001-06-04 2002-11-19 Advanced Micro Devices, Inc. Method of electrochemical formation of high Tc superconducting damascene interconnect for integrated circuit
US6867136B2 (en) * 2001-07-20 2005-03-15 Nutool, Inc. Method for electrochemically processing a workpiece
US6736929B2 (en) * 2002-02-15 2004-05-18 Nutool, Inc. Distributed control system for semiconductor manufacturing equipment
US6857838B2 (en) * 2002-03-25 2005-02-22 Tokyo Electron Limited Substrate processing system with positioning device and substrate positioning method
US6848970B2 (en) * 2002-09-16 2005-02-01 Applied Materials, Inc. Process control in electrochemically assisted planarization

Also Published As

Publication number Publication date
US7172497B2 (en) 2007-02-06
US20030032373A1 (en) 2003-02-13

Similar Documents

Publication Publication Date Title
US7172497B2 (en) Fabrication of semiconductor interconnect structures
US7129165B2 (en) Method and structure to improve reliability of copper interconnects
KR100822651B1 (en) Semiconductor production device and production method for semiconductor device
US20060057945A1 (en) Chemical mechanical polishing process
US7208404B2 (en) Method to reduce Rs pattern dependence effect
US7247558B2 (en) Method and system for electroprocessing conductive layers
CN109390305A (en) A kind of bonded wafer and preparation method thereof
US7204743B2 (en) Integrated circuit interconnect fabrication systems
US20040253809A1 (en) Forming a semiconductor structure using a combination of planarizing methods and electropolishing
CA2456225A1 (en) Forming a semiconductor structure using a combination of planarizing methods and electropolishing
US6642145B1 (en) Method of manufacturing an integrated circuit with a dielectric diffusion barrier layer formed between interconnects and interlayer dielectric layers
US20070202698A1 (en) Methods for fabricating one or more metal damascene structures in a semiconductor wafer
US6403466B1 (en) Post-CMP-Cu deposition and CMP to eliminate surface voids
US6071814A (en) Selective electroplating of copper for damascene process
US6518648B1 (en) Superconductor barrier layer for integrated circuit interconnects
US20070082479A1 (en) Chemical mechanical polishing techniques for integrated circuit fabrication
US6413869B1 (en) Dielectric protected chemical-mechanical polishing in integrated circuit interconnects
US6979903B1 (en) Integrated circuit with dielectric diffusion barrier layer formed between interconnects and interlayer dielectric layers
US20010051431A1 (en) Fabrication process for dishing-free cu damascene structures
US6403474B1 (en) Controlled anneal conductors for integrated circuit interconnects
US6462409B1 (en) Semiconductor wafer polishing apparatus
US7025661B2 (en) Chemical mechanical polishing process
US6699785B2 (en) Conductor abrasiveless chemical-mechanical polishing in integrated circuit interconnects
US6455413B1 (en) Pre-fill CMP and electroplating method for integrated circuits
EP1350266B1 (en) Conductor chemical-mechanical polishing in integrated circuit interconnects

Legal Events

Date Code Title Description
AS Assignment

Owner name: NOVELLUS SYSTEMS, INC.,CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:ASM NUTOOL, INC.;REEL/FRAME:019000/0080

Effective date: 20061204

Owner name: NOVELLUS SYSTEMS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:ASM NUTOOL, INC.;REEL/FRAME:019000/0080

Effective date: 20061204

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION