US20070128785A1 - Method and apparatus for fabricating cmos field effect transistors - Google Patents

Method and apparatus for fabricating cmos field effect transistors Download PDF

Info

Publication number
US20070128785A1
US20070128785A1 US11/671,113 US67111307A US2007128785A1 US 20070128785 A1 US20070128785 A1 US 20070128785A1 US 67111307 A US67111307 A US 67111307A US 2007128785 A1 US2007128785 A1 US 2007128785A1
Authority
US
United States
Prior art keywords
transistor
polysilicon
silicide
gate electrode
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/671,113
Inventor
Cyril Cabral
Meikei Ieong
Jakub Kedzierski
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US11/671,113 priority Critical patent/US20070128785A1/en
Publication of US20070128785A1 publication Critical patent/US20070128785A1/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28052Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a silicide layer formed by the silicidation reaction of silicon with a metal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28097Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a metallic silicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823835Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes silicided or salicided gate conductors

Definitions

  • the present invention generally relates to a method for fabricating devices on semiconductor substrates. More specifically, the present invention relates to a method of fabricating complementary metal oxide semiconductor (CMOS) field effect transistors on a semiconductor substrate.
  • CMOS complementary metal oxide semiconductor
  • CMOS complementary metal oxide semiconductor
  • a CMOS transistor comprises a gate structure disposed between source and drain regions that are formed in a semiconductor material.
  • the gate structure generally comprises a gate electrode and a gate dielectric.
  • the gate electrode is disposed over the gate dielectric and controls a flow of charge carriers in a channel region between the drain and source regions beneath the gate dielectric to turn the transistor on or off.
  • a lower gate capacitance negatively impacts the performance of the transistor.
  • high gate capacitance leads to a lower Vg-Vt (where Vg is the gate voltage and Vt is the threshold voltage) for the same number of on-state carriers and thus decreasing transistor power.
  • high gate capacitance also improves the scaling length of the device making it possible to build a smaller transistor, which has a faster switching speed.
  • gate structures may comprise silicide gate electrodes.
  • the polysilicon is converted to a silicide using a solid-state reaction with a metal or metallic alloy.
  • a silicide is an compound of Si and a metal.
  • materials are conventionally identified using their chemical formulas.
  • the silicide behaves like a metal and, as such, is able to eliminate the depletion effect.
  • the effective work function of the gate determines the threshold voltage of the transistor.
  • a CMOS device is composed of two types of transistors; an n-type field effect transistor (nFET) and a p-type field effect transistor (pFET) each having a different threshold voltage and thus different workfunction.
  • the workfunction of a metal is the energy necessary to remove an electron so that it is no longer bound to the metal (the energy difference between the vacuum level and Fermi level). For a CMOS device it is necessary to have dual metal gates with the appropriate workfunctions for each type of transistor.
  • the present invention discloses a method of fabricating complementary metal oxide semiconductor (CMOS) field effect transistors that comprises selective doping and silicidation of a polysilicon material of a gate electrode of the transistor.
  • the dopants include at least one of As, P, B, Sb, Bi, In, Tl, Al, Ga, Ge, Sn and N 2
  • the polysilicon prior to silicidation, is amorphized.
  • silicidation is performed at a low substrate temperature.
  • Another aspect of the invention is a CMOS field effect transistor formed using the inventive method.
  • FIG. 1 depicts a flow diagram of a method for fabricating a CMOS field effect transistor in accordance with one embodiment of the present invention
  • FIGS. 2A-2E depict a series of schematic, cross-sectional views of a substrate having the CMOS field effect transistor being fabricated in accordance with the method of FIG. 1 ;
  • FIG. 3 depicts an exemplary diagram illustrating a work function of silicide gate electrodes of CMOS field effect transistors fabricated using the method of FIG. 1 .
  • the present invention is a method for fabricating CMOS field effect transistors comprising silicide gate electrodes having a pre-determined and controlled work function.
  • the method may be used to manufacture PMOS and NMOS transistors in ultra-large-scale integrated (ULSI) semiconductor devices and circuits.
  • ULSI ultra-large-scale integrated
  • FIG. 1 depicts a flow diagram for one embodiment of the inventive method of fabricating CMOS field effect transistors as a method 100 .
  • the method 100 includes the processes that are performed upon a film stack of the transistor.
  • FIGS. 2A-2E depict schematic, cross-sectional views of a substrate showing the CMOS field effect transistor being fabricated using the method 100 .
  • the images in FIGS. 2A-2E are not depicted to scale and are simplified for illustrative purposes. To best understand the invention, the reader should simultaneously refer to FIGS. 1 and 2 A- 2 E.
  • a polysilicon layer 204 i.e., gate electrode layer
  • a gate dielectric layer 202 disposed on a substrate 200 , e.g., silicon-on-insulator (SOI) substrate ( FIG. 2A ).
  • the SOI substrate 200 conventionally comprises a buried oxide layer 201 sandwiched between a silicon-containing layer 203 and silicon (Si) wafer 205 (all shown in FIG. 2A only).
  • the silicon-containing layer 203 may be formed from at least one film of Si, SiGe, SiC, SiGeC, Si/SiGe, Si/SiC, Si/SiGeC, and the like.
  • the gate dielectric layer 202 generally comprises one or more films of undoped and doped oxides, such SiO 2 , SiON, Al 2 O 3 , ZrO 2 , HfO 2 , Ta 2 O 3 , TiO 2 , silicates, perovskite-type oxides and mixtures thereof, among other dielectric materials.
  • the polysilicon layer 204 and gate dielectric layer 202 are generally formed to a thickness of about 400 to 2000 and 10 to 100 Angstroms, respectively.
  • the layers 204 and 202 may be deposited using any conventional vacuum deposition technique (e.g., physical vapor deposition (PVD), chemical vapor deposition (CVD), plasma enhanced CVD (PECVD), atomic layer deposition (ALD), and the like).
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • PECVD plasma enhanced CVD
  • ALD atomic layer deposition
  • step 104 impurities (i.e., dopants) are embedded in the polysilicon layer 204 ( FIG. 2B ).
  • step 104 performs an ion implantation process that uses an ion beam 206 comprising a controlled amount of at least one of As, P, B, Sb, Bi, In, Tl, Al, Ga, Ge, Sn and N 2 .
  • the selectively controlled dose may be in a range between about 1 ⁇ 10 14 and 5 ⁇ 10 15 ions/cm 2 .
  • the dose is about (5-20) ⁇ 10 14 , (5-25) ⁇ 10 14 , (5-35) ⁇ 10 14 , and (5-40) ⁇ 10 14 ions/cm 2 , respectively.
  • P, As, Sb and Bi are used to dope the regions in the polysilicon layer 204 where NMOS transistors are being fabricated on the substrate 200 , while B and Ge is used to dope the regions where the PMOS transistors are being fabricated, respectively.
  • P, As, Sb and Bi facilitate conduction band control
  • B and Ge impurities provide valence band control in the silicided material of gate electrodes of the transistors, respectively.
  • lithographic masks may be used to expose selected regions in the polysilicon layer 204 to the ion beam 206 .
  • the impurities may be introduced using, e.g., a PVD or CVD process, either during step 102 or step 104 .
  • the polysilicon layer 204 is amorphized ( FIG. 2C ).
  • step 106 performs an ion implantation process that uses an ion beam 212 comprising a controlled amount of at least one of Si and Ge.
  • Amorphization of the polysilicon may favorably increase impurity segregation at the polysilicon/silicide interface (discussed below in reference to step 110 ) of the impurities that were implanted, at step 104 , in the layer 204 .
  • amorphization of the polysilicon layer 204 is not needed and, as such, step 106 is considered optional.
  • a gate structure 210 of the CMOS transistor being fabricated is formed on the substrate 200 .
  • FIG. 2D depicts the gate structure 210 that has been planarized using a chemical-mechanical polishing (CMP) process.
  • the gate structure 210 may be formed using known methods in the art, e.g., lithographic, reactive ion etching, deposition, annealing, CMP, and other processes which are conventionally used to fabricate CMOS transistors. Such processes are disclosed, for example, in commonly assigned U.S. patent application Ser. No. 10/300,165, filed on Nov. 20, 2002 (Attorney docket number YOR920020183US1), which is incorporated herein by reference.
  • the gate structure 210 comprises the gate dielectric layer 202 , the polysilicon layer 204 , raised source/drain (RSD) regions 216 , insulative spacers 218 and 220 , and a metallic layer 208 that is deposited upon the polysilicon layer 204 .
  • the metallic layer 208 may be formed from at least one of a metal and an alloy.
  • the metal may be at least one of Ni, Co, Pt, Ti, Pd, W, Mo, and Ta; and the alloy may comprise at least one of C, Al, Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Ge, Zr, Nb, Mo, Ru, Rh, Pd, Ag, In, Sn, Hf, Ta, W, Re, Ir, and Pt.
  • a self-aligned silicide process (salicide) is used to consume the poly-Si of the gate electrode in a reaction with the metal layer to form a silicide metal gate electrode.
  • the salicide process comprises the deposition of a blanket metal layer, a first low temperature anneal to form the first phase of the silicide, a selective wet etch to remove the non-reactive metal, and an optional second anneal at a higher temperature to form a lower resistance phase of the silicide (further discussed in step 110 in detail).
  • the RSD regions 216 may be grown using a selective Si epitaxy process, implanted with appropriate dopants, and then metallized to form a silicide contact.
  • the substrate 200 may be a Si wafer comprising drain and source regions of the CMOS transistor being formed thereon.
  • the polysilicon layer 204 is converted to a silicide, thereby forming a silicide gate electrode 214 ( FIG. 2E ).
  • the polysilicon layer 204 and metallic layer 208 are entirely consumed and replaced with the silicide.
  • a thickness of the metallic layer 208 may be selected such that silicide gate electrode 214 is composed of multiple silicide layers where the top most layer is a more metal rich silicide phase compared to the bottom layer.
  • Silicidation of the polysilicon layer 204 may be performed using, for example, an annealing process.
  • the annealing process is performed in atmosphere of at least one of an inert gas (e.g., He, Ar, and the like), N 2 , and forming gas (i.e., solution of about (3-10)% of H 2 in N 2 or Ar).
  • the annealing process for NiSi formation is performed at a pre-selected low substrate temperature (e.g., about 350 to 500 degrees Celsius) and for an extended duration of time, such as about 0.3 to 30 min.
  • the annealing process for CoSi 2 formation is performed at a pre-selected low substrate temperature (e.g., about 450 to 750 degrees Celsius) and for an extended duration of time, such as about 0.3 to 30 min.
  • the annealing process for PtSi and PdSi formation is performed at a pre-selected low substrate temperature (e.g., about 350 to 600 degrees Celsius) and for an extended duration of time, such as about 0.3 to 30 min.
  • Such an annealing process may favorably increase solubility and segregation of the impurities in the silicide gate electrode 214 being formed, as well as lower the stress in the gate dielectric layer 202 and increase adhesion between the layer 202 , electrode 214 , and substrate 200 .
  • the metallic layer 208 comprises Ni
  • the NiSi gate electrode is formed using the annealing process performed in atmosphere of N 2 , at 450 degrees Celsius, and for a duration of approximately 15 min.
  • the implanted impurities tend to segregate into a boundary region between the silicide and the remaining polysilicon and are “plowed” towards the gate dielectric layer 202 .
  • the impurities become fixed at the interface between the silicide and the dielectric layer 202 , thus changing the workfunction of the gate structure 210 , as well as electron mobility in the silicide gate electrode 214 .
  • the gate structure 210 comprises the silicide gate electrode 214 formed upon at least one monolayer of the implanted impurities that are disposed at an interface between the silicide gate electrode 214 and gate dielectric layer 202 . Segregation of the impurities within the gate structure may readily be observed using, e.g., conventional secondary ion mass spectroscopy (SIMS) methodology.
  • SIMS secondary ion mass spectroscopy
  • the workfunction and the peak electron mobility of the NiSi gate structure 210 were about ⁇ 250 eV closer to the conduction band in Si and 2-10% greater, respectively, than the corresponding workfunction and electron mobility of the gate structure having a NiSi gate metal electrode formed from undoped polysilicon.
  • the work function of the silicide electrode is defined by the amount (i.e., dose) and material of the impurity that, at step 104 , was implanted in the polysilicon layer 204 .
  • a change, or shift, in the value of work function of the silicide gate electrode 214 is proportional to concentration of the impurities that are embedded in the silicide (discussed below in reference to FIG. 3 ).
  • the work function of the silicide gate electrode 214 may selectively be modified to specific values, such as the ones that facilitate pre-determined threshold voltages and optimal operation of the PMOS and NMOS transistors.
  • a threshold voltage (about 0.4V) of the NiSi silicide gate electrode 214 was selectively modified by at least ⁇ 0.25V using controlled doping of the polysilicon layer 204 with As, as described above in reference to step 104 .
  • the corresponding maximum shiftranges for selectively controlled threshold voltages of the silicide gate electrode 214 doped with B, P, As and Sb were about 0.1V; 0.2V; 0.25 and 0.5V, respectively.
  • step 112 Upon completion of the annealing process, at step 112 , the method 100 ends.
  • FIG. 3 depicts an exemplary graph 300 that illustrates dependence of the work function of the silicide gate electrode 214 from an amount of the impurity in the gate electrode. Specifically, in FIG. 3 , a shift 302 (y-axis) in the work function of the gate electrode 214 is plotted versus a dose 304 of the impurity that, at step 104 of the method 100 (discussed in reference to FIG. 1 above), was implanted in the polysilicon layer 204 .
  • the shift 302 is expressed in the units of “eV” (electron-volt)
  • the dose 304 is expressed in the units of “ions/cm 2 ”
  • exemplary traces 305 - 308 relate the B, P, As and Sb impurities, respectively, in the NiSi gate electrode 214 .

Abstract

A method of fabricating complementary metal oxide semiconductor (CMOS) field effect transistors which includes selective doping and full silicidation of a polysilicon material comprising the gate electrode of the transistor. In one embodiment, prior to silicidation, the polysilicon is amorphized. In a further embodiment, siliciding is performed at a low substrate temperature.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • This application is a divisional application of U.S. patent application Ser. No. 10/669,898, filed Sep. 24, 2003, which is herein incorporated by reference in its entirety.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention generally relates to a method for fabricating devices on semiconductor substrates. More specifically, the present invention relates to a method of fabricating complementary metal oxide semiconductor (CMOS) field effect transistors on a semiconductor substrate.
  • 2. Description of the Related Art
  • Integrated circuits (ICs) may include more than one million complementary metal oxide semiconductor (CMOS) field effect transistors that are formed on a semiconductor substrate and are wired together into circuits to perform various functions within the IC. A CMOS transistor comprises a gate structure disposed between source and drain regions that are formed in a semiconductor material. The gate structure generally comprises a gate electrode and a gate dielectric. The gate electrode is disposed over the gate dielectric and controls a flow of charge carriers in a channel region between the drain and source regions beneath the gate dielectric to turn the transistor on or off.
  • In high-speed and high device density ICs, conventional gate structures having polysilicon gate electrodes are inefficient because of a free carrier depletion layer in the polysilicon. In an ON state of the CMOS transistor, the depletion layer increases the effective thickness of the gate dielectric and, correspondingly, lowers capacitance of the gate structure, thereby degrading operational performance of the transistor.
  • For example, a lower gate capacitance negatively impacts the performance of the transistor. Specifically, high gate capacitance leads to a lower Vg-Vt (where Vg is the gate voltage and Vt is the threshold voltage) for the same number of on-state carriers and thus decreasing transistor power. Additionally, high gate capacitance also improves the scaling length of the device making it possible to build a smaller transistor, which has a faster switching speed.
  • In advanced CMOS transistors, gate structures may comprise silicide gate electrodes. In such gate structures, the polysilicon is converted to a silicide using a solid-state reaction with a metal or metallic alloy. A silicide is an compound of Si and a metal. Herein, materials are conventionally identified using their chemical formulas. In the gate structure, the silicide behaves like a metal and, as such, is able to eliminate the depletion effect.
  • However, it is desirable to have control over the effective work function of the metal-gate. The effective work function of the gate determines the threshold voltage of the transistor. A CMOS device is composed of two types of transistors; an n-type field effect transistor (nFET) and a p-type field effect transistor (pFET) each having a different threshold voltage and thus different workfunction. The workfunction of a metal is the energy necessary to remove an electron so that it is no longer bound to the metal (the energy difference between the vacuum level and Fermi level). For a CMOS device it is necessary to have dual metal gates with the appropriate workfunctions for each type of transistor.
  • Therefore, there is a need in the art for an improved method for fabricating CMOS field effect transistors in the manufacture of integrated circuits and for an improved method for controlling the work function of the metal-gate of a transistor.
  • SUMMARY OF THE INVENTION
  • In one embodiment, the present invention discloses a method of fabricating complementary metal oxide semiconductor (CMOS) field effect transistors that comprises selective doping and silicidation of a polysilicon material of a gate electrode of the transistor. In one embodiment, the dopants include at least one of As, P, B, Sb, Bi, In, Tl, Al, Ga, Ge, Sn and N2 In a further embodiment, prior to silicidation, the polysilicon is amorphized. In yet another embodiment, silicidation is performed at a low substrate temperature.
  • Another aspect of the invention is a CMOS field effect transistor formed using the inventive method.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The teachings of the present invention can be readily understood by considering the following detailed description in conjunction with the accompanying drawings, in which:
  • FIG. 1 depicts a flow diagram of a method for fabricating a CMOS field effect transistor in accordance with one embodiment of the present invention;
  • FIGS. 2A-2E depict a series of schematic, cross-sectional views of a substrate having the CMOS field effect transistor being fabricated in accordance with the method of FIG. 1; and
  • FIG. 3 depicts an exemplary diagram illustrating a work function of silicide gate electrodes of CMOS field effect transistors fabricated using the method of FIG. 1.
  • To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures.
  • It is to be noted, however, that the appended drawings illustrate only exemplary embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • DETAILED DESCRIPTION
  • The present invention is a method for fabricating CMOS field effect transistors comprising silicide gate electrodes having a pre-determined and controlled work function. The method may be used to manufacture PMOS and NMOS transistors in ultra-large-scale integrated (ULSI) semiconductor devices and circuits.
  • FIG. 1 depicts a flow diagram for one embodiment of the inventive method of fabricating CMOS field effect transistors as a method 100. The method 100 includes the processes that are performed upon a film stack of the transistor.
  • FIGS. 2A-2E depict schematic, cross-sectional views of a substrate showing the CMOS field effect transistor being fabricated using the method 100. The images in FIGS. 2A-2E are not depicted to scale and are simplified for illustrative purposes. To best understand the invention, the reader should simultaneously refer to FIGS. 1 and 2A-2E.
  • The method 100 starts at step 101 and proceeds to step 102. At step 102, a polysilicon layer 204 (i.e., gate electrode layer) is formed upon a gate dielectric layer 202 disposed on a substrate 200, e.g., silicon-on-insulator (SOI) substrate (FIG. 2A). The SOI substrate 200 conventionally comprises a buried oxide layer 201 sandwiched between a silicon-containing layer 203 and silicon (Si) wafer 205 (all shown in FIG. 2A only). The silicon-containing layer 203 may be formed from at least one film of Si, SiGe, SiC, SiGeC, Si/SiGe, Si/SiC, Si/SiGeC, and the like. Such SOI substrates 200 are known to those skilled in the art. The gate dielectric layer 202 generally comprises one or more films of undoped and doped oxides, such SiO2, SiON, Al2O3, ZrO2, HfO2, Ta2O3, TiO2, silicates, perovskite-type oxides and mixtures thereof, among other dielectric materials. The polysilicon layer 204 and gate dielectric layer 202 are generally formed to a thickness of about 400 to 2000 and 10 to 100 Angstroms, respectively. The layers 204 and 202 may be deposited using any conventional vacuum deposition technique (e.g., physical vapor deposition (PVD), chemical vapor deposition (CVD), plasma enhanced CVD (PECVD), atomic layer deposition (ALD), and the like).
  • At step 104, impurities (i.e., dopants) are embedded in the polysilicon layer 204 (FIG. 2B). In one embodiment, step 104 performs an ion implantation process that uses an ion beam 206 comprising a controlled amount of at least one of As, P, B, Sb, Bi, In, Tl, Al, Ga, Ge, Sn and N2. In such an embodiment, the selectively controlled dose may be in a range between about 1×1014 and 5×1015 ions/cm2. Preferably, when the ion beam 206 comprises B, P As, and Sb, the dose is about (5-20)×1014, (5-25)×1014, (5-35)×1014, and (5-40)×1014 ions/cm2, respectively. In a further embodiment, P, As, Sb and Bi are used to dope the regions in the polysilicon layer 204 where NMOS transistors are being fabricated on the substrate 200, while B and Ge is used to dope the regions where the PMOS transistors are being fabricated, respectively. After silicidation of the polysilicon layer 204 (discussed below in reference to step 110), P, As, Sb and Bi facilitate conduction band control, while B and Ge impurities provide valence band control in the silicided material of gate electrodes of the transistors, respectively. In another embodiment, to obtain multiple work functions, lithographic masks may be used to expose selected regions in the polysilicon layer 204 to the ion beam 206. In an alternate embodiment, the impurities may be introduced using, e.g., a PVD or CVD process, either during step 102 or step 104.
  • At step 106, the polysilicon layer 204 is amorphized (FIG. 2C). In one exemplary embodiment, to amorphize the polysilicon, step 106 performs an ion implantation process that uses an ion beam 212 comprising a controlled amount of at least one of Si and Ge. Amorphization of the polysilicon may favorably increase impurity segregation at the polysilicon/silicide interface (discussed below in reference to step 110) of the impurities that were implanted, at step 104, in the layer 204. In some applications, amorphization of the polysilicon layer 204 is not needed and, as such, step 106 is considered optional.
  • At step 108, a gate structure 210 of the CMOS transistor being fabricated is formed on the substrate 200. FIG. 2D depicts the gate structure 210 that has been planarized using a chemical-mechanical polishing (CMP) process. The gate structure 210 may be formed using known methods in the art, e.g., lithographic, reactive ion etching, deposition, annealing, CMP, and other processes which are conventionally used to fabricate CMOS transistors. Such processes are disclosed, for example, in commonly assigned U.S. patent application Ser. No. 10/300,165, filed on Nov. 20, 2002 (Attorney docket number YOR920020183US1), which is incorporated herein by reference.
  • In one exemplary embodiment, the gate structure 210 comprises the gate dielectric layer 202, the polysilicon layer 204, raised source/drain (RSD) regions 216, insulative spacers 218 and 220, and a metallic layer 208 that is deposited upon the polysilicon layer 204. The metallic layer 208 may be formed from at least one of a metal and an alloy. The metal may be at least one of Ni, Co, Pt, Ti, Pd, W, Mo, and Ta; and the alloy may comprise at least one of C, Al, Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Ge, Zr, Nb, Mo, Ru, Rh, Pd, Ag, In, Sn, Hf, Ta, W, Re, Ir, and Pt. A self-aligned silicide process (salicide) is used to consume the poly-Si of the gate electrode in a reaction with the metal layer to form a silicide metal gate electrode. The salicide process comprises the deposition of a blanket metal layer, a first low temperature anneal to form the first phase of the silicide, a selective wet etch to remove the non-reactive metal, and an optional second anneal at a higher temperature to form a lower resistance phase of the silicide (further discussed in step 110 in detail). In a further embodiment, the RSD regions 216 may be grown using a selective Si epitaxy process, implanted with appropriate dopants, and then metallized to form a silicide contact. In an alternate embodiment, the substrate 200 may be a Si wafer comprising drain and source regions of the CMOS transistor being formed thereon.
  • At step 110, the polysilicon layer 204 is converted to a silicide, thereby forming a silicide gate electrode 214 (FIG. 2E). In the depicted embodiment, during step 110, the polysilicon layer 204 and metallic layer 208 are entirely consumed and replaced with the silicide. In an alternate embodiment (not shown), a thickness of the metallic layer 208 may be selected such that silicide gate electrode 214 is composed of multiple silicide layers where the top most layer is a more metal rich silicide phase compared to the bottom layer.
  • Silicidation of the polysilicon layer 204 may be performed using, for example, an annealing process. In one exemplary embodiment, the annealing process is performed in atmosphere of at least one of an inert gas (e.g., He, Ar, and the like), N2, and forming gas (i.e., solution of about (3-10)% of H2 in N2 or Ar). In a further embodiment, the annealing process for NiSi formation is performed at a pre-selected low substrate temperature (e.g., about 350 to 500 degrees Celsius) and for an extended duration of time, such as about 0.3 to 30 min. In an additional embodiment, the annealing process for CoSi2 formation is performed at a pre-selected low substrate temperature (e.g., about 450 to 750 degrees Celsius) and for an extended duration of time, such as about 0.3 to 30 min. In another embodiment, the annealing process for PtSi and PdSi formation is performed at a pre-selected low substrate temperature (e.g., about 350 to 600 degrees Celsius) and for an extended duration of time, such as about 0.3 to 30 min. Such an annealing process may favorably increase solubility and segregation of the impurities in the silicide gate electrode 214 being formed, as well as lower the stress in the gate dielectric layer 202 and increase adhesion between the layer 202, electrode 214, and substrate 200. In one specific preferred embodiment, when the metallic layer 208 comprises Ni, the NiSi gate electrode is formed using the annealing process performed in atmosphere of N2, at 450 degrees Celsius, and for a duration of approximately 15 min.
  • As the silicide forms, the implanted impurities tend to segregate into a boundary region between the silicide and the remaining polysilicon and are “plowed” towards the gate dielectric layer 202. When the silicide front reaches the gate dielectric layer 202, the impurities become fixed at the interface between the silicide and the dielectric layer 202, thus changing the workfunction of the gate structure 210, as well as electron mobility in the silicide gate electrode 214.
  • After the annealing process, the gate structure 210 comprises the silicide gate electrode 214 formed upon at least one monolayer of the implanted impurities that are disposed at an interface between the silicide gate electrode 214 and gate dielectric layer 202. Segregation of the impurities within the gate structure may readily be observed using, e.g., conventional secondary ion mass spectroscopy (SIMS) methodology.
  • In one exemplary embodiment, when the impurity was As, the workfunction and the peak electron mobility of the NiSi gate structure 210 were about −250 eV closer to the conduction band in Si and 2-10% greater, respectively, than the corresponding workfunction and electron mobility of the gate structure having a NiSi gate metal electrode formed from undoped polysilicon.
  • The work function of the silicide electrode is defined by the amount (i.e., dose) and material of the impurity that, at step 104, was implanted in the polysilicon layer 204. In general terms, a change, or shift, in the value of work function of the silicide gate electrode 214 is proportional to concentration of the impurities that are embedded in the silicide (discussed below in reference to FIG. 3). Using a controlled dose and material of the impurity, at step 104, the work function of the silicide gate electrode 214 may selectively be modified to specific values, such as the ones that facilitate pre-determined threshold voltages and optimal operation of the PMOS and NMOS transistors. In one exemplary embodiment, a threshold voltage (about 0.4V) of the NiSi silicide gate electrode 214 was selectively modified by at least −0.25V using controlled doping of the polysilicon layer 204 with As, as described above in reference to step 104. The corresponding maximum shiftranges for selectively controlled threshold voltages of the silicide gate electrode 214 doped with B, P, As and Sb were about 0.1V; 0.2V; 0.25 and 0.5V, respectively.
  • Upon completion of the annealing process, at step 112, the method 100 ends.
  • FIG. 3 depicts an exemplary graph 300 that illustrates dependence of the work function of the silicide gate electrode 214 from an amount of the impurity in the gate electrode. Specifically, in FIG. 3, a shift 302 (y-axis) in the work function of the gate electrode 214 is plotted versus a dose 304 of the impurity that, at step 104 of the method 100 (discussed in reference to FIG. 1 above), was implanted in the polysilicon layer 204. Herein, the shift 302 is expressed in the units of “eV” (electron-volt), the dose 304 is expressed in the units of “ions/cm2”, and exemplary traces 305-308 relate the B, P, As and Sb impurities, respectively, in the NiSi gate electrode 214.
  • While the foregoing is directed to the illustrative embodiment of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (15)

1. A complementary metal oxide semiconductor (CMOS) field effect transistor formed on a substrate using a method, comprising:
(a) providing a substrate;
(b) providing on said substrate a polysilicon layer formed upon a gate dielectric layer of a gate structure of the transistor;
(c) doping the polysilicon layer using at least one dopant;
(d) forming a polysilicon gate electrode of the gate structure;
(e) depositing on the polysilicon gate electrode at least one of a metal and an alloy; and
(d) siliciding the polysilicon gate electrode to form a silicide adjacent to said gate dielectric layer.
2. The transistor of claim 1, wherein the doping step (c) is performed after the forming step (d).
3. The transistor of claim 1, wherein the at least one dopant comprises at least one of As, P, B, Sb, Bi, In, Tl, Al, Ga, Ge, Sn and N2.
4. The transistor of claim 1, wherein the doping step (c) dopes the polysilicon layer using only Sb.
5. The transistor of claim 1, wherein the doping step (c) dopes the polysilicon layer using an ion implantation process.
6. The transistor of claim 5, wherein the doping step (c) dopes the polysilicon layer using a pre-determined dose in a range from about 1×1014 to 4×1015 ions/cm2.
7. The transistor of claim 1, wherein the forming step (d) further comprises the step of:
amorphizing the polysilicon gate electrode.
8. The transistor of claim 7, wherein said amorphizing step comprises the step of:
performing an ion implantation process using at least one of Si and Ge.
9. The transistor of claim 1, wherein said at least one of the metal comprises at least one of Ni, Co, Pt, Ti, Pd, W, Mo, and Ta.
10. The transistor of claim 1, wherein said at least one of the metal comprises Ni.
11. The transistor of claim 1, wherein said at least one of the metal comprises Co.
12. The transistor of claim 1, wherein said at least one of the alloy comprises at least one of C, Al, Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Ge, Zr, Nb, Mo, Ru, Rh, Pd, Ag, In, Sn, Hf, Ta, W, Re, Ir, and Pt.
13. The transistor of claim 1, wherein said siliciding step employs an annealing process.
14. The transistor of claim 13, wherein the annealing process is performed at a substrate temperature of about 350 to 750 degrees Celsius for a duration of about 0.3 to 30 min.
15. The transistor of claim 13, wherein the annealing process forms at least one monolayer of the at least one dopant at an interface between the gate dielectric layer and the silicide to control work function and electron mobility in the silicide.
US11/671,113 2003-09-24 2007-02-05 Method and apparatus for fabricating cmos field effect transistors Abandoned US20070128785A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/671,113 US20070128785A1 (en) 2003-09-24 2007-02-05 Method and apparatus for fabricating cmos field effect transistors

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/669,898 US7183182B2 (en) 2003-09-24 2003-09-24 Method and apparatus for fabricating CMOS field effect transistors
US11/671,113 US20070128785A1 (en) 2003-09-24 2007-02-05 Method and apparatus for fabricating cmos field effect transistors

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/669,898 Division US7183182B2 (en) 2003-09-24 2003-09-24 Method and apparatus for fabricating CMOS field effect transistors

Publications (1)

Publication Number Publication Date
US20070128785A1 true US20070128785A1 (en) 2007-06-07

Family

ID=34313783

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/669,898 Expired - Fee Related US7183182B2 (en) 2003-09-24 2003-09-24 Method and apparatus for fabricating CMOS field effect transistors
US11/671,113 Abandoned US20070128785A1 (en) 2003-09-24 2007-02-05 Method and apparatus for fabricating cmos field effect transistors

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/669,898 Expired - Fee Related US7183182B2 (en) 2003-09-24 2003-09-24 Method and apparatus for fabricating CMOS field effect transistors

Country Status (9)

Country Link
US (2) US7183182B2 (en)
EP (1) EP1668695B1 (en)
JP (1) JP2007534148A (en)
KR (1) KR100818898B1 (en)
CN (1) CN100419999C (en)
AT (1) ATE465516T1 (en)
DE (1) DE602004026753D1 (en)
TW (1) TWI334632B (en)
WO (1) WO2005029579A1 (en)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040036129A1 (en) * 2002-08-22 2004-02-26 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
KR100935988B1 (en) * 2003-12-08 2010-01-08 인터내셔널 비지네스 머신즈 코포레이션 Semiconductor memory device with increased node capacitance
US7348265B2 (en) * 2004-03-01 2008-03-25 Texas Instruments Incorporated Semiconductor device having a silicided gate electrode and method of manufacture therefor
JP4116990B2 (en) * 2004-09-28 2008-07-09 富士通株式会社 Field effect transistor and manufacturing method thereof
JP2006114681A (en) * 2004-10-14 2006-04-27 Nec Electronics Corp Semiconductor device and its manufacturing method
JP2006120718A (en) * 2004-10-19 2006-05-11 Toshiba Corp Semiconductor device and manufacturing method therefor
US7611943B2 (en) * 2004-10-20 2009-11-03 Texas Instruments Incorporated Transistors, integrated circuits, systems, and processes of manufacture with improved work function modulation
JP2006324628A (en) * 2005-05-16 2006-11-30 Interuniv Micro Electronica Centrum Vzw Method of forming dual fully silicided gate and device obtained by the method
EP1724818A3 (en) * 2005-05-16 2007-12-26 Interuniversitair Microelektronica Centrum ( Imec) Method for forming fully silicided gates and devices obtained thereby
US7473637B2 (en) 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
US7151023B1 (en) * 2005-08-01 2006-12-19 International Business Machines Corporation Metal gate MOSFET by full semiconductor metal alloy conversion
US20100219478A1 (en) * 2005-12-26 2010-09-02 Nec Corporation Mosfet, method of fabricating the same, cmosfet, and method of fabricating the same
US20070164367A1 (en) * 2006-01-18 2007-07-19 Micron Technology, Inc. CMOS gates with solid-solution alloy tunable work functions
US20070164323A1 (en) * 2006-01-18 2007-07-19 Micron Technology, Inc. CMOS gates with intermetallic compound tunable work functions
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7859059B2 (en) * 2006-07-25 2010-12-28 Nec Corporation Semiconductor device and method for manufacturing same
US20080206973A1 (en) * 2007-02-26 2008-08-28 Texas Instrument Inc. Process method to optimize fully silicided gate (FUSI) thru PAI implant
US7547596B2 (en) * 2007-08-01 2009-06-16 Texas Instruments Incorporated Method of enhancing drive current in a transistor
JP2009224509A (en) * 2008-03-14 2009-10-01 Panasonic Corp Semiconductor device and manufacturing method thereof
CN101894749B (en) * 2009-05-20 2013-03-20 中芯国际集成电路制造(北京)有限公司 Gate doping method of semiconductor device
CN106033718A (en) * 2015-03-15 2016-10-19 中国科学院微电子研究所 Formation method for metal silicide

Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5593924A (en) * 1995-06-02 1997-01-14 Texas Instruments Incorporated Use of a capping layer to attain low titanium-silicide sheet resistance and uniform silicide thickness for sub-micron silicon and polysilicon lines
US6087235A (en) * 1999-10-14 2000-07-11 Advanced Micro Devices, Inc. Method for effective fabrication of a field effect transistor with elevated drain and source contact structures
US6100173A (en) * 1998-07-15 2000-08-08 Advanced Micro Devices, Inc. Forming a self-aligned silicide gate conductor to a greater thickness than junction silicide structures using a dual-salicidation process
US6365476B1 (en) * 2000-10-27 2002-04-02 Ultratech Stepper, Inc. Laser thermal process for fabricating field-effect transistors
US6365446B1 (en) * 2000-07-03 2002-04-02 Chartered Semiconductor Manufacturing Ltd. Formation of silicided ultra-shallow junctions using implant through metal technology and laser annealing process
US20020053711A1 (en) * 1997-06-30 2002-05-09 Chau Robert S. Device structure and method for reducing silicide encroachment
US20020056874A1 (en) * 1999-12-28 2002-05-16 Fumio Ohtake Semiconductor device and method for fabricating the same
US6451701B1 (en) * 2001-11-14 2002-09-17 Taiwan Semiconductor Manufacturing Company Method for making low-resistance silicide contacts between closely spaced electrically conducting lines for field effect transistors
US6458678B1 (en) * 2000-07-25 2002-10-01 Advanced Micro Devices, Inc. Transistor formed using a dual metal process for gate and source/drain region
US6518113B1 (en) * 2001-02-06 2003-02-11 Advanced Micro Devices, Inc. Doping of thin amorphous silicon work function control layers of MOS gate electrodes
US6534837B1 (en) * 1998-09-18 2003-03-18 Intel Corporation Semiconductor device
US6544829B1 (en) * 2002-09-20 2003-04-08 Lsi Logic Corporation Polysilicon gate salicidation
US20030092249A1 (en) * 2001-11-09 2003-05-15 Chia-Fu Hsu Lightly-insitu-doped amorphous silicon applied in DRAM gates
US20030096491A1 (en) * 2001-11-20 2003-05-22 Kazuya Hizawa Method for fabricating a semiconductor device having a metallic silicide layer
US20030096471A1 (en) * 2001-11-19 2003-05-22 Infineon Technologies North America Corp. Formation of dual work function gate electrode
US6599831B1 (en) * 2002-04-30 2003-07-29 Advanced Micro Devices, Inc. Metal gate electrode using silicidation and method of formation thereof
US6653700B2 (en) * 2001-06-29 2003-11-25 Intel Corporation Transistor structure and method of fabrication
US6777317B2 (en) * 2001-08-29 2004-08-17 Ultratech Stepper, Inc. Method for semiconductor gate doping
US6998303B2 (en) * 2002-08-08 2006-02-14 Fujitsu Limited Manufacture method for semiconductor device with patterned film of ZrO2 or the like

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6165470A (en) * 1984-09-07 1986-04-04 Hitachi Ltd Semiconductor ic device
US5624869A (en) * 1994-04-13 1997-04-29 International Business Machines Corporation Method of forming a film for a multilayer Semiconductor device for improving thermal stability of cobalt silicide using platinum or nitrogen
JP2570179B2 (en) * 1994-05-26 1997-01-08 日本電気株式会社 Method for manufacturing semiconductor integrated circuit device
US6297135B1 (en) * 1997-01-29 2001-10-02 Ultratech Stepper, Inc. Method for forming silicide regions on an integrated device
JP2000031478A (en) * 1998-07-13 2000-01-28 Ricoh Co Ltd Semiconductor device and manufacture thereof
US6291282B1 (en) * 1999-02-26 2001-09-18 Texas Instruments Incorporated Method of forming dual metal gate structures or CMOS devices

Patent Citations (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5593924A (en) * 1995-06-02 1997-01-14 Texas Instruments Incorporated Use of a capping layer to attain low titanium-silicide sheet resistance and uniform silicide thickness for sub-micron silicon and polysilicon lines
US20020053711A1 (en) * 1997-06-30 2002-05-09 Chau Robert S. Device structure and method for reducing silicide encroachment
US6100173A (en) * 1998-07-15 2000-08-08 Advanced Micro Devices, Inc. Forming a self-aligned silicide gate conductor to a greater thickness than junction silicide structures using a dual-salicidation process
US6534837B1 (en) * 1998-09-18 2003-03-18 Intel Corporation Semiconductor device
US6087235A (en) * 1999-10-14 2000-07-11 Advanced Micro Devices, Inc. Method for effective fabrication of a field effect transistor with elevated drain and source contact structures
US20020056874A1 (en) * 1999-12-28 2002-05-16 Fumio Ohtake Semiconductor device and method for fabricating the same
US6365446B1 (en) * 2000-07-03 2002-04-02 Chartered Semiconductor Manufacturing Ltd. Formation of silicided ultra-shallow junctions using implant through metal technology and laser annealing process
US6624489B2 (en) * 2000-07-03 2003-09-23 Chartered Semiconductor Manufacturing Ltd. Formation of silicided shallow junctions using implant through metal technology and laser annealing process
US6458678B1 (en) * 2000-07-25 2002-10-01 Advanced Micro Devices, Inc. Transistor formed using a dual metal process for gate and source/drain region
US6365476B1 (en) * 2000-10-27 2002-04-02 Ultratech Stepper, Inc. Laser thermal process for fabricating field-effect transistors
US6518113B1 (en) * 2001-02-06 2003-02-11 Advanced Micro Devices, Inc. Doping of thin amorphous silicon work function control layers of MOS gate electrodes
US6653700B2 (en) * 2001-06-29 2003-11-25 Intel Corporation Transistor structure and method of fabrication
US6777317B2 (en) * 2001-08-29 2004-08-17 Ultratech Stepper, Inc. Method for semiconductor gate doping
US20030092249A1 (en) * 2001-11-09 2003-05-15 Chia-Fu Hsu Lightly-insitu-doped amorphous silicon applied in DRAM gates
US6451701B1 (en) * 2001-11-14 2002-09-17 Taiwan Semiconductor Manufacturing Company Method for making low-resistance silicide contacts between closely spaced electrically conducting lines for field effect transistors
US20030096471A1 (en) * 2001-11-19 2003-05-22 Infineon Technologies North America Corp. Formation of dual work function gate electrode
US20030096491A1 (en) * 2001-11-20 2003-05-22 Kazuya Hizawa Method for fabricating a semiconductor device having a metallic silicide layer
US6599831B1 (en) * 2002-04-30 2003-07-29 Advanced Micro Devices, Inc. Metal gate electrode using silicidation and method of formation thereof
US6998303B2 (en) * 2002-08-08 2006-02-14 Fujitsu Limited Manufacture method for semiconductor device with patterned film of ZrO2 or the like
US6544829B1 (en) * 2002-09-20 2003-04-08 Lsi Logic Corporation Polysilicon gate salicidation

Also Published As

Publication number Publication date
ATE465516T1 (en) 2010-05-15
US7183182B2 (en) 2007-02-27
TW200512882A (en) 2005-04-01
WO2005029579A1 (en) 2005-03-31
TWI334632B (en) 2010-12-11
KR100818898B1 (en) 2008-04-04
EP1668695B1 (en) 2010-04-21
KR20060060723A (en) 2006-06-05
JP2007534148A (en) 2007-11-22
CN1853266A (en) 2006-10-25
DE602004026753D1 (en) 2010-06-02
CN100419999C (en) 2008-09-17
EP1668695A1 (en) 2006-06-14
US20050064636A1 (en) 2005-03-24

Similar Documents

Publication Publication Date Title
US20070128785A1 (en) Method and apparatus for fabricating cmos field effect transistors
US7151023B1 (en) Metal gate MOSFET by full semiconductor metal alloy conversion
US8836038B2 (en) CMOS dual metal gate semiconductor device
US7045456B2 (en) MOS transistor gates with thin lower metal silicide and methods for making the same
US7528024B2 (en) Dual work function metal gate integration in semiconductor devices
US7645687B2 (en) Method to fabricate variable work function gates for FUSI devices
US8159035B2 (en) Metal gates of PMOS devices having high work functions
US20060263961A1 (en) Method for Forming Dual Fully Silicided Gates and Devices with Dual Fully Silicided Gates
US20070296052A1 (en) Methods of forming silicide regions and resulting MOS devices
US20080136030A1 (en) Semiconductor device comprising a doped metal comprising main electrode
US7078278B2 (en) Dual-metal CMOS transistors with tunable gate electrode work function and method of making the same
US20140210011A1 (en) Dual Silicide Process
US8575014B2 (en) Semiconductor device fabricated using a metal microstructure control process
JP2011009712A (en) Semiconductor device and method for manufacturing the same
US8026554B2 (en) Semiconductor device and manufacturing method thereof
US20080135945A1 (en) Semiconductor device having a silicided gate electrode and method of manufacture therefor
EP1724828B1 (en) Method for forming dual fully silicided gates and devices obtained thereby
US7101776B2 (en) Method of fabricating MOS transistor using total gate silicidation process
JP5386271B2 (en) Semiconductor device and manufacturing method of semiconductor device
US20060273410A1 (en) Thermally stable fully silicided Hf silicide metal gate electrode
JPWO2009084376A1 (en) Semiconductor device and manufacturing method thereof
US20120139014A1 (en) Structure and method for low temperature gate stack for advanced substrates

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910