US20070085213A1 - Selective electroless-plated copper metallization - Google Patents

Selective electroless-plated copper metallization Download PDF

Info

Publication number
US20070085213A1
US20070085213A1 US11/639,020 US63902006A US2007085213A1 US 20070085213 A1 US20070085213 A1 US 20070085213A1 US 63902006 A US63902006 A US 63902006A US 2007085213 A1 US2007085213 A1 US 2007085213A1
Authority
US
United States
Prior art keywords
copper
layer
integrated circuit
diffusion barrier
metallic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/639,020
Inventor
Kie Ahn
Leonard Forbes
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Priority to US11/639,020 priority Critical patent/US20070085213A1/en
Publication of US20070085213A1 publication Critical patent/US20070085213A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • H01L21/76852Barrier, adhesion or liner layers the layer covering a conductive structure the layer also covering the sidewalls of the conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76874Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/4821Bridge structure with air gap
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present invention relates generally to integrated circuits. More particularly, it pertains to structures and methods for selective electroless-plated copper metallization.
  • the interconnect systems are gradually migrating from aluminum-based metallurgy to higher-conductivity and more electromigration-resistant copper.
  • the most promising method appears to be the Damascene process. Using this method, the trenches and vias are patterned in blanket dielectrics, and then metal is deposited into the trenches and holes in one step, followed by chemical mechanical polishing (CMP) to remove the unwanted surface metal. This leaves the desired metal in the trenches and holes, and a planarized surface for subsequent metallization.
  • CMP chemical mechanical polishing
  • Electroless deposition of copper is used in printed circuit boards to manufacture copper lines and through holes where the line and hole dimensions are in the several tens to hundreds of microns. The is, of course, much larger than the sub-micron design rules for integrated circuit fabrication on silicon wafers.
  • Palladium (Pd) is often used as the activated base metal for electroless copper plating.
  • Several different groups have shown the success of the same. For example, an article published by Bhansali and D. K. Sood, entitled, “A novel technique for fabrication of metallic structure on polyimide by selective electroless copper plating using ion implantation,” Thin Solid Films, vol. 270, p.
  • Yeh et al exposed polycrystalline silicon structures to a palladium solution that selectively activated the polysilicon structure, but not the silicon oxide or nitride layers.
  • a copper plating solution at a temperature between 55 and 80° C.
  • the copper nuclei were initially formed on the Pd+ activated polysilicon surface. After the formation of a thin-layer copper, copper started to deposit on this thin initiated copper film.
  • Electroless Cu films deposited at high plating rate (up to 120 nm/min) in solutions with optimized plating chemical environment exhibited low resistivity ( ⁇ 2 ⁇ ohm cm for as deposited films), low surface roughness, and good electrical uniformity.
  • FIGS. 1A-1B illustrate an embodiment of the various processing steps for forming vias and metal lines according to the teachings of the prior art
  • FIGS. 2A-2K illustrate an embodiment of the various processing steps for a selective electroless-plated copper metallization according to the teachings of the present invention.
  • FIG. 3 is an illustration of an integrated circuit formed according to the teachings of the present invention.
  • wafer and substrate used in the following description include any structure having an exposed surface with which to form the integrated circuit (IC) structure of the invention.
  • substrate is understood to include semiconductor wafers.
  • substrate is also used to refer to semiconductor structures during processing, and may include other layers that have been fabricated thereupon.
  • Substrate includes doped and undoped semiconductors, epitaxial semiconductor layers supported by a base semiconductor or insulator, as well as other semiconductor structures well known to one skilled in the art.
  • insulator is defined to include any material that is less electrically conductive than the materials generally referred to as conductors by those skilled in the art. The following detailed description is, therefore, not to be taken in a limiting sense.
  • FIGS. 1A-1B illustrate an embodiment of the various processing steps for forming vias and metal lines according to the teachings of the prior art.
  • a number of vias 101 - 1 and 101 - 2 are formed in an insulator material 103 , e.g. silicon dioxide (SiO 2 ), contacting with a substrate 100 .
  • SiO 2 silicon dioxide
  • any number of semiconductor devices can be formed in the substrate to which the number of vias 101 - 1 and 101 - 2 make electrical contact.
  • fabricators use a dual-damascene metallization technique, which takes its name from the ancient Damascene metalworking art of inlaying metal in grooves or channels to form ornamental patterns.
  • the dual-damascene technique entails covering the components on a wafer with an insulative layer 103 , etching small holes in the insulative layer 103 to expose portions of the components underneath in substrate 100 , and subsequently etching shallow trenches from hole to hole to define a number of metal lines. Fabricators then blanket the entire insulative layer with a layer of aluminum or other conductive material and polish off the excess, leaving behind conductive vias, or contact plugs, in the holes and conductive lines in the trenches.
  • a layer of copper 104 can be deposited in the holes and trenches using an electroplated copper deposition technique. As shown in FIG. 1A , the copper layer 104 fills the holes and the trenches, but also covers all of the surfaces features such the insulator material 103 used in the dual damascene process.
  • FIG. 1B illustrates the structure after the excess copper has been removed through a chemically mechanical planarization (CMP) process step.
  • CMP chemically mechanical planarization
  • the CMP process step polishes the deposited layer of copper 104 down to and level with the top surface of the insulator layer 103 to form the copper vias 101 - 1 and 101 - 2 as well as copper metal lines 105 - 1 and 105 - 2 .
  • FIGS. 1A and 1B the wastefulness in the amount of copper which is discarded in the CMP process step.
  • FIGS. 2A through 2K illustrate a novel methodology for a selective electroless-plated copper metallization according to the teachings of the present invention.
  • FIG. 2A through 2K illustrate a method for forming a multilayer copper (Cu) wiring structure on a substrate.
  • the methodology of the present invention avoids the need for a chemical mechanical planarization (CMP) process step in forming the same.
  • a seed layer, or first seed layer, 202 is deposited on a substrate 200 .
  • depositing the first seed layer 202 on the substrate 200 includes depositing a thin film of Palladium (Pd) on the substrate 200 .
  • depositing the first seed layer 202 on the substrate 200 includes depositing a thin film of Copper (Cu) on the substrate.
  • the seed layer 202 is deposited to have a thickness of less than 15 nanometers (nm).
  • the seed layer 202 is deposited to form a barely continuous film in the thickness range of 3 to 10 nm.
  • the seed layer 202 is deposited such that the seed layer possesses a discontinuous island structure in the thickness range of 3 to 10 nm.
  • the seed layer 202 is deposited using a physical vapor deposition process.
  • the seed layer 202 is deposited using a sputtering deposition technique.
  • the seed layer 202 is deposited using an evaporation deposition technique.
  • FIG. 2B illustrates the structure following the next sequence of processing steps.
  • a photolithography technique is used to define a number of via holes, or openings, 206 - 1 , 206 - 2 , . . . , 206 -N, above the seed layer 202 on the substrate 200 .
  • using a photolithography technique to define a number of holes 206 - 1 , 206 - 2 , . . . , 206 -N includes patterning a photoresist layer 208 to define the number via holes, or openings, 206 - 1 , 206 - 2 , . . .
  • a photoresist layer can be deposited over the seed layer 202 using any suitable technique, e.g. by spin coating. Then the photoresist can be masked, exposed, and washed to define the number of via holes, or openings, 206 - 1 , 206 - 2 , . . . , 206 -N to the seed layer 202 .
  • the thickness of the photoresist layer 202 is scalable.
  • the deposition of the photoresist layer 208 is controllable such that the photoresist thickness can be set at a predetermined height (h 1 ).
  • the scalable thickness of the photoresist layer 208 determines a height (h 1 ), or depth (h 1 ) for the number of via holes, or openings, 206 - 1 , 206 - 2 , . . . , 206 -N.
  • the structure is now as appears in FIG. 2B .
  • FIG. 2C illustrates the structure following the next sequence of processing steps.
  • a layer of copper, first layer of copper, or first level of copper vias 210 is deposited over the seed layer 202 using electroless plating.
  • the layer of copper, first layer of copper, or first level of copper vias 210 is formed in the number of via holes, or openings, 206 - 1 , 206 - 2 , . . . , 206 -N.
  • Forming layer of copper, first layer of copper, or first level of copper vias 210 includes filling the number of via holes, or openings, 206 - 1 , 206 - 2 , . . . , 206 -N to a top surface 214 of the first patterned photoresist layer 208 .
  • depositing the layer of copper, first layer of copper, or first level of copper vias 210 over the seed layer 202 is such that the layer of copper, first layer of copper, or first level of copper vias 210 form on the seed layer 202 but not on the patterned photoresist layer 208 .
  • the structure is now as appears in FIG. 2C .
  • FIG. 2D illustrates the structure following the next sequence of processing steps.
  • another seed layer, or second seed layer, 216 is deposited on the first layer of copper, or first level of copper vias 210 and the top surface 214 of the first patterned photoresist layer 208 .
  • depositing the second seed layer 216 on the first layer of copper, or first level of copper vias 210 and the top surface 214 of the first patterned photoresist layer 208 includes depositing a thin film of Palladium (Pd).
  • depositing the second seed layer 216 on the first layer of copper, or first level of copper vias 210 and the top surface 214 of the first patterned photoresist layer 208 includes depositing a thin film of Copper (Cu).
  • the second seed layer 216 is deposited to have a thickness of less than 15 nanometers (nm). In one exemplary embodiment, the second seed layer 216 is deposited to form a barely continuous film in the thickness range of 3 to 10 nm. In another exemplary embodiment, the second seed layer 216 is deposited such that the second seed layer 216 possesses a discontinuous island structure 216 having an island thickness in the range of 3 to 10 nm.
  • the second seed layer 216 is deposited using a physical vapor deposition process.
  • the second seed layer 216 is deposited using a sputtering deposition technique.
  • the second seed layer 216 is deposited using an evaporation deposition technique.
  • a second patterned photoresist layer 218 is deposited above the second seed layer 216 , which defines a number of conductor line openings 220 - 1 , 220 - 2 , . . . , 220 -N. In one embodiment, depositing the second patterned photoresist layer 218 which defines a number of conductor line openings 220 - 1 , 220 - 2 , . . . , 220 -N, or first level metal line openings 220 - 1 , 220 - 2 , . . . , 220 -N. In one embodiment, the number of conductor line openings 220 - 1 , 220 - 2 , . . .
  • 220 -N are defined to form a number of conductor line openings 220 - 1 , 220 - 2 , . . . , 220 -N having a near minimum width and spacing.
  • this insures a sufficient space in the structure for a subsequent removal of the photoresist layers, e.g. first patterned photoresist layer 208 , on lower levels.
  • This consideration is described in greater detail in a co-pending, co-filed application, application Ser. No. 09/584,157, filed May 31, 2000, now U.S. Pat. No. 6,674,167, issued on Jan.
  • the manner of forming the second patterned photoresist layer 218 can be deposited over the second seed layer 216 using any suitable technique, e.g. by spin coating. Then the photoresist can be masked, exposed, and washed to define the number of conductor line openings 220 - 1 , 220 - 2 , . . . , 220 -N to the second seed layer 216 .
  • the thickness of the second patterned photoresist layer 218 is scalable.
  • the deposition of the photoresist layer 218 is controllable such that the photoresist thickness can be set at a predetermined height (h 2 ).
  • the scalable thickness of the second patterned photoresist layer 218 determines a height (h 2 ), or depth (h 2 ) for the number of conductor line openings 220 - 1 , 220 - 2 , . . . , 220 -N.
  • depositing the second patterned photoresist layer 218 includes depositing the second patterned photoresist layer 218 to have a thickness (h 2 ) which is less than a thickness (h 1 ) of the first patterned photoresist layer 208 .
  • the thickness (h 2 ) of the second patterned photoresist layer 218 and consequently a depth (h 2 ) of the number of conductor line openings 220 - 1 , 220 - 2 , . . . , 220 -N, is thinner than a depth (h 1 ) of the first level of copper vias 210 defined by the thickness (h 1 ) of the first patterned photoresist layer 208 .
  • the structure is now as appears in FIG. 2D .
  • FIG. 2E illustrates the structure following the next sequence of processing steps.
  • another layer of copper, second layer of copper, or first level of conductor lines 224 is deposited or formed in the number of conductor line openings 220 - 1 , 220 - 2 , . . . , 220 -N using electroless plating.
  • this next layer of copper, second layer of copper, or first level of conductor lines 224 can be deposited in the number of conductor line openings 220 - 1 , 220 - 2 , . . . , 220 -N using electroless plating.
  • forming this next layer of copper, second layer of copper, or first level of conductor lines 224 includes filling the number of conductor line openings 220 - 1 , 220 - 2 , . . . , 220 -N to a top surface 226 of the second patterned photoresist layer 218 .
  • depositing this next layer of copper, second layer of copper, or first level of conductor lines 224 over the second seed layer 216 is such that this next layer of copper, second layer of copper, or first level of conductor lines 224 form on the second seed layer 216 but not on the second patterned photoresist layer 218 .
  • the structure is now as appears in FIG. 2E .
  • FIG. 2F illustrates the structure after the following sequence of processing steps.
  • the first patterned photoresist layer 208 and the second patterned photoresist layer 218 are removed.
  • removing the first patterned photoresist layer 208 and the second patterned photoresist layer 218 includes removing the first patterned photoresist layer 208 and the second patterned photoresist layer 218 using an oxygen plasma etching.
  • the method further includes removing the first and second seed layers 202 and 216 with the photoresist layers 208 and 218 from areas on the substrate 200 which are not beneath the number of copper vias 210 or between the conductive metal lines 224 and the vias 210 .
  • this is due the present inventions novel methodology where the seed layers, 202 and 216 , are deposited to have a thickness of less than 15 nanometers (nm), thus forming a barely continuous thin film and/or discontinuous island structure.
  • Other suitable techniques for removing the first patterned photoresist layer 208 and the second patterned photoresist layer 218 can similarly be employed.
  • a thin diffusion barrier 228 can be formed on the exposed first level of copper vias 210 and first level of conductor lines 224 as well as the remaining, exposed first and second seed layers, 202 and 216 respectively, located between the substrate, vias, and metal lines.
  • forming a thin diffusion barrier 228 includes forming a thin diffusion barrier of Tungsten Silicon Nitride (WSi x N y ) 228 having a thickness of less than 8 nanometers (nm).
  • forming a thin diffusion barrier of Tungsten Silicon Nitride (WSi x N y ) 228 having a thickness of less than 8 nanometers (nm) includes forming a graded composition of WSi x , where x varies from 2.0 to 2.5, and nitriding the graded composition of WSi x .
  • the details of forming a thin diffusion barrier 228 are further described in detail in a co-filed, co-pending application; application Ser. No. 09/484,303, filed Jan. 18, 2000, entitled, “Method for Making Copper Interconnects in Integrated Circuits,” which is hereby incorporated by reference. The structure is now as appears in FIG. 2F .
  • the removal of the first patterned photoresist layer 208 and the second patterned photoresist layer 218 can be delayed until these subsequent layer are completed, the invention is not so limited. That is, if subsequent layers are to be fabricated, the steps illustrated in FIG. 2F will be delayed and the process will repeat the sequence provided in FIG. 2A-2E .
  • FIG. 2G illustrates the forming of subsequent via and metallization layers prior to the process steps of FIG. 2F and continuing in sequence after the number of process steps completed in FIG. 2E .
  • FIG. 2G shows that in forming subsequent conductive via and metallization layers, another seed layer, or third seed layer, 229 is deposited on the second layer of copper, or first level of conductor lines 224 and the top surface 226 of the second patterned photoresist layer 218 .
  • depositing the third seed layer 229 on the second layer of copper, or first level of conductor lines 224 and the top surface 226 of the second patterned photoresist layer 218 includes depositing a thin film of Palladium (Pd).
  • depositing the third seed layer 229 on the second layer of copper, or first level of conductor lines 224 and the top surface 226 of the second patterned photoresist layer 218 includes depositing a thin film of Copper (Cu).
  • the third seed layer 229 is deposited to have a thickness of less than 15 nanometers (nm).
  • the third seed layer 229 is deposited to form a barely continuous film in the thickness range of 3 to 10 nm.
  • the third seed layer 229 is deposited such that the third seed layer 229 possesses a discontinuous island structure 229 having an island thickness in the range of 3 to 10 nm.
  • the third seed layer 229 is deposited using a physical vapor deposition process.
  • the third seed layer 229 is deposited using a sputtering deposition technique.
  • the third seed layer 229 is deposited using an evaporation deposition technique.
  • a third patterned photoresist layer 230 is deposited above the third seed layer 229 , which defines a number of via holes, or openings, 232 - 1 , 232 - 2 , . . . , 232 -N to the third seed layer 229 .
  • a photoresist layer can be deposited over the third seed layer 229 using any suitable technique, e.g. by spin coating.
  • the photoresist can be masked, exposed, and washed to define the number of via holes, or openings, 232 - 1 , 232 - 2 , . . . , 232 -N to the third seed layer 229 .
  • the thickness of the second patterned photoresist layer 218 is scalable. That is, the deposition of the photoresist layer 230 is controllable such that the photoresist thickness can be set at a predetermined height (h 3 ).
  • the scalable thickness of the second patterned photoresist layer 230 determines a height (h 3 ) for the number of via holes, or openings, 232 - 1 , 232 - 2 , . . . , 232 -N.
  • the structure is now as appears in FIG. 2G .
  • FIG. 2H illustrates the structure continuing on from the process steps included in FIG. 2G .
  • another layer of copper, third layer of copper, or second level of copper vias 234 is deposited or formed over the third seed layer 229 using electroless plating.
  • the third layer of copper, or second level of copper vias 234 is formed in the number of via holes, or openings, 232 - 1 , 232 - 2 , . . . , 232 -N to the third seed layer 229 .
  • Forming the third layer of copper, or second level of copper vias 234 includes filling the number of via holes, or openings, 232 - 1 , 232 - 2 , . . . , 232 -N to a top surface 236 of the third patterned photoresist layer 230 .
  • depositing third layer of copper, or second level of copper vias 234 over the third seed layer 229 is such that the third layer of copper, or second level of copper vias 234 form on the third seed layer 229 but not on the third patterned photoresist layer 230 .
  • the structure is now as appears in FIG. 2H .
  • FIG. 2I illustrates the structure following the next sequence of processing steps.
  • another seed layer, or fourth seed layer, 238 is deposited on the third layer of copper, or second level of copper vias 234 and the top surface 236 of the third patterned photoresist layer 230 .
  • depositing the fourth seed layer 238 on the third layer of copper, or second level of copper vias 234 and the top surface 236 of the third patterned photoresist layer 230 includes depositing a thin film of Palladium (Pd).
  • depositing the fourth seed layer 238 on the third layer of copper, or second level of copper vias 234 and the top surface 236 of the third patterned photoresist layer 230 includes depositing a thin film of Copper (Cu).
  • the fourth seed layer 238 is deposited to have a thickness of less than 10 nanometers (nm). In one exemplary embodiment, the fourth seed layer 238 is deposited to form a barely continuous film in the thickness range of 3 to 10 nm. In another exemplary embodiment, the fourth seed layer 238 is deposited such that the fourth seed layer 238 possesses a discontinuous island structure 238 having an island thickness in the range of 3 to 10 nm.
  • the fourth seed layer 238 is deposited using a physical vapor deposition process.
  • the fourth seed layer 238 is deposited using a sputtering deposition technique.
  • the fourth seed layer 238 is deposited using an evaporation deposition technique.
  • a fourth patterned photoresist layer 240 is deposited above the fourth seed layer 238 , which defines a number of conductor line openings 242 - 1 , 242 - 2 , . . . , 242 -N.
  • depositing the fourth patterned photoresist layer 240 which defines a number of conductor line openings 242 - 1 , 242 - 2 , . . . , 242 -N includes defining a number of second level metal line openings 242 - 1 , 242 - 2 , . . . , 242 -N.
  • 242 -N are defined to form a number of conductor line openings 242 - 1 , 242 - 2 , . . . , 242 -N having a near minimum width and spacing.
  • this insures a sufficient space in the structure for a subsequent removal of the photoresist layers, e.g. first, second, and third patterned photoresist layer 208 , 218 , and 230 on lower levels.
  • This consideration is described in greater detail in a co-pending, co-filed application, application Ser. No. 09/584,157, filed May 31, 2000, now U.S. Pat. No. 6,674,167, issued on Jan.
  • the manner of forming the fourth patterned photoresist layer 240 can be deposited over the fourth seed layer 238 using any suitable technique, e.g. by spin coating. Then the photoresist can be masked, exposed, and washed to define the number of conductor line openings 242 - 1 , 242 - 2 , . . . , 242 -N to the fourth seed layer 238 .
  • the thickness of the fourth patterned photoresist layer 240 is scalable.
  • the deposition of the fourth patterned photoresist layer 240 is controllable such that the photoresist thickness can be set at a predetermined height (h 4 ).
  • the scalable thickness of the fourth patterned photoresist layer 240 determines a height (h 4 ) for the number of conductor line openings 242 - 1 , 242 - 2 , . . . , 242 -N.
  • depositing the fourth patterned photoresist layer 240 includes depositing the fourth patterned photoresist layer 240 to have a thickness (h 4 ) which is less than a thickness (h 3 ) of the third patterned photoresist layer 230 .
  • the thickness (h 3 ) of the third patterned photoresist layer 230 is thinner than a depth (h 3 ) of the second level of copper vias 234 defined by the thickness (h 3 ) of the third patterned photoresist layer 230 .
  • the structure is now as appears in FIG. 2I .
  • FIG. 2J illustrates the structure following the next sequence of processing steps.
  • another layer of copper, fourth layer of copper, or second level of conductor lines 244 is deposited or formed in the number of conductor line openings 242 - 1 , 242 - 2 , . . . , 242 -N using electroless plating.
  • this fourth layer of copper, or second level of conductor lines 244 can be deposited in the number of conductor line openings 242 - 1 , 242 - 2 , . . . , 242 -N using electroless plating.
  • this fourth layer of copper, or second level of conductor lines 244 includes filling the number of conductor line openings 242 - 1 , 242 - 2 , . . . , 242 -N to a top surface 246 of the fourth patterned photoresist layer 240 .
  • depositing this fourth layer of copper, or second level of conductor lines 244 over the fourth seed layer 238 is such that this fourth layer of copper, or second level of conductor lines 244 form on the fourth seed layer 238 but not on the fourth patterned photoresist layer 240 .
  • the structure is now as appears in FIG. 2J .
  • FIG. 2K illustrates the structure after the following sequence of processing steps.
  • the first, second, third, and fourth patterned photoresist layers 208 , 218 , 230 , and 240 are removed.
  • removing the first, second, third, and fourth patterned photoresist layers 208 , 218 , 230 , and 240 includes removing the first, second, third, and fourth patterned photoresist layers 208 , 218 , 230 , and 240 using an oxygen plasma etching.
  • the method further includes removing the first, second, third, and fourth seed layers, 202 , 216 , 229 and 238 respectively, with the photoresist layers from areas on the substrate which are not beneath the number of copper vias or between the conductive metal lines and the vias.
  • this is due the present inventions novel methodology where the seed layers, 202 , 216 , 229 and 238 , are deposited to have a thickness of less than 15 nanometers (nm), thus forming a barely continuous thin film and/or discontinuous island structure.
  • first, second, third, and fourth patterned photoresist layers 208 , 218 , 230 , and 240 can similarly be employed.
  • the first, second, third, and fourth patterned photoresist layers 208 , 218 , 230 , and 240 can be removed at earlier or later stages of a fabrication process, as described herein, depending on the number of via and metal levels to be formed.
  • a thin diffusion barrier 248 can be formed on the exposed first and second level of copper vias 210 , 234 and the exposed first and second level of conductor lines 224 , 244 as well as the remaining, exposed first, second, third, and fourth seed layers, 202 , 216 , 229 and 238 respectively, located between the substrate, vias, and metal lines.
  • forming a thin diffusion barrier 248 includes forming a thin diffusion barrier of Tungsten Silicon Nitride (WSi x N y ) 248 having a thickness of less than 8 nanometers (nm).
  • forming a thin diffusion barrier of Tungsten Silicon Nitride (WSi x N y ) 248 having a thickness of less than 8 nanometers (nm) includes forming a graded composition of WSi x , where x varies from 2.0 to 2.5, and nitriding the graded composition of WSi x .
  • the details of forming a thin diffusion barrier 228 are further described in detail in a co-filed, co-pending application; application Ser. No. 09/484,303, filed Jan. 18, 2000, entitled, “Method for Making Copper Interconnects in Integrated Circuits,” which is hereby incorporated by reference. The structure is now as appears in FIG. 2K .
  • FIG. 3 is an illustration of an integrated circuit 300 formed according to the teachings of the present invention.
  • the integrated circuit 300 includes a multilayer copper wiring structure.
  • the integrated circuit 300 includes at least one semiconductor device 301 formed in a substrate 302 .
  • a first number of seed layers 304 - 1 , 304 - 2 , . . . , 304 -N are formed on a number of portions 305 - 1 , 305 - 2 , . . . , 305 -N of the at least one semiconductor device.
  • the at least one semiconductor device 301 includes the number of portions 305 - 1 , 305 - 2 , . . . , 305 -N of a semiconductor device 301 which require electrical contact to subsequent integrated circuit layers formed above the semiconductor device 301 .
  • the at least one semiconductor device 301 can include at least one transistor 301 which has a source and a drain region.
  • the number of portions 305 - 1 , 305 - 2 , . . . , 305 -N of a semiconductor device 301 which require electrical contact to subsequent integrated circuit layers formed above the semiconductor device 301 include the source and the drain regions 305 - 1 , 305 - 2 , . . . , 305 -N.
  • a number of copper vias 307 - 1 , 307 - 2 , . . . , 307 -N, or first level of copper vias 307 - 1 , 307 - 2 , . . . , 307 -N, are formed above and contact with the first number of seed layers 304 - 1 , 304 - 2 , . . . , 304 -N.
  • the first number of seed layers 304 - 1 , 304 - 2 , . . . , 304 -N include a thin film of Palladium (Pd) or Copper.
  • the first number of seed layers 304 - 1 , 304 - 2 , . . . , 304 -N have a thickness of less than 15 nanometers (nm).
  • the first number of seed layers 304 - 1 , 304 - 2 , . . . , 304 -N includes a first number of seed layers 304 - 1 , 304 - 2 , . . . , 304 -N having a discontinuous island structure with an island thickness in the range of 3 to 10 nanometers.
  • a second number of seed layers 309 - 1 , 309 - 2 , . . . , 309 -N are formed on the number of copper vias 307 - 1 , 307 - 2 , . . . , 307 -N.
  • the second number of seed layers 309 - 1 , 309 - 2 , . . . , 309 -N include a thin film of Palladium (Pd) or Copper.
  • the second number of seed layers 309 - 1 , 309 - 2 , . . . , 309 -N have a thickness of less than 15 nanometers (nm).
  • the second number of seed layers 309 - 1 , 309 - 2 , . . . , 309 -N includes a second number of seed layers 309 - 1 , 309 - 2 , . . . , 309 -N having a discontinuous island structure with an island thickness in the range of 3 to 10 nanometers.
  • a number of conductor metal lines 311 - 1 , 311 - 2 , . . . , 311 -N, or first level of conductor metal lines 311 - 1 , 311 - 2 , . . . , 311 -N, are formed above and contact with the second number of seed layers 309 - 1 , 309 - 2 , . . . , 309 -N.
  • the first level of conductor metal lines 311 - 1 , 311 - 2 , . . . , 311 -N includes a number of copper metal lines 311 - 1 , 311 - 2 , . . . , 311 -N.
  • the integrated circuit 300 further includes a thin diffusion barrier 315 covering the number of copper vias 307 - 1 , 307 - 2 , . . . , 307 -N, the number of conductor metal lines 311 - 1 , 311 - 2 , . . . , 311 -N, and the first and the second number of seed layers, 304 - 1 , 304 - 2 , . . . , 304 -N, and 309 - 1 , 309 - 2 , . . . , 309 -N respectively.
  • the thin diffusion barrier 315 has a thickness of less than 8.0 nanometers (mm). In one embodiment, the thin diffusion barrier has a thickness in the range of 2.0 to 6.0 nanometers. In one embodiment, the thin diffusion barrier 315 includes a graded composition of Tungsten Silicon Nitride (WSi x N y ), and wherein x varies from 2.0 to 2.5.
  • WSi x N y Tungsten Silicon Nitride
  • the integrated circuit, or multilayer copper wiring structure 300 includes a third number of seed layers 317 - 1 , 317 - 2 , . . . , 317 -N, including a thin film of Palladium (Pd) or Copper, are formed on the first level of copper metal lines 311 - 1 , 311 - 2 , . . . , 311 -N, or first level of conductor metal lines 311 - 1 , 311 - 2 , . . . , 311 -N. Further, the third number of seed layers 317 - 1 , 317 - 2 , . . .
  • the third number of seed layers 317 - 1 , 317 - 2 , . . . , 317 -N includes a third number of seed layers 317 - 1 , 317 - 2 , . . . , 317 -N having a discontinuous island structure with an island thickness in the range of 3 to 10 nanometers.
  • a second level of copper vias 319 - 1 , 319 - 2 , . . . , 319 -N are formed above and contacting the third number of seed layers 317 - 1 , 317 - 2 , . . . , 317 -N.
  • the fourth number of seed layers 321 - 1 , 321 - 2 , . . . , 321 -N includes a fourth number of seed layers 321 - 1 , 321 - 2 , . . . , 321 -N having a discontinuous island structure with an island thickness in the range of 3 to 10 nanometers.
  • a second level of copper metal lines 323 - 1 , 323 - 2 , . . . , 323 -N, or second level of conductor metal lines 323 - 1 , 323 - 2 , . . . , 323 -N, are formed above and contacting fourth number of seed layers 321 - 1 , 321 - 2 , . . . , 321 -N.
  • the thin diffusion barrier 315 further covers the second level of copper vias 319 - 1 , 319 - 2 , . . . , 319 -N, the second level of copper metal lines 323 - 1 , 323 - 2 , . . . , 323 -N, and the third, and fourth number of seed layers, 317 - 1 , 317 - 2 , . . . , 317 -N and 321 - 1 , 321 - 2 , . . . , 321 -N respectively.
  • the present invention provides for a multilayer copper wiring structure by electroless, selectively deposited copper which will not require chemical mechanical planarization (CMP).
  • CMP chemical mechanical planarization
  • the present invention is streamlined and significantly reduces the amount of deposited conductive material, e.g. copper, which is ultimately discarded according to conventional processes. This also alleviates important environmental concerns regarding the disposition of used materials. Further, by avoiding the need for a CMP process step the usage of consumables such as pads and slurry is conserved.
  • the above mentioned problems associated with integrated circuit size and performance, the via and metal line formation process, and other problems are addressed by the present invention and will be understood by reading and studying the following specification.
  • Structures and methods are provided which include a selective electroless copper metallization.
  • the present invention provides for a multilayer copper wiring structure by electroless, selectively deposited copper in a streamlined process which will not require chemical mechanical planarization (CMP).
  • CMP chemical mechanical planarization
  • the present invention significantly reduces the amount of deposited conductive material, e.g. copper, which is ultimately discarded according to conventional processes. This alleviates important environmental concerns regarding the disposition of used materials. Further, by avoiding the need for a CMP process step, the usage of consumables such as pads and slurry is conserved.
  • an illustrative embodiment of the present invention includes a novel methodology for forming copper vias on a substrate.
  • This method includes depositing a thin film seed layer of Palladium (Pd) or Copper (Cu) on a substrate.
  • the seed layer is deposited to a thickness of less than 15 nanometers (nm).
  • a photolithography technique is used to define a number of via holes above the seed layer.
  • using a photolithography technique includes forming a patterned photoresist layer to define the number of via holes above the seed layer.
  • a layer of copper is deposited over the seed layer using electroless plating filling the number of via holes to a top surface of the patterned photoresist layer.
  • the method can be repeated any number of times depositing a second seed layer, depositing another patterned photoresist layer defining a number of conductor line openings above the second seed layer, and forming a second layer of copper using electroless plating which fills the number of conductor line openings to a top surface of the second patterned photoresist layer.
  • the photoresist layers along with the seed layers in other regions can then be removed by ashing and a chemical mechanical planarization process is avoided. Structures formed by this novel process are similarly included within the scope of the present invention.

Abstract

Structures and methods are provided which include a selective electroless copper metallization. The present invention includes a novel methodology for forming copper vias on a substrate, including depositing a thin film seed layer of Palladium (Pd) or Copper (Cu) on a substrate to a thickness of less than 15 nanometers (nm). A number of via holes is defined above the seed layer. A layer of copper is deposited over the seed layer using electroless plating to fill the via holes to a top surface of the patterned photoresist layer. The method can be repeated any number of times, forming second, third and fourth layers of copper. The photoresist layers along with the seed layers in other regions can then be removed, such as by oxygen plasma etching, such that a chemical mechanical planarization process is avoided.

Description

    RELATED APPLICATIONS
  • This application is a Continuation of U.S. application Ser. No. 10/929,251, filed Aug. 30, 2004, which is a Divisional of U.S. application Ser. No. 09/483,881, filed Jan. 18, 2000, both of which are incorporated herein by reference.
  • This application is related to the following co-filed and commonly assigned applications; U.S. application Ser. No. 09/488,098, filed Jan. 18, 2000, now U.S. Pat. No. 6,429,120 and U.S. application Ser. No. 09/484,303, filed Jan. 18, 2000, both of which are hereby incorporated by reference.
  • FIELD OF THE INVENTION
  • The present invention relates generally to integrated circuits. More particularly, it pertains to structures and methods for selective electroless-plated copper metallization.
  • BACKGROUND OF THE INVENTION
  • The rapid progress in miniaturization of integrated circuits (IC) is leading to denser and finer pitched chips with ever increasing performance. In order to enhance the performance of advanced ICs, the interconnect systems are gradually migrating from aluminum-based metallurgy to higher-conductivity and more electromigration-resistant copper. Of the several schemes proposed for fabricating copper interconnects, the most promising method appears to be the Damascene process. Using this method, the trenches and vias are patterned in blanket dielectrics, and then metal is deposited into the trenches and holes in one step, followed by chemical mechanical polishing (CMP) to remove the unwanted surface metal. This leaves the desired metal in the trenches and holes, and a planarized surface for subsequent metallization. During the CMP process, especially for the via holes, more than 99% of the deposited copper is removed, and this is a very wasteful and expensive process, which includes a high usage of consumables such as pads and slurry. Furthermore, the disposition of used materials is a very important environmental issue. Therefore it is highly desirable to accomplish the copper metallization without CMP.
  • One approach to the formation of copper vias and metal lines includes the electroless deposition of copper. Electroless deposition of copper is used in printed circuit boards to manufacture copper lines and through holes where the line and hole dimensions are in the several tens to hundreds of microns. The is, of course, much larger than the sub-micron design rules for integrated circuit fabrication on silicon wafers. In this approach, Palladium (Pd) is often used as the activated base metal for electroless copper plating. Several different groups have shown the success of the same. For example, an article published by Bhansali and D. K. Sood, entitled, “A novel technique for fabrication of metallic structure on polyimide by selective electroless copper plating using ion implantation,” Thin Solid Films, vol. 270, p. 489-492, 1995, successfully used palladium ion implantation into polyimide to seed an electroless plated copper film on the polyimide surface. An ion dose range of 1.5×1015 to 1.2×1017 ions/cm2 was used. They also reported on the successfull use of copper implantation into silicon to seed the electroless plating using a dose range of 5×1014 to 6.4×1016 ions/cm2. (See, Bhansali, S. et al, “Selective electroless copper plating on silicon seeded by copper ion implantation”, Thin Solid Films, vol. 253, no. 1-2, p. 391-394, 1994). An article published by M.-H. Kiang, et al, entitled, “Pd/Si plasma immersion ion implantation for selective electroless copper plating on SiO2, Applied Physics Letters, vol. 60, no. 22, p. 2767-2769, 1992, demonstrated selective deposition of copper in SiO2 trenches using Pd/Si plasma immersion ion implantation and electroless copper plating. An article published by J.-Y. Zhang et al, entitled, “Investigations of photo-induced decomposition of palladium acetate for electroless copper plating”, Thin Solid Films, vol. 318, p. 234-238, 1998, illustrates photo-induced palladium decomposition of acetate performed by using argon and xenon excimer vacuum ultraviolet sources in the formation of palladium, which acted as a catalyst for subsequent copper plating by means of an electroless bath for selective copper deposition. An article published by M.-H. Bernier et al, entitled, “Laser processing of palladium for selective electroless copper plating”, SPEE Proc., vol. 2045, p. 330-337, 1993 demonstrated that the direct writing of palladium features by the Ar+ laser-induced pyrolytic decomposition of an organometallic palladium resins on polyimide and Si3N4 led to active Pd sites which were selectively copper plated. Also, as described in an article published by J.-L. Yeh et al, entitled, “Selective Copper Plating of Polysilicon surface Micromachined Structures”, Technical digest of 1998 Solid-State Sensor and Actuator Workshop, Transducer Research Foundation Catalog No. 98TRF-0001, p. 248-251, 1998, Yeh et al. exposed polycrystalline silicon structures to a palladium solution that selectively activated the polysilicon structure, but not the silicon oxide or nitride layers. Upon immersion into a copper plating solution at a temperature between 55 and 80° C., the copper nuclei were initially formed on the Pd+ activated polysilicon surface. After the formation of a thin-layer copper, copper started to deposit on this thin initiated copper film. Recently, an article published by V. M. Dubin et al, entitled, “Selective and Blanket Electroless Copper Deposition for Ultralarge Scale Integration”, J. Electrochem. Soc., vol. 144, no. 3, p. 898-908, 1997, disclosed a novel seeding method for electroless copper deposition on sputtered copper films with an aluminum protection layer. This seeding method consisted of (I) deposition of Cu seed layer by sputtering or evaporation, (ii) deposition of a sacrificial thin aluminum layer without breaking vacuum, (iii) etching the aluminum layer in the electroless Cu plating bath, followed by electroless Cu deposition.
  • Here, Dubin et al. designed and constructed a single-wafer electroless copper deposition tool with up to 200 mm wafer capability, and an electroless copper deposition process was developed. Electroless Cu films deposited at high plating rate (up to 120 nm/min) in solutions with optimized plating chemical environment exhibited low resistivity (<2 μ ohm cm for as deposited films), low surface roughness, and good electrical uniformity.
  • All of these above described methods are rather complex which means that the number of process steps involved in integrated circuit fabrication increases. The problem associated with these methods is that an increase in the number of process steps makes integrated circuit fabrication more costly. Further, none of the above described methods address or provide a resolution to the costly excess expenditure of materials and the environmental concerns when such processes are implemented to form sub-micron vias and metal lines on wafers in a conventional CMP fabrication process.
  • For the reasons stated above and for others which will become apparent from reading the following disclosure, structures and methods are needed which alleviate the problems associated with via and metal line fabrication processes. These structures and methods for via and metal line fabrication must be streamlined and accommodate the demand for higher performance in integrated circuits even as the fabrication design rules shrink.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The following detailed description of the preferred embodiments can best be understood when read in conjunction with the following drawings, in which:
  • FIGS. 1A-1B illustrate an embodiment of the various processing steps for forming vias and metal lines according to the teachings of the prior art;
  • FIGS. 2A-2K illustrate an embodiment of the various processing steps for a selective electroless-plated copper metallization according to the teachings of the present invention.
  • FIG. 3 is an illustration of an integrated circuit formed according to the teachings of the present invention.
  • DETAILED DESCRIPTION
  • In the following detailed description of the invention, reference is made to the accompanying drawings which form a part hereof, and in which is shown, by way of illustration, specific embodiments in which the invention may be practiced. These embodiments are described in sufficient detail to enable those skilled in the art to practice the invention. Other embodiments may be utilized and structural, logical, and electrical changes may be made without departing from the scope of the present invention.
  • The terms wafer and substrate used in the following description include any structure having an exposed surface with which to form the integrated circuit (IC) structure of the invention. The term substrate is understood to include semiconductor wafers. The term substrate is also used to refer to semiconductor structures during processing, and may include other layers that have been fabricated thereupon. Substrate includes doped and undoped semiconductors, epitaxial semiconductor layers supported by a base semiconductor or insulator, as well as other semiconductor structures well known to one skilled in the art. The term insulator is defined to include any material that is less electrically conductive than the materials generally referred to as conductors by those skilled in the art. The following detailed description is, therefore, not to be taken in a limiting sense.
  • FIGS. 1A-1B illustrate an embodiment of the various processing steps for forming vias and metal lines according to the teachings of the prior art. As shown in FIG. 1A, a number of vias 101-1 and 101-2 are formed in an insulator material 103, e.g. silicon dioxide (SiO2), contacting with a substrate 100. As one of ordinary skill in the art will recognize, any number of semiconductor devices can be formed in the substrate to which the number of vias 101-1 and 101-2 make electrical contact.
  • Conventionally, to form vias and aluminum wire metal lines, fabricators use a dual-damascene metallization technique, which takes its name from the ancient Damascene metalworking art of inlaying metal in grooves or channels to form ornamental patterns. The dual-damascene technique entails covering the components on a wafer with an insulative layer 103, etching small holes in the insulative layer 103 to expose portions of the components underneath in substrate 100, and subsequently etching shallow trenches from hole to hole to define a number of metal lines. Fabricators then blanket the entire insulative layer with a layer of aluminum or other conductive material and polish off the excess, leaving behind conductive vias, or contact plugs, in the holes and conductive lines in the trenches.
  • As shown in the prior art of FIG. 1A, a layer of copper 104 can be deposited in the holes and trenches using an electroplated copper deposition technique. As shown in FIG. 1A, the copper layer 104 fills the holes and the trenches, but also covers all of the surfaces features such the insulator material 103 used in the dual damascene process.
  • FIG. 1B illustrates the structure after the excess copper has been removed through a chemically mechanical planarization (CMP) process step. As shown in the prior art FIG. 1B, the CMP process step polishes the deposited layer of copper 104 down to and level with the top surface of the insulator layer 103 to form the copper vias 101-1 and 101-2 as well as copper metal lines 105-1 and 105-2. One of ordinary skill in the art will understand, upon viewing the fabrication process illustrated in FIGS. 1A and 1B, the wastefulness in the amount of copper which is discarded in the CMP process step.
  • METHOD OF THE PRESENT INVENTION
  • FIGS. 2A through 2K illustrate a novel methodology for a selective electroless-plated copper metallization according to the teachings of the present invention. Specifically, FIG. 2A through 2K illustrate a method for forming a multilayer copper (Cu) wiring structure on a substrate. The methodology of the present invention avoids the need for a chemical mechanical planarization (CMP) process step in forming the same. As shown in FIG. 2A, a seed layer, or first seed layer, 202 is deposited on a substrate 200. In one embodiment, depositing the first seed layer 202 on the substrate 200 includes depositing a thin film of Palladium (Pd) on the substrate 200. In another embodiment, depositing the first seed layer 202 on the substrate 200 includes depositing a thin film of Copper (Cu) on the substrate. The seed layer 202 is deposited to have a thickness of less than 15 nanometers (nm). In one exemplary embodiment, the seed layer 202 is deposited to form a barely continuous film in the thickness range of 3 to 10 nm. In another exemplary embodiment, the seed layer 202 is deposited such that the seed layer possesses a discontinuous island structure in the thickness range of 3 to 10 nm. In one embodiment, the seed layer 202 is deposited using a physical vapor deposition process. For example, in one embodiment, the seed layer 202 is deposited using a sputtering deposition technique. In another embodiment, the seed layer 202 is deposited using an evaporation deposition technique. One of ordinary skill in the art will understand, upon reading this disclosure, the manner in which such physical vapor deposition processes can be performed to form the seed layer 202 described herein.
  • FIG. 2B illustrates the structure following the next sequence of processing steps. In FIG. 2B, a photolithography technique is used to define a number of via holes, or openings, 206-1, 206-2, . . . , 206-N, above the seed layer 202 on the substrate 200. As one of ordinary skill in the art will understand upon reading this disclosure, using a photolithography technique to define a number of holes 206-1, 206-2, . . . , 206-N, includes patterning a photoresist layer 208 to define the number via holes, or openings, 206-1, 206-2, . . . , 206-N over the seed layer 202. One of ordinary skill in the art will also understand upon reading this disclosure, the manner of forming the patterned photoresist layer, or first patterned photoresist layer, 208. For example, a photoresist layer can be deposited over the seed layer 202 using any suitable technique, e.g. by spin coating. Then the photoresist can be masked, exposed, and washed to define the number of via holes, or openings, 206-1, 206-2, . . . , 206-N to the seed layer 202. One of ordinary skill in the art will further understand, upon reading this disclosure, that the thickness of the photoresist layer 202 is scalable. That is, the deposition of the photoresist layer 208 is controllable such that the photoresist thickness can be set at a predetermined height (h1). Thus, the scalable thickness of the photoresist layer 208 determines a height (h1), or depth (h1) for the number of via holes, or openings, 206-1, 206-2, . . . , 206-N. The structure is now as appears in FIG. 2B.
  • FIG. 2C illustrates the structure following the next sequence of processing steps. In FIG. 2C, a layer of copper, first layer of copper, or first level of copper vias 210 is deposited over the seed layer 202 using electroless plating. One of ordinary skill in the art will understand upon reading this disclosure the various manner in which the layer of copper, first layer of copper, or first level of copper vias 210 can be deposited over the seed layer 202 using electroless plating. According to the teachings of the present invention, the layer of copper, first layer of copper, or first level of copper vias 210 is formed in the number of via holes, or openings, 206-1, 206-2, . . . , 206-N. Forming layer of copper, first layer of copper, or first level of copper vias 210 includes filling the number of via holes, or openings, 206-1, 206-2, . . . , 206-N to a top surface 214 of the first patterned photoresist layer 208. According to the teachings of the present invention depositing the layer of copper, first layer of copper, or first level of copper vias 210 over the seed layer 202 is such that the layer of copper, first layer of copper, or first level of copper vias 210 form on the seed layer 202 but not on the patterned photoresist layer 208. The structure is now as appears in FIG. 2C.
  • FIG. 2D illustrates the structure following the next sequence of processing steps. In FIG. 2D, another seed layer, or second seed layer, 216 is deposited on the first layer of copper, or first level of copper vias 210 and the top surface 214 of the first patterned photoresist layer 208. In one embodiment, depositing the second seed layer 216 on the first layer of copper, or first level of copper vias 210 and the top surface 214 of the first patterned photoresist layer 208 includes depositing a thin film of Palladium (Pd). In another embodiment, depositing the second seed layer 216 on the first layer of copper, or first level of copper vias 210 and the top surface 214 of the first patterned photoresist layer 208 includes depositing a thin film of Copper (Cu). As before, the second seed layer 216 is deposited to have a thickness of less than 15 nanometers (nm). In one exemplary embodiment, the second seed layer 216 is deposited to form a barely continuous film in the thickness range of 3 to 10 nm. In another exemplary embodiment, the second seed layer 216 is deposited such that the second seed layer 216 possesses a discontinuous island structure 216 having an island thickness in the range of 3 to 10 nm.
  • In one embodiment, the second seed layer 216 is deposited using a physical vapor deposition process. For example, in one embodiment, the second seed layer 216 is deposited using a sputtering deposition technique. In another embodiment, the second seed layer 216 is deposited using an evaporation deposition technique. One of ordinary skill in the art will understand, upon reading this disclosure, the manner in which such physical vapor deposition processes can be performed to form the second seed layer 216 described herein.
  • A second patterned photoresist layer 218 is deposited above the second seed layer 216, which defines a number of conductor line openings 220-1, 220-2, . . . , 220-N. In one embodiment, depositing the second patterned photoresist layer 218 which defines a number of conductor line openings 220-1, 220-2, . . . , 220-N, or first level metal line openings 220-1, 220-2, . . . , 220-N. In one embodiment, the number of conductor line openings 220-1, 220-2, . . . , 220-N are defined to form a number of conductor line openings 220-1, 220-2, . . . , 220-N having a near minimum width and spacing. As one of ordinary skill in the art will understand upon reading this disclosure, this insures a sufficient space in the structure for a subsequent removal of the photoresist layers, e.g. first patterned photoresist layer 208, on lower levels. This consideration is described in greater detail in a co-pending, co-filed application, application Ser. No. 09/584,157, filed May 31, 2000, now U.S. Pat. No. 6,674,167, issued on Jan. 6, 2004, entitled, “A Multilevel Copper Interconnect with Double Insulation for ULSI.” One of ordinary skill in the art will understand upon reading this disclosure, the manner of forming the second patterned photoresist layer 218. For example, a photoresist layer can be deposited over the second seed layer 216 using any suitable technique, e.g. by spin coating. Then the photoresist can be masked, exposed, and washed to define the number of conductor line openings 220-1, 220-2, . . . , 220-N to the second seed layer 216. One of ordinary skill in the art will further understand, upon reading this disclosure, that the thickness of the second patterned photoresist layer 218 is scalable. That is, the deposition of the photoresist layer 218 is controllable such that the photoresist thickness can be set at a predetermined height (h2). Thus, the scalable thickness of the second patterned photoresist layer 218 determines a height (h2), or depth (h2) for the number of conductor line openings 220-1, 220-2, . . . , 220-N. According to the teachings of the present invention, depositing the second patterned photoresist layer 218 includes depositing the second patterned photoresist layer 218 to have a thickness (h2) which is less than a thickness (h1) of the first patterned photoresist layer 208. That is, the thickness (h2) of the second patterned photoresist layer 218, and consequently a depth (h2) of the number of conductor line openings 220-1, 220-2, . . . , 220-N, is thinner than a depth (h1) of the first level of copper vias 210 defined by the thickness (h1) of the first patterned photoresist layer 208. The structure is now as appears in FIG. 2D.
  • FIG. 2E illustrates the structure following the next sequence of processing steps. In FIG. 2E, another layer of copper, second layer of copper, or first level of conductor lines 224 is deposited or formed in the number of conductor line openings 220-1, 220-2, . . . , 220-N using electroless plating. One of ordinary skill in the art will understand upon reading this disclosure the various manner in which this next layer of copper, second layer of copper, or first level of conductor lines 224 can be deposited in the number of conductor line openings 220-1, 220-2, . . . , 220-N using electroless plating. According to the teachings of the present invention, forming this next layer of copper, second layer of copper, or first level of conductor lines 224 includes filling the number of conductor line openings 220-1, 220-2, . . . , 220-N to a top surface 226 of the second patterned photoresist layer 218. According to the teachings of the present invention depositing this next layer of copper, second layer of copper, or first level of conductor lines 224 over the second seed layer 216 is such that this next layer of copper, second layer of copper, or first level of conductor lines 224 form on the second seed layer 216 but not on the second patterned photoresist layer 218. The structure is now as appears in FIG. 2E.
  • FIG. 2F illustrates the structure after the following sequence of processing steps. In FIG. 2F, according to the teachings of the present invention, the first patterned photoresist layer 208 and the second patterned photoresist layer 218 are removed. In one exemplary embodiment, removing the first patterned photoresist layer 208 and the second patterned photoresist layer 218 includes removing the first patterned photoresist layer 208 and the second patterned photoresist layer 218 using an oxygen plasma etching. According to the teachings of the present invention, the method further includes removing the first and second seed layers 202 and 216 with the photoresist layers 208 and 218 from areas on the substrate 200 which are not beneath the number of copper vias 210 or between the conductive metal lines 224 and the vias 210. As one of ordinary skill in the art will understand from reading this disclosure, this is due the present inventions novel methodology where the seed layers, 202 and 216, are deposited to have a thickness of less than 15 nanometers (nm), thus forming a barely continuous thin film and/or discontinuous island structure. Other suitable techniques for removing the first patterned photoresist layer 208 and the second patterned photoresist layer 218 can similarly be employed.
  • At this point, a thin diffusion barrier 228 can be formed on the exposed first level of copper vias 210 and first level of conductor lines 224 as well as the remaining, exposed first and second seed layers, 202 and 216 respectively, located between the substrate, vias, and metal lines. According to the teachings of the present invention, forming a thin diffusion barrier 228 includes forming a thin diffusion barrier of Tungsten Silicon Nitride (WSixNy) 228 having a thickness of less than 8 nanometers (nm). In one embodiment, according to the teachings of the present invention, forming a thin diffusion barrier of Tungsten Silicon Nitride (WSixNy) 228 having a thickness of less than 8 nanometers (nm) includes forming a graded composition of WSix, where x varies from 2.0 to 2.5, and nitriding the graded composition of WSix. The details of forming a thin diffusion barrier 228, as presented above, are further described in detail in a co-filed, co-pending application; application Ser. No. 09/484,303, filed Jan. 18, 2000, entitled, “Method for Making Copper Interconnects in Integrated Circuits,” which is hereby incorporated by reference. The structure is now as appears in FIG. 2F.
  • As one of ordinary skill in the art will understand upon reading this disclosure, forming additional or subsequent layer/levels of conductive vias and metallization lines are also included within the scope of the present invention. In this scenario, the removal of the first patterned photoresist layer 208 and the second patterned photoresist layer 218 can be delayed until these subsequent layer are completed, the invention is not so limited. That is, if subsequent layers are to be fabricated, the steps illustrated in FIG. 2F will be delayed and the process will repeat the sequence provided in FIG. 2A-2E.
  • FIG. 2G illustrates the forming of subsequent via and metallization layers prior to the process steps of FIG. 2F and continuing in sequence after the number of process steps completed in FIG. 2E. For example, FIG. 2G shows that in forming subsequent conductive via and metallization layers, another seed layer, or third seed layer, 229 is deposited on the second layer of copper, or first level of conductor lines 224 and the top surface 226 of the second patterned photoresist layer 218. In one embodiment, depositing the third seed layer 229 on the second layer of copper, or first level of conductor lines 224 and the top surface 226 of the second patterned photoresist layer 218 includes depositing a thin film of Palladium (Pd). In another embodiment, depositing the third seed layer 229 on the second layer of copper, or first level of conductor lines 224 and the top surface 226 of the second patterned photoresist layer 218 includes depositing a thin film of Copper (Cu). As before, the third seed layer 229 is deposited to have a thickness of less than 15 nanometers (nm). In one exemplary embodiment, the third seed layer 229 is deposited to form a barely continuous film in the thickness range of 3 to 10 nm. In another exemplary embodiment, the third seed layer 229 is deposited such that the third seed layer 229 possesses a discontinuous island structure 229 having an island thickness in the range of 3 to 10 nm.
  • In one embodiment, the third seed layer 229 is deposited using a physical vapor deposition process. For example, in one embodiment, the third seed layer 229 is deposited using a sputtering deposition technique. In another embodiment, the third seed layer 229 is deposited using an evaporation deposition technique. One of ordinary skill in the art will understand, upon reading this disclosure, the manner in which such physical vapor deposition processes can be performed to form the third seed layer 229 described herein.
  • In FIG. 2G, a third patterned photoresist layer 230 is deposited above the third seed layer 229, which defines a number of via holes, or openings, 232-1, 232-2, . . . , 232-N to the third seed layer 229. One of ordinary skill in the art will understand upon reading this disclosure, the manner of forming the third patterned photoresist layer 230. For example, a photoresist layer can be deposited over the third seed layer 229 using any suitable technique, e.g. by spin coating. Then the photoresist can be masked, exposed, and washed to define the number of via holes, or openings, 232-1, 232-2, . . . , 232-N to the third seed layer 229. One of ordinary skill in the art will further understand, upon reading this disclosure, that the thickness of the second patterned photoresist layer 218 is scalable. That is, the deposition of the photoresist layer 230 is controllable such that the photoresist thickness can be set at a predetermined height (h3). Thus, the scalable thickness of the second patterned photoresist layer 230 determines a height (h3) for the number of via holes, or openings, 232-1, 232-2, . . . , 232-N. The structure is now as appears in FIG. 2G.
  • FIG. 2H illustrates the structure continuing on from the process steps included in FIG. 2G. In FIG. 2H, another layer of copper, third layer of copper, or second level of copper vias 234 is deposited or formed over the third seed layer 229 using electroless plating. One of ordinary skill in the art will understand upon reading this disclosure the various manner in which the third layer of copper, or second level of copper vias 234 can be deposited over the third seed layer 229 using electroless plating. According to the teachings of the present invention, the third layer of copper, or second level of copper vias 234 is formed in the number of via holes, or openings, 232-1, 232-2, . . . , 232-N to the third seed layer 229. Forming the third layer of copper, or second level of copper vias 234 includes filling the number of via holes, or openings, 232-1, 232-2, . . . , 232-N to a top surface 236 of the third patterned photoresist layer 230. According to the teachings of the present invention, depositing third layer of copper, or second level of copper vias 234 over the third seed layer 229 is such that the third layer of copper, or second level of copper vias 234 form on the third seed layer 229 but not on the third patterned photoresist layer 230. The structure is now as appears in FIG. 2H.
  • FIG. 2I illustrates the structure following the next sequence of processing steps. In FIG. 2I, another seed layer, or fourth seed layer, 238 is deposited on the third layer of copper, or second level of copper vias 234 and the top surface 236 of the third patterned photoresist layer 230. In one embodiment, depositing the fourth seed layer 238 on the third layer of copper, or second level of copper vias 234 and the top surface 236 of the third patterned photoresist layer 230 includes depositing a thin film of Palladium (Pd). In another embodiment, depositing the fourth seed layer 238 on the third layer of copper, or second level of copper vias 234 and the top surface 236 of the third patterned photoresist layer 230 includes depositing a thin film of Copper (Cu). As before, the fourth seed layer 238 is deposited to have a thickness of less than 10 nanometers (nm). In one exemplary embodiment, the fourth seed layer 238 is deposited to form a barely continuous film in the thickness range of 3 to 10 nm. In another exemplary embodiment, the fourth seed layer 238 is deposited such that the fourth seed layer 238 possesses a discontinuous island structure 238 having an island thickness in the range of 3 to 10 nm.
  • In one embodiment, the fourth seed layer 238 is deposited using a physical vapor deposition process. For example, in one embodiment, the fourth seed layer 238 is deposited using a sputtering deposition technique. In another embodiment, the fourth seed layer 238 is deposited using an evaporation deposition technique. One of ordinary skill in the art will understand, upon reading this disclosure, the manner in which such physical vapor deposition processes can be performed to form the fourth seed layer 238 described herein.
  • A fourth patterned photoresist layer 240 is deposited above the fourth seed layer 238, which defines a number of conductor line openings 242-1, 242-2, . . . , 242-N. In one embodiment, depositing the fourth patterned photoresist layer 240 which defines a number of conductor line openings 242-1, 242-2, . . . , 242-N includes defining a number of second level metal line openings 242-1, 242-2, . . . , 242-N. In one embodiment, the second number of conductor line openings 242-1, 242-2, . . . , 242-N are defined to form a number of conductor line openings 242-1, 242-2, . . . , 242-N having a near minimum width and spacing. As one of ordinary skill in the art will understand upon reading this disclosure, this insures a sufficient space in the structure for a subsequent removal of the photoresist layers, e.g. first, second, and third patterned photoresist layer 208, 218, and 230 on lower levels. This consideration is described in greater detail in a co-pending, co-filed application, application Ser. No. 09/584,157, filed May 31, 2000, now U.S. Pat. No. 6,674,167, issued on Jan. 6, 2004, entitled, “A Multilevel Copper Interconnect with Double Insulation for ULSI.” One of ordinary skill in the art will understand upon reading this disclosure, the manner of forming the fourth patterned photoresist layer 240. For example, a photoresist layer can be deposited over the fourth seed layer 238 using any suitable technique, e.g. by spin coating. Then the photoresist can be masked, exposed, and washed to define the number of conductor line openings 242-1, 242-2, . . . , 242-N to the fourth seed layer 238. One of ordinary skill in the art will further understand, upon reading this disclosure, that the thickness of the fourth patterned photoresist layer 240 is scalable. That is, the deposition of the fourth patterned photoresist layer 240 is controllable such that the photoresist thickness can be set at a predetermined height (h4). Thus, the scalable thickness of the fourth patterned photoresist layer 240 determines a height (h4) for the number of conductor line openings 242-1, 242-2, . . . , 242-N. According to the teachings of the present invention, depositing the fourth patterned photoresist layer 240 includes depositing the fourth patterned photoresist layer 240 to have a thickness (h4) which is less than a thickness (h3) of the third patterned photoresist layer 230. That is, the thickness (h3) of the third patterned photoresist layer 230 is thinner than a depth (h3) of the second level of copper vias 234 defined by the thickness (h3) of the third patterned photoresist layer 230. The structure is now as appears in FIG. 2I.
  • FIG. 2J illustrates the structure following the next sequence of processing steps. In FIG. 2E, another layer of copper, fourth layer of copper, or second level of conductor lines 244 is deposited or formed in the number of conductor line openings 242-1, 242-2, . . . , 242-N using electroless plating. One of ordinary skill in the art will understand upon reading this disclosure the various manner in which this fourth layer of copper, or second level of conductor lines 244 can be deposited in the number of conductor line openings 242-1, 242-2, . . . , 242-N using electroless plating. According to the teachings of the present invention, forming this fourth layer of copper, or second level of conductor lines 244 includes filling the number of conductor line openings 242-1, 242-2, . . . , 242-N to a top surface 246 of the fourth patterned photoresist layer 240. According to the teachings of the present invention depositing this fourth layer of copper, or second level of conductor lines 244 over the fourth seed layer 238 is such that this fourth layer of copper, or second level of conductor lines 244 form on the fourth seed layer 238 but not on the fourth patterned photoresist layer 240. The structure is now as appears in FIG. 2J.
  • FIG. 2K illustrates the structure after the following sequence of processing steps. In FIG. 2K, according to the teachings of the present invention, the first, second, third, and fourth patterned photoresist layers 208, 218, 230, and 240 are removed. In one exemplary embodiment, removing the first, second, third, and fourth patterned photoresist layers 208, 218, 230, and 240 includes removing the first, second, third, and fourth patterned photoresist layers 208, 218, 230, and 240 using an oxygen plasma etching. According to the teachings of the present invention, the method further includes removing the first, second, third, and fourth seed layers, 202, 216, 229 and 238 respectively, with the photoresist layers from areas on the substrate which are not beneath the number of copper vias or between the conductive metal lines and the vias. As one of ordinary skill in the art will understand from reading this disclosure, this is due the present inventions novel methodology where the seed layers, 202, 216, 229 and 238, are deposited to have a thickness of less than 15 nanometers (nm), thus forming a barely continuous thin film and/or discontinuous island structure. Other suitable techniques for removing the first, second, third, and fourth patterned photoresist layers 208, 218, 230, and 240 can similarly be employed. As one of ordinary skill in the art will further understand upon reading this disclosure, the first, second, third, and fourth patterned photoresist layers 208, 218, 230, and 240 can be removed at earlier or later stages of a fabrication process, as described herein, depending on the number of via and metal levels to be formed.
  • At this point, or as could equally be performed at an earlier or later stage depending on when the photoresist layers are removed, a thin diffusion barrier 248 can be formed on the exposed first and second level of copper vias 210, 234 and the exposed first and second level of conductor lines 224, 244 as well as the remaining, exposed first, second, third, and fourth seed layers, 202, 216, 229 and 238 respectively, located between the substrate, vias, and metal lines. According to the teachings of the present invention, forming a thin diffusion barrier 248 includes forming a thin diffusion barrier of Tungsten Silicon Nitride (WSixNy) 248 having a thickness of less than 8 nanometers (nm). In one embodiment, according to the teachings of the present invention, forming a thin diffusion barrier of Tungsten Silicon Nitride (WSixNy) 248 having a thickness of less than 8 nanometers (nm) includes forming a graded composition of WSix, where x varies from 2.0 to 2.5, and nitriding the graded composition of WSix. The details of forming a thin diffusion barrier 228, as presented above, are further described in detail in a co-filed, co-pending application; application Ser. No. 09/484,303, filed Jan. 18, 2000, entitled, “Method for Making Copper Interconnects in Integrated Circuits,” which is hereby incorporated by reference. The structure is now as appears in FIG. 2K.
  • Structure
  • FIG. 3 is an illustration of an integrated circuit 300 formed according to the teachings of the present invention. According to the teachings of the present invention, the integrated circuit 300 includes a multilayer copper wiring structure. As shown in FIG. 3, the integrated circuit 300 includes at least one semiconductor device 301 formed in a substrate 302. A first number of seed layers 304-1, 304-2, . . . , 304-N are formed on a number of portions 305-1, 305-2, . . . , 305-N of the at least one semiconductor device. As one of ordinary skill in the art will understand upon reading this disclosure the number of portions 305-1, 305-2, . . . , 305-N of the at least one semiconductor device 301 include the number of portions 305-1, 305-2, . . . , 305-N of a semiconductor device 301 which require electrical contact to subsequent integrated circuit layers formed above the semiconductor device 301. For example, the at least one semiconductor device 301 can include at least one transistor 301 which has a source and a drain region. In this scenario, the number of portions 305-1, 305-2, . . . , 305-N of a semiconductor device 301 which require electrical contact to subsequent integrated circuit layers formed above the semiconductor device 301 include the source and the drain regions 305-1, 305-2, . . . , 305-N.
  • As shown in FIG. 3, a number of copper vias 307-1, 307-2, . . . , 307-N, or first level of copper vias 307-1, 307-2, . . . , 307-N, are formed above and contact with the first number of seed layers 304-1, 304-2, . . . , 304-N. According to the teachings of the present invention, the first number of seed layers 304-1, 304-2, . . . , 304-N include a thin film of Palladium (Pd) or Copper. Further, the first number of seed layers 304-1, 304-2, . . . , 304-N have a thickness of less than 15 nanometers (nm). In one embodiment, the first number of seed layers 304-1, 304-2, . . . , 304-N includes a first number of seed layers 304-1, 304-2, . . . , 304-N having a discontinuous island structure with an island thickness in the range of 3 to 10 nanometers.
  • A second number of seed layers 309-1, 309-2, . . . , 309-N are formed on the number of copper vias 307-1, 307-2, . . . , 307-N. According to the teachings of the present invention, the second number of seed layers 309-1, 309-2, . . . , 309-N include a thin film of Palladium (Pd) or Copper. Further, the second number of seed layers 309-1, 309-2, . . . , 309-N have a thickness of less than 15 nanometers (nm). In one embodiment, the second number of seed layers 309-1, 309-2, . . . , 309-N includes a second number of seed layers 309-1, 309-2, . . . , 309-N having a discontinuous island structure with an island thickness in the range of 3 to 10 nanometers.
  • A number of conductor metal lines 311-1, 311-2, . . . , 311-N, or first level of conductor metal lines 311-1, 311-2, . . . , 311-N, are formed above and contact with the second number of seed layers 309-1, 309-2, . . . , 309-N. In one embodiment, the first level of conductor metal lines 311-1, 311-2, . . . , 311-N includes a number of copper metal lines 311-1, 311-2, . . . , 311-N. In one embodiment, as shown in FIG. 3, the integrated circuit 300 further includes a thin diffusion barrier 315 covering the number of copper vias 307-1, 307-2, . . . , 307-N, the number of conductor metal lines 311-1, 311-2, . . . , 311-N, and the first and the second number of seed layers, 304-1, 304-2, . . . , 304-N, and 309-1, 309-2, . . . , 309-N respectively. According to the teachings of the present invention, the thin diffusion barrier 315 has a thickness of less than 8.0 nanometers (mm). In one embodiment, the thin diffusion barrier has a thickness in the range of 2.0 to 6.0 nanometers. In one embodiment, the thin diffusion barrier 315 includes a graded composition of Tungsten Silicon Nitride (WSixNy), and wherein x varies from 2.0 to 2.5.
  • In one embodiment, as shown in FIG. 3, the integrated circuit, or multilayer copper wiring structure 300 includes a third number of seed layers 317-1, 317-2, . . . , 317-N, including a thin film of Palladium (Pd) or Copper, are formed on the first level of copper metal lines 311-1, 311-2, . . . , 311-N, or first level of conductor metal lines 311-1, 311-2, . . . , 311-N. Further, the third number of seed layers 317-1, 317-2, . . . , 317-N have a thickness of less than 15 nanometers (nm). In one embodiment, the third number of seed layers 317-1, 317-2, . . . , 317-N includes a third number of seed layers 317-1, 317-2, . . . , 317-N having a discontinuous island structure with an island thickness in the range of 3 to 10 nanometers. A second level of copper vias 319-1, 319-2, . . . , 319-N are formed above and contacting the third number of seed layers 317-1, 317-2, . . . , 317-N. A fourth number of seed layers 321-1, 321-2, . . . , 321-N, including a thin film of Palladium (Pd) or Copper, are formed on the second level of copper vias 319-1, 319-2, . . . , 319-N. In one embodiment, the fourth number of seed layers 321-1, 321-2, . . . , 321-N includes a fourth number of seed layers 321-1, 321-2, . . . , 321-N having a discontinuous island structure with an island thickness in the range of 3 to 10 nanometers. A second level of copper metal lines 323-1, 323-2, . . . , 323-N, or second level of conductor metal lines 323-1, 323-2, . . . , 323-N, are formed above and contacting fourth number of seed layers 321-1, 321-2, . . . , 321-N.
  • In one embodiment, as shown in FIG. 3, the thin diffusion barrier 315 further covers the second level of copper vias 319-1, 319-2, . . . , 319-N, the second level of copper metal lines 323-1, 323-2, . . . , 323-N, and the third, and fourth number of seed layers, 317-1, 317-2, . . . , 317-N and 321-1, 321-2, . . . , 321-N respectively.
  • CONCLUSION
  • Thus, structures and methods have been shown which include a selective electroless copper metallization. The present invention provides for a multilayer copper wiring structure by electroless, selectively deposited copper which will not require chemical mechanical planarization (CMP). Thus, the present invention is streamlined and significantly reduces the amount of deposited conductive material, e.g. copper, which is ultimately discarded according to conventional processes. This also alleviates important environmental concerns regarding the disposition of used materials. Further, by avoiding the need for a CMP process step the usage of consumables such as pads and slurry is conserved.
  • The above mentioned problems associated with integrated circuit size and performance, the via and metal line formation process, and other problems are addressed by the present invention and will be understood by reading and studying the following specification. Structures and methods are provided which include a selective electroless copper metallization. The present invention provides for a multilayer copper wiring structure by electroless, selectively deposited copper in a streamlined process which will not require chemical mechanical planarization (CMP). Thus, the present invention significantly reduces the amount of deposited conductive material, e.g. copper, which is ultimately discarded according to conventional processes. This alleviates important environmental concerns regarding the disposition of used materials. Further, by avoiding the need for a CMP process step, the usage of consumables such as pads and slurry is conserved.
  • In particular, an illustrative embodiment of the present invention includes a novel methodology for forming copper vias on a substrate. This method includes depositing a thin film seed layer of Palladium (Pd) or Copper (Cu) on a substrate. The seed layer is deposited to a thickness of less than 15 nanometers (nm). A photolithography technique is used to define a number of via holes above the seed layer. In one embodiment, using a photolithography technique includes forming a patterned photoresist layer to define the number of via holes above the seed layer. A layer of copper is deposited over the seed layer using electroless plating filling the number of via holes to a top surface of the patterned photoresist layer. The method can be repeated any number of times depositing a second seed layer, depositing another patterned photoresist layer defining a number of conductor line openings above the second seed layer, and forming a second layer of copper using electroless plating which fills the number of conductor line openings to a top surface of the second patterned photoresist layer. The photoresist layers along with the seed layers in other regions can then be removed by ashing and a chemical mechanical planarization process is avoided. Structures formed by this novel process are similarly included within the scope of the present invention.
  • These and other embodiments, aspects, advantages, and features of the present invention are set forth in part in the description, and in part will become apparent to those skilled in the art by reference to the description of the invention and referenced drawings or by practice of the invention. The aspects, advantages, and features of the invention are realized and attained by means of the instrumentalities, procedures, and combinations particularly pointed out in the appended claims.
  • Although specific embodiments have been illustrated and described herein, it will be appreciated by those of ordinary skill in the art that any arrangement which is calculated to achieve the same purpose may be substituted for the specific embodiment shown. This application is intended to cover any adaptations or variations of the present invention. It is to be understood that the above description is intended to be illustrative, and not restrictive. The scope of the invention includes any other applications in which the above structures and fabrication methods are used. The scope of the invention should be determined with reference to the appended claims, along with the full scope of equivalents to which such claims are entitled.

Claims (33)

1. An electronic circuit, comprising:
a plurality of individual electrical devices interconnected by a plurality of metallic conductor lines;
a plurality of electrical contact areas on a top surface of the plurality of individual electric devices;
each of the plurality of electrical contact areas in contact with a first metallic layer;
the metallic layer in contact with a plurality of substantially vertical metal columns;
a top surface of the substantially vertical metal columns in contact with at least one of a second metallic layer and a portion of a bottom surface of a plurality of substantially horizontal metal lines; and
a diffusion barrier layer covering substantially the entirety of a top surface, side surfaces and all portions of the bottom surface not in contact with the top surface of the vertical metal columns of the plurality of substantially horizontal metal lines, and the diffusion barrier layer covering substantially the entirety of sidewalls of the vertical metal columns.
2. The electronic circuit of claim 1, wherein the plurality of substantially vertical metal columns are separated from each other by a gas, forming air bridges.
3. The electronic circuit of claim 1, wherein the diffusion barrier layer has a thickness of less than 8.0 nanometers (nm).
4. The electronic circuit of claim 1, wherein the first and second metallic layers have a thickness of less than 15 nanometers (nm).
5. The electronic circuit of claim 1, wherein the first and second metallic layers have a thickness of less than 5 nanometers (nm).
6. The electronic circuit of claim 1, wherein the first and second metallic layers includes a discontinuous island structure having islands less than 50 nanometers (nm) in diameter.
7. The electronic circuit of claim 1, wherein the substantially vertical metal columns and the substantially horizontal metal lines include copper.
8. The electronic circuit of claim 1, wherein the first and second metallic layers are formed of a material selected from a list consisting of palladium (Pd), copper (Cu), aluminum (Al), platinum (Pt), and combinations thereof.
9. The electronic circuit of claim 1, wherein the first metallic layer only contacts the plurality of electrical contact areas on the top surface of the individual electric devices.
10. The electronic circuit of claim 1, wherein the plurality of electronic devices are disposed in a substrate.
11. The electronic circuit of claim 10, wherein the substrate is a semiconducting material and the electronic circuit is an integrated circuit.
12. The electronic circuit of claim 1, wherein each of the plurality of substantially vertical metal columns is directly above one of the plurality of electrical contact areas on a top surface of the plurality of individual electrical devices.
13. The electronic circuit of claim 1, wherein the diffusion barrier layer comprises tungsten, silicon and nitrogen.
14. An integrated circuit, comprising:
a substrate including a plurality of transistors each having electrical contact areas;
individual ones of the plurality of transistors electrically interconnected by air bridge conductors comprising:
a plurality of metallic regions formed on the electrical contact areas of the plurality of transistors including a layer comprising at least one of palladium (Pd) and copper (Cu) having a thickness of less than 15 nanometers (nm);
each of the plurality of metallic regions contacting a vertical copper via formed above and contacting a bottom portion of one of a plurality of horizontal copper interconnect line; and
a diffusion barrier layer comprising surrounding the vertical copper vias and the horizontal copper interconnect except for the top and bottom surfaces of the vertical copper vias and the bottom contact points between the horizontal copper interconnect lines and the top surface of the vertical copper vias.
15. The integrated circuit of claim 14, wherein the diffusion barrier has a thickness of less than 8.0 nanometers (nm).
16. The integrated circuit of claim 15, wherein the diffusion barrier includes a graded composition of Tungsten Silicon Nitride (WSixNy), and wherein x varies from 2.0 to 2.5.
17. The integrated circuit of claim 14, wherein the metallic regions formed on the electrical contact areas of the plurality of transistors comprises unconnected islands of palladium between the lower surface of the vertical copper vias and the contact regions of the plurality of transistors.
18. An integrated circuit, comprising:
a plurality of devices formed in a semiconductor substrate;
a dielectric layer disposed over the semiconductor substrate including a plurality of contact holes;
a first metallic layer contacting portions of a surface of the semiconductor substrate exposed by the plurality of contact holes in the dielectric layer;
each of the plurality of contact holes including a substantially vertical metal column contacting the first metallic layer and extending above a top surface of the dielectric layer;
a second metallic layer contacting a top portion of the substantially vertical metal columns;
a plurality of substantially horizontal metal lines formed above and contacting the second metallic layer proximate to the top of the substantially vertical metal columns;
a diffusion barrier covering substantially the entirety of a top surface, a side surface and portions of a bottom surface of the substantially horizontal metal lines not in contact with the second metallic layer; and
the diffusion barrier covering substantially the entirety of portions of a sidewall of the substantially vertical metal columns not in contact with the dielectric layer.
19. The integrated circuit of claim 18, wherein the first and second metallic layers include at least one of palladium (Pd) and copper (Cu).
20. The integrated circuit of claim 18, wherein the first and second metallic layers have a barely continuous web within the contact holes, with a thickness from of 3 to 5 nanometers.
21. The integrated circuit of claim 18, wherein the first metallic layer comprises a discontinuous layer within each of the contact holes.
22. The integrated circuit of claim 21, wherein the first metallic layer comprises a plurality of non-connected islands within each of the contact holes.
23. The integrated circuit of claim 18, wherein the substantially vertical metal columns comprise copper.
24. The integrated circuit of claim 18, wherein the diffusion barrier comprises tungsten silicon nitride.
25. The integrated circuit of claim 18, wherein the diffusion barrier has a thickness of less than 8.0 nanometers.
26. The integrated circuit of claim 25, wherein the diffusion barrier comprises a graded composition of (WSixNy), and wherein x varies from 2.0 to 2.5.
27. An integrated circuit, comprising:
a plurality of devices formed in a semiconductor substrate;
a dielectric layer disposed over the semiconductor substrate including a plurality of contact holes;
a first metallic layer contacting portions of a surface of the semiconductor substrate exposed by the plurality of contact holes in the dielectric layer;
each of the plurality of contact holes including a substantially vertical metal column contacting the first metallic layer and extending above a top surface of the dielectric layer to form a first plurality of substantially vertical metal columns;
a second metallic layer contacting a top portion of each of the first plurality of substantially vertical metal columns;
a first plurality of substantially horizontal metal lines formed above and contacting the second metallic layer proximate to the top of the first plurality of substantially vertical metal columns;
a third metallic layer contacting a portion of a top surface of the first plurality of substantially horizontal metal lines;
a second plurality of substantially vertical metal columns disposed contacting the third metallic layer and the top surface of the substantially horizontal metal lines;
a fourth metallic layer contacting a top portion of each of the second plurality of substantially vertical metal columns;
a second plurality of substantially horizontal metal lines formed above and contacting the fourth metallic layer proximate to the top of the second plurality of substantially vertical metal columns; and
a diffusion barrier covering substantially the entirety of any portion of the first and second plurality of substantially vertical columns not in directly contact with the dielectric layer or the first or second substantially horizontal metal lines, and the diffusion barrier covering substantially the entirety of any portion of the first and second substantially horizontal metal lines not in contact with the first and second substantially vertical columns.
28. The integrated circuit of claim 27, wherein each of the metallic layers comprise palladium (Pd) or copper (Cu), and have a thickness in the range of 3 to 10 nanometers.
29. The integrated circuit of claim 28, wherein the first metallic layer is confined within the contact holes and comprises a discontinuous layer.
30. The integrated circuit of claim 27, wherein the first and second substantially vertical metal columns comprise copper.
31. The integrated circuit of claim 27, wherein the diffusion barrier comprises tungsten silicon nitride.
32. The integrated circuit of claim 27, wherein the diffusion barrier has a thickness of about 2.0-8.0 nanometers.
33. The integrated circuit of claim 27, wherein the diffusion barrier comprises a graded composition of (WSixNy), wherein x varies from 2.0 to 2.5.
US11/639,020 2000-01-18 2006-12-14 Selective electroless-plated copper metallization Abandoned US20070085213A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/639,020 US20070085213A1 (en) 2000-01-18 2006-12-14 Selective electroless-plated copper metallization

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/483,881 US7211512B1 (en) 2000-01-18 2000-01-18 Selective electroless-plated copper metallization
US10/929,251 US7262505B2 (en) 2000-01-18 2004-08-30 Selective electroless-plated copper metallization
US11/639,020 US20070085213A1 (en) 2000-01-18 2006-12-14 Selective electroless-plated copper metallization

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/929,251 Continuation US7262505B2 (en) 2000-01-18 2004-08-30 Selective electroless-plated copper metallization

Publications (1)

Publication Number Publication Date
US20070085213A1 true US20070085213A1 (en) 2007-04-19

Family

ID=34102657

Family Applications (4)

Application Number Title Priority Date Filing Date
US09/483,881 Expired - Fee Related US7211512B1 (en) 2000-01-18 2000-01-18 Selective electroless-plated copper metallization
US10/929,251 Expired - Lifetime US7262505B2 (en) 2000-01-18 2004-08-30 Selective electroless-plated copper metallization
US11/639,020 Abandoned US20070085213A1 (en) 2000-01-18 2006-12-14 Selective electroless-plated copper metallization
US11/706,662 Abandoned US20070167005A1 (en) 2000-01-18 2007-02-15 Selective electroless-plated copper metallization

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US09/483,881 Expired - Fee Related US7211512B1 (en) 2000-01-18 2000-01-18 Selective electroless-plated copper metallization
US10/929,251 Expired - Lifetime US7262505B2 (en) 2000-01-18 2004-08-30 Selective electroless-plated copper metallization

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/706,662 Abandoned US20070167005A1 (en) 2000-01-18 2007-02-15 Selective electroless-plated copper metallization

Country Status (1)

Country Link
US (4) US7211512B1 (en)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040169213A1 (en) * 2000-01-18 2004-09-02 Micron Technology, Inc. Integrated circuit and seed layers
US20040219783A1 (en) * 2001-07-09 2004-11-04 Micron Technology, Inc. Copper dual damascene interconnect technology
US7915064B2 (en) * 2004-07-12 2011-03-29 International Business Machines Corporation Processing for overcoming extreme topography
US20120193795A1 (en) * 2011-01-31 2012-08-02 Avago Technologies Wireless Ip (Singapore) Pte. Ltd. Semiconductor device having an airbridge and method of fabricating the same
US8779596B2 (en) 2000-01-18 2014-07-15 Micron Technology, Inc. Structures and methods to enhance copper metallization
US8796904B2 (en) 2011-10-31 2014-08-05 Avago Technologies General Ip (Singapore) Pte. Ltd. Bulk acoustic resonator comprising piezoelectric layer and inverse piezoelectric layer
US8981876B2 (en) 2004-11-15 2015-03-17 Avago Technologies General Ip (Singapore) Pte. Ltd. Piezoelectric resonator structures and electrical filters having frame elements
US9243316B2 (en) 2010-01-22 2016-01-26 Avago Technologies General Ip (Singapore) Pte. Ltd. Method of fabricating piezoelectric material with selected c-axis orientation
US9385684B2 (en) 2012-10-23 2016-07-05 Avago Technologies General Ip (Singapore) Pte. Ltd. Acoustic resonator having guard ring
US9401692B2 (en) 2012-10-29 2016-07-26 Avago Technologies General Ip (Singapore) Pte. Ltd. Acoustic resonator having collar structure
US9490771B2 (en) 2012-10-29 2016-11-08 Avago Technologies General Ip (Singapore) Pte. Ltd. Acoustic resonator comprising collar and frame
US9490418B2 (en) 2011-03-29 2016-11-08 Avago Technologies General Ip (Singapore) Pte. Ltd. Acoustic resonator comprising collar and acoustic reflector with temperature compensating layer
WO2019032114A1 (en) * 2017-08-11 2019-02-14 Intel Corporation Qubit devices with undercut conductive circuit elements
US10757820B2 (en) * 2015-11-06 2020-08-25 Richview Electronics Co., Ltd. Single-layer circuit board, multi-layer circuit board, and manufacturing methods therefor

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6429120B1 (en) 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US7262130B1 (en) * 2000-01-18 2007-08-28 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
FR2828334A1 (en) * 2001-08-03 2003-02-07 Schlumberger Systems & Service Restoration of electrical and mechanical connectability to an electrical device with a face equipped with contact studs using an fixing layer crossed by conducting tracks
GB2385863A (en) * 2001-10-29 2003-09-03 Qinetiq Ltd High resolution patterning method
US20050191777A1 (en) * 2003-09-22 2005-09-01 National Chung-Hsing University Method for producing light emitting diode with plated substrate
JP4726462B2 (en) * 2004-10-29 2011-07-20 ルネサスエレクトロニクス株式会社 Semiconductor integrated device, design method thereof, design device, program, manufacturing method, and manufacturing device
US7459386B2 (en) * 2004-11-16 2008-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming solder bumps of increased height
US7404251B2 (en) 2006-04-18 2008-07-29 International Business Machines Corporation Manufacture of printed circuit boards with stubless plated through-holes
US20080073795A1 (en) * 2006-09-24 2008-03-27 Georgia Tech Research Corporation Integrated circuit interconnection devices and methods
US7608538B2 (en) * 2007-01-05 2009-10-27 International Business Machines Corporation Formation of vertical devices by electroplating
US7892441B2 (en) * 2007-06-01 2011-02-22 General Dynamics Advanced Information Systems, Inc. Method and apparatus to change solder pad size using a differential pad plating
US9324611B2 (en) * 2008-04-03 2016-04-26 Micron Technology, Inc. Corrosion resistant via connections in semiconductor substrates and methods of making same
US7863176B2 (en) * 2008-05-13 2011-01-04 Micron Technology, Inc. Low-resistance interconnects and methods of making same
US7812455B2 (en) * 2008-06-16 2010-10-12 Intel Corporation Interconnect in low-k interlayer dielectrics
US8334202B2 (en) * 2009-11-03 2012-12-18 Infineon Technologies Ag Device fabricated using an electroplating process
US9598772B2 (en) * 2010-04-16 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating bump structure without UBM undercut
US8497203B2 (en) 2010-08-13 2013-07-30 International Business Machines Corporation Semiconductor structures and methods of manufacture
US8703602B2 (en) 2010-12-02 2014-04-22 Qualcomm Incorporated Selective seed layer treatment for feature plating
JP2012148428A (en) * 2011-01-17 2012-08-09 Toshiba Tec Corp Method of manufacturing inkjet head
RU2486632C2 (en) * 2011-07-20 2013-06-27 Открытое акционерное общество "НИИ молекулярной электроники и завод "Микрон" Method for manufacturing of improved multilevel copper metallisation using dielectrics with ultra low dielectric constant (ultra low-k)
US8525339B2 (en) 2011-07-27 2013-09-03 International Business Machines Corporation Hybrid copper interconnect structure and method of fabricating same
US8796853B2 (en) 2012-02-24 2014-08-05 International Business Machines Corporation Metallic capped interconnect structure with high electromigration resistance and low resistivity
US9312203B2 (en) 2013-01-02 2016-04-12 Globalfoundries Inc. Dual damascene structure with liner
KR101998788B1 (en) 2013-04-22 2019-07-11 삼성전자주식회사 Semiconductor Devices and Methods of Fabricating the Same
US9295163B2 (en) 2013-05-30 2016-03-22 Dyi-chung Hu Method of making a circuit board structure with embedded fine-pitch wires
US9159696B2 (en) 2013-09-13 2015-10-13 GlobalFoundries, Inc. Plug via formation by patterned plating and polishing
KR102460075B1 (en) 2016-01-27 2022-10-31 삼성전자주식회사 Semiconductor devices and methods of manufacturing semiconductor devices
CN107666765A (en) * 2016-07-29 2018-02-06 同扬光电(江苏)有限公司 Circuit board structure
WO2018111433A1 (en) * 2016-11-04 2018-06-21 Massachusetts Institute Of Technology Formation of pores in atomically thin layers
US10224299B2 (en) * 2016-12-29 2019-03-05 Intel Corporation Sintered solder for fine pitch first-level interconnect (FLI) applications

Citations (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1254987A (en) * 1917-10-15 1918-01-29 Cooper Res Company Alloy.
US3506438A (en) * 1967-07-24 1970-04-14 Mallory & Co Inc P R Method of producing beryllium composites by liquid phase sintering
US3932226A (en) * 1974-12-06 1976-01-13 Rca Corporation Method of electrically interconnecting semiconductor elements
US3954570A (en) * 1974-11-11 1976-05-04 Amp Incorporated Sensitized polyimides and circuit elements thereof
US4022931A (en) * 1974-07-01 1977-05-10 Motorola, Inc. Process for making semiconductor device
US4314594A (en) * 1977-02-26 1982-02-09 Vacuumschmelze Gmbh Reducing magnetic hysteresis losses in cores of thin tapes of soft magnetic amorphous metal alloys
US4386116A (en) * 1981-12-24 1983-05-31 International Business Machines Corporation Process for making multilayer integrated circuit substrate
US4423547A (en) * 1981-06-01 1984-01-03 International Business Machines Corporation Method for forming dense multilevel interconnection metallurgy for semiconductor devices
US4574095A (en) * 1984-11-19 1986-03-04 International Business Machines Corporation Selective deposition of copper
US4824544A (en) * 1987-10-29 1989-04-25 International Business Machines Corporation Large area cathode lift-off sputter deposition device
US4996584A (en) * 1985-01-31 1991-02-26 Gould, Inc. Thin-film electrical connections for integrated circuits
US5000818A (en) * 1989-08-14 1991-03-19 Fairchild Semiconductor Corporation Method of fabricating a high performance interconnect system for an integrated circuit
US5019531A (en) * 1988-05-23 1991-05-28 Nippon Telegraph And Telephone Corporation Process for selectively growing thin metallic film of copper or gold
US5084412A (en) * 1989-10-02 1992-01-28 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device with a copper wiring layer
US5100499A (en) * 1989-12-20 1992-03-31 Texas Instruments Incorporated Copper dry etch process using organic and amine radicals
US5308440A (en) * 1991-09-05 1994-05-03 Matsushita Electric Industrial Co., Ltd. Method of making semiconductor device with air-bridge interconnection
US5384284A (en) * 1993-10-01 1995-01-24 Micron Semiconductor, Inc. Method to form a low resistant bond pad interconnect
US5399897A (en) * 1993-11-29 1995-03-21 Raytheon Company Microstructure and method of making such structure
US5401680A (en) * 1992-02-18 1995-03-28 National Semiconductor Corporation Method for forming a ceramic oxide capacitor having barrier layers
US5408742A (en) * 1991-10-28 1995-04-25 Martin Marietta Corporation Process for making air bridges for integrated circuits
US5413962A (en) * 1994-07-15 1995-05-09 United Microelectronics Corporation Multi-level conductor process in VLSI fabrication utilizing an air bridge
US5413687A (en) * 1991-11-27 1995-05-09 Rogers Corporation Method for metallizing fluoropolymer substrates
US5485037A (en) * 1993-04-12 1996-01-16 Amkor Electronics, Inc. Semiconductor device having a thermal dissipator and electromagnetic shielding
US5495667A (en) * 1994-11-07 1996-03-05 Micron Technology, Inc. Method for forming contact pins for semiconductor dice and interconnects
US5506449A (en) * 1993-03-24 1996-04-09 Kawasaki Steel Corporation Interconnection structure for semiconductor integrated circuit and manufacture of the same
US5510645A (en) * 1993-06-02 1996-04-23 Motorola, Inc. Semiconductor structure having an air region and method of forming the semiconductor structure
US5595937A (en) * 1995-04-13 1997-01-21 Nec Corporation Method for fabricating semiconductor device with interconnections buried in trenches
US5609721A (en) * 1994-03-11 1997-03-11 Fujitsu Limited Semiconductor device manufacturing apparatus and its cleaning method
US5625232A (en) * 1994-07-15 1997-04-29 Texas Instruments Incorporated Reliability of metal leads in high speed LSI semiconductors using dummy vias
US5633200A (en) * 1996-05-24 1997-05-27 Micron Technology, Inc. Process for manufacturing a large grain tungsten nitride film and process for manufacturing a lightly nitrided titanium salicide diffusion barrier with a large grain tungsten nitride cover layer
US5705425A (en) * 1992-05-28 1998-01-06 Fujitsu Limited Process for manufacturing semiconductor devices separated by an air-bridge
US5719447A (en) * 1993-06-03 1998-02-17 Intel Corporation Metal alloy interconnections for integrated circuits
US5719410A (en) * 1993-12-28 1998-02-17 Kabushiki Kaisha Toshiba Semiconductor device wiring or electrode
US5719089A (en) * 1996-06-21 1998-02-17 Vanguard International Semiconductor Corporation Method for etching polymer-assisted reduced small contacts for ultra large scale integration semiconductor devices
US5725689A (en) * 1994-03-28 1998-03-10 Nippon Steel Corporation Steel wire of high strength excellent in fatigue characteristics
US5739579A (en) * 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
US5858877A (en) * 1992-07-28 1999-01-12 Micron Technology, Inc. Self-aligned process for making contacts to silicon substrates during the manufacture of integrated circuits therein
US5880018A (en) * 1996-10-07 1999-03-09 Motorola Inc. Method for manufacturing a low dielectric constant inter-level integrated circuit structure
US5889295A (en) * 1996-02-26 1999-03-30 Kabushiki Kaisha Toshiba Semiconductor device
US5891804A (en) * 1996-04-18 1999-04-06 Texas Instruments Incorporated Process for conductors with selective deposition
US5891797A (en) * 1997-10-20 1999-04-06 Micron Technology, Inc. Method of forming a support structure for air bridge wiring of an integrated circuit
US5893752A (en) * 1997-12-22 1999-04-13 Motorola, Inc. Process for forming a semiconductor device
US5895740A (en) * 1996-11-13 1999-04-20 Vanguard International Semiconductor Corp. Method of forming contact holes of reduced dimensions by using in-situ formed polymeric sidewall spacers
US5897370A (en) * 1994-08-05 1999-04-27 International Business Machines Corporation High aspect ratio low resistivity lines/vias by surface diffusion
US5899740A (en) * 1997-03-04 1999-05-04 Samsung Electronics Co., Ltd. Methods of fabricating copper interconnects for integrated circuits
US5900668A (en) * 1995-11-30 1999-05-04 Advanced Micro Devices, Inc. Low capacitance interconnection
US5907772A (en) * 1996-02-26 1999-05-25 Nec Corporation Method of producing cylindrical storage node of stacked capacitor in memory cell
US6015465A (en) * 1998-04-08 2000-01-18 Applied Materials, Inc. Temperature control system for semiconductor process chamber
US6015738A (en) * 1994-05-05 2000-01-18 California Institute Of Technology Method for fabricating transistorless, multistable current-mode memory cells and memory arrays
US6022802A (en) * 1999-03-18 2000-02-08 Taiwan Semiconductor Manufacturing Company Low dielectric constant intermetal dielectric (IMD) by formation of air gap between metal lines
US6025261A (en) * 1998-04-29 2000-02-15 Micron Technology, Inc. Method for making high-Q inductive elements
US6028362A (en) * 1997-05-12 2000-02-22 Yamaha Corporation Damascene wiring with flat surface
US6030895A (en) * 1995-01-03 2000-02-29 International Business Machines Corporation Method of making a soft metal conductor
US6030877A (en) * 1997-10-06 2000-02-29 Industrial Technology Research Institute Electroless gold plating method for forming inductor structures
US6037248A (en) * 1997-06-13 2000-03-14 Micron Technology, Inc. Method of fabricating integrated circuit wiring with low RC time delay
US6054172A (en) * 1997-08-22 2000-04-25 Micron Technology, Inc. Copper electroless deposition on a titanium-containing surface
US6169024B1 (en) * 1998-09-30 2001-01-02 Intel Corporation Process to manufacture continuous metal interconnects
US6168704B1 (en) * 1999-02-04 2001-01-02 Advanced Micro Device, Inc. Site-selective electrochemical deposition of copper
US6171661B1 (en) * 1998-02-25 2001-01-09 Applied Materials, Inc. Deposition of copper with increased adhesion
US6174804B1 (en) * 1998-05-26 2001-01-16 United Microelectronics Corp. Dual damascene manufacturing process
US6177350B1 (en) * 1998-04-14 2001-01-23 Applied Materials, Inc. Method for forming a multilayered aluminum-comprising structure on a substrate
US6184121B1 (en) * 1997-07-10 2001-02-06 International Business Machines Corporation Chip interconnect wiring structure with low dielectric constant insulator and methods for fabricating the same
US6183564B1 (en) * 1998-11-12 2001-02-06 Tokyo Electron Limited Buffer chamber for integrating physical and chemical vapor deposition chambers together in a processing system
US6187656B1 (en) * 1997-10-07 2001-02-13 Texas Instruments Incorporated CVD-based process for manufacturing stable low-resistivity poly-metal gate electrodes
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6197688B1 (en) * 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
US6197181B1 (en) * 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6204065B1 (en) * 1997-03-27 2001-03-20 Ngk Insulators, Ltd. Conduction assist member and manufacturing method of the same
US6207553B1 (en) * 1999-01-26 2001-03-27 Advanced Micro Devices, Inc. Method of forming multiple levels of patterned metallization
US6208016B1 (en) * 1998-09-10 2001-03-27 Micron Technology, Inc. Forming submicron integrated-circuit wiring from gold, silver, copper and other metals
US6207222B1 (en) * 1997-08-19 2001-03-27 Applied Materials, Inc. Dual damascene metallization
US6207558B1 (en) * 1999-10-21 2001-03-27 Applied Materials, Inc. Barrier applications for aluminum planarization
US6211073B1 (en) * 1998-02-27 2001-04-03 Micron Technology, Inc. Methods for making copper and other metal interconnections in integrated circuits
US6211561B1 (en) * 1998-11-16 2001-04-03 Conexant Systems, Inc. Interconnect structure and method employing air gaps between metal lines and between metal layers
US6215186B1 (en) * 1998-01-12 2001-04-10 Texas Instruments Incorporated System and method of forming a tungstein plug
US6214719B1 (en) * 1999-09-30 2001-04-10 Novellus Systems, Inc. Method of implementing air-gap technology for low capacitance ILD in the damascene scheme
US6218282B1 (en) * 1999-02-18 2001-04-17 Advanced Micro Devices, Inc. Method of forming low dielectric tungsten lined interconnection system
US6221763B1 (en) * 1999-04-05 2001-04-24 Micron Technology, Inc. Method of forming a metal seed layer for subsequent plating
US6342722B1 (en) * 1999-08-05 2002-01-29 International Business Machines Corporation Integrated circuit having air gaps between dielectric and conducting lines
US6342448B1 (en) * 2000-05-31 2002-01-29 Taiwan Semiconductor Manufacturing Company Method of fabricating barrier adhesion to low-k dielectric layers in a copper damascene process
US20020014646A1 (en) * 1997-01-31 2002-02-07 Robert Tsu Integrated circuit capacitor
US6350678B1 (en) * 1999-09-17 2002-02-26 Advanced Micro Devices, Inc. Chemical-mechanical polishing of semiconductors
US6350687B1 (en) * 1999-03-18 2002-02-26 Advanced Micro Devices, Inc. Method of fabricating improved copper metallization including forming and removing passivation layer before forming capping film
US20020028552A1 (en) * 1998-10-17 2002-03-07 Ki-Young Lee Capacitor of semiconductor integrated circuit and its fabricating method
US6358842B1 (en) * 2000-08-07 2002-03-19 Chartered Semiconductor Manufacturing Ltd. Method to form damascene interconnects with sidewall passivation to protect organic dielectrics
US6359328B1 (en) * 1998-12-31 2002-03-19 Intel Corporation Methods for making interconnects and diffusion barriers in integrated circuits
US6361667B1 (en) * 1997-03-18 2002-03-26 Anelva Corporation Ionization sputtering apparatus
US6365511B1 (en) * 1999-06-03 2002-04-02 Agere Systems Guardian Corp. Tungsten silicide nitride as a barrier for high temperature anneals to improve hot carrier reliability
US6368966B1 (en) * 1998-06-30 2002-04-09 Semitool, Inc. Metallization structures for microelectronic applications and process for forming the structures
US6368954B1 (en) * 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
US6372622B1 (en) * 1999-10-26 2002-04-16 Motorola, Inc. Fine pitch bumping with improved device standoff and bump volume
US6376370B1 (en) * 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
US6376368B1 (en) * 1999-08-05 2002-04-23 Samsung Electronics Co., Ltd. Method of forming contact structure in a semiconductor device
US6508920B1 (en) * 1998-02-04 2003-01-21 Semitool, Inc. Apparatus for low-temperature annealing of metallization microstructures in the production of a microelectronic device
US6518198B1 (en) * 2000-08-31 2003-02-11 Micron Technology, Inc. Electroless deposition of doped noble metals and noble metal alloys
US20030034560A1 (en) * 1997-11-05 2003-02-20 Kimihiro Matsuse Wiring structure of semiconductor device, electrode, and method for forming them
US6674167B1 (en) * 2000-05-31 2004-01-06 Micron Technology, Inc. Multilevel copper interconnect with double passivation
US20050023697A1 (en) * 2000-01-18 2005-02-03 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals

Family Cites Families (111)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US620722A (en) * 1899-03-07 Centrifugal cream-separator
US1976375A (en) 1931-01-27 1934-10-09 Beryllium Corp Beryllium-aluminum alloy and method of heat treating the same
US2244608A (en) 1939-02-09 1941-06-03 Cooper Wilford Beryllium Ltd Process of making alloys of aluminum beryllium
US2842438A (en) 1956-08-02 1958-07-08 American Metal Climax Inc Copper-zirconium alloys
US3147110A (en) 1961-11-27 1964-09-01 Dow Chemical Co Die-expressed article of aluminum-base alloy and method of making
US3832456A (en) 1962-10-18 1974-08-27 Ethyl Corp Process for the manufacture of beryllium hydride
US3337334A (en) 1963-12-06 1967-08-22 Lockheed Aircraft Corp Beryllium-aluminum alloy
US3548948A (en) 1969-01-23 1970-12-22 Mallory & Co Inc P R Procedure for chill casting beryllium composite
US3548915A (en) 1969-06-11 1970-12-22 Mallory & Co Inc P R New procedure for chill casting beryllium composite
US3687737A (en) 1970-07-17 1972-08-29 Mallory & Co Inc P R Method of making beryllium-aluminum-copper-silicon wrought material
US3923500A (en) 1971-08-11 1975-12-02 Toyo Valve Co Ltd Copper base alloy
SE360391B (en) 1972-04-27 1973-09-24 Elektrokoppar Ab
US4065330A (en) 1974-09-26 1977-12-27 The Foundation: The Research Institute Of Electric And Magnetic Alloys Wear-resistant high-permeability alloy
US4029377A (en) 1976-02-03 1977-06-14 Rogers Corporation Push-on bus bar
US4078338A (en) * 1976-08-27 1978-03-14 Baughcom Elisha J Graver sharpening apparatus
US4101855A (en) 1976-11-05 1978-07-18 Hi-G Incorporated Miniature relay
US4158719A (en) 1977-06-09 1979-06-19 Carpenter Technology Corporation Low expansion low resistivity composite powder metallurgy member and method of making the same
NL181611C (en) 1978-11-14 1987-09-16 Philips Nv METHOD FOR MANUFACTURING A WIRING SYSTEM, AND A SEMICONDUCTOR DEVICE EQUIPPED WITH SUCH WIRING SYSTEM.
US4213818A (en) 1979-01-04 1980-07-22 Signetics Corporation Selective plasma vapor etching process
US4389429A (en) 1980-06-16 1983-06-21 Rockwell International Corporation Method of forming integrated circuit chip transmission line
US4394223A (en) * 1981-10-06 1983-07-19 The United States Of America As Represented By The Secretary Of The Air Force Tin and gold plating process
US4709359A (en) 1982-06-28 1987-11-24 Magnovox Government And Industrial Electronics Company End weighted reed sound transducer
US4565157A (en) 1983-03-29 1986-01-21 Genus, Inc. Method and apparatus for deposition of tungsten silicides
WO1985003460A1 (en) 1984-02-13 1985-08-15 Schmitt Jerome J Iii Method and apparatus for the gas jet deposition of conducting and dielectric thin solid films and products produced thereby
US4762728A (en) 1985-04-09 1988-08-09 Fairchild Semiconductor Corporation Low temperature plasma nitridation process and applications of nitride films formed thereby
US4670297A (en) 1985-06-21 1987-06-02 Raytheon Company Evaporated thick metal and airbridge interconnects and method of manufacture
DE3724617A1 (en) 1986-07-25 1988-01-28 Fuji Photo Film Co Ltd Recording medium and method of carrying out recording/reproduction using the recording medium
JP2544396B2 (en) 1987-08-25 1996-10-16 株式会社日立製作所 Method for manufacturing semiconductor integrated circuit device
GB2214709A (en) 1988-01-20 1989-09-06 Philips Nv A method of enabling connection to a substructure forming part of an electronic device
US4847111A (en) 1988-06-30 1989-07-11 Hughes Aircraft Company Plasma-nitridated self-aligned tungsten system for VLSI interconnections
JPH02220464A (en) * 1989-02-22 1990-09-03 Toshiba Corp Semiconductor device and manufacture thereof
US4933743A (en) * 1989-03-11 1990-06-12 Fairchild Semiconductor Corporation High performance interconnect system for an integrated circuit
US4857481A (en) 1989-03-14 1989-08-15 Motorola, Inc. Method of fabricating airbridge metal interconnects
US4962058A (en) 1989-04-14 1990-10-09 International Business Machines Corporation Process for fabricating multi-level integrated circuit wiring structure from a single metal deposit
US5045635A (en) 1989-06-16 1991-09-03 Schlegel Corporation Conductive gasket with flame and abrasion resistant conductive coating
JP2856778B2 (en) 1989-09-07 1999-02-10 株式会社東芝 Wiring structure of semiconductor device
JP2721023B2 (en) 1989-09-26 1998-03-04 キヤノン株式会社 Deposition film formation method
US5071518A (en) 1989-10-24 1991-12-10 Microelectronics And Computer Technology Corporation Method of making an electrical multilayer interconnect
US5043299B1 (en) 1989-12-01 1997-02-25 Applied Materials Inc Process for selective deposition of tungsten on semiconductor wafer
US5356672A (en) 1990-05-09 1994-10-18 Jet Process Corporation Method for microwave plasma assisted supersonic gas jet deposition of thin films
US5256205A (en) 1990-05-09 1993-10-26 Jet Process Corporation Microwave plasma assisted supersonic gas jet deposition of thin film materials
US5173442A (en) 1990-07-23 1992-12-22 Microelectronics And Computer Technology Corporation Methods of forming channels and vias in insulating layers
US5196919A (en) 1990-12-07 1993-03-23 Kyocera America, Inc. Use of a contamination shield during the manufacture of semiconductor packages
US5149615A (en) 1991-01-08 1992-09-22 The Boeing Company Method for producing a planar surface on which a conductive layer can be applied
US5158986A (en) 1991-04-05 1992-10-27 Massachusetts Institute Of Technology Microcellular thermoplastic foamed with supercritical fluid
US5243222A (en) 1991-04-05 1993-09-07 International Business Machines Corporation Copper alloy metallurgies for VLSI interconnection structures
US5130274A (en) 1991-04-05 1992-07-14 International Business Machines Corporation Copper alloy metallurgies for VLSI interconnection structures
US5219793A (en) 1991-06-03 1993-06-15 Motorola Inc. Method for forming pitch independent contacts and a semiconductor device having the same
KR960015348B1 (en) 1991-06-19 1996-11-09 니뽄 덴끼 가부시끼가이샤 Semiconductor memory device
JP3166221B2 (en) 1991-07-23 2001-05-14 日本電気株式会社 Semiconductor device and manufacturing method thereof
US5578146A (en) 1991-10-02 1996-11-26 Brush Wellman, Inc. Aluminum-beryllium alloys having high stiffness and low thermal expansion for memory devices
US5667600A (en) 1991-10-02 1997-09-16 Brush Wellman, Inc. Aluminum alloys containing beryllium and investment casting of such alloys
US5442237A (en) 1991-10-21 1995-08-15 Motorola Inc. Semiconductor device having a low permittivity dielectric
US5232866A (en) 1991-10-23 1993-08-03 International Business Machines Corporation Isolated films using an air dielectric
US5227658A (en) 1991-10-23 1993-07-13 International Business Machines Corporation Buried air dielectric isolation of silicon islands
US5231056A (en) 1992-01-15 1993-07-27 Micron Technology, Inc. Tungsten silicide (WSix) deposition process for semiconductor manufacture
US5324684A (en) 1992-02-25 1994-06-28 Ag Processing Technologies, Inc. Gas phase doping of semiconductor material in a cold-wall radiantly heated reactor under reduced pressure
US5300813A (en) 1992-02-26 1994-04-05 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
JP2756887B2 (en) 1992-03-02 1998-05-25 三菱電機株式会社 Semiconductor device conductive layer connection structure and method of manufacturing the same
JPH05267643A (en) 1992-03-19 1993-10-15 Fujitsu Ltd Semiconductor device and its manufacture
US5310580A (en) * 1992-04-27 1994-05-10 International Business Machines Corporation Electroless metal adhesion to organic dielectric material with phase separated morphology
US5371042A (en) 1992-06-16 1994-12-06 Applied Materials, Inc. Method of filling contacts in semiconductor devices
US5268315A (en) 1992-09-04 1993-12-07 Tektronix, Inc. Implant-free heterojunction bioplar transistor integrated circuit process
US5354712A (en) 1992-11-12 1994-10-11 Northern Telecom Limited Method for forming interconnect structures for integrated circuits
JPH06172895A (en) 1992-12-03 1994-06-21 Yamaha Metanikusu Kk Copper alloy for lead frame
JPH0722583A (en) 1992-12-15 1995-01-24 Internatl Business Mach Corp <Ibm> Multilayer circuit device
KR970001883B1 (en) 1992-12-30 1997-02-18 삼성전자 주식회사 Semiconductor device and method for manufacturing the same
DE4400200C2 (en) 1993-01-05 1997-09-04 Toshiba Kawasaki Kk Semiconductor device with improved wiring structure and method of manufacturing the same
JP3326698B2 (en) 1993-03-19 2002-09-24 富士通株式会社 Manufacturing method of integrated circuit device
US5654245A (en) 1993-03-23 1997-08-05 Sharp Microelectronics Technology, Inc. Implantation of nucleating species for selective metallization and products thereof
US5470801A (en) 1993-06-28 1995-11-28 Lsi Logic Corporation Low dielectric constant insulation layer for integrated circuit structure and method of making same
US5539060A (en) 1993-07-30 1996-07-23 Nippon Zeon Co., Ltd. Method for hydrogenation of metathesis polymers
DE4439782B4 (en) * 1993-11-05 2005-07-28 Sanyo Electric Co., Ltd., Moriguchi A container packed with a number of powders of hydrogen-absorbing alloys, and shaped articles
US5539227A (en) 1993-11-24 1996-07-23 Mitsubishi Denki Kabushiki Kaisha Multi-layer wiring
US5457344A (en) 1994-03-25 1995-10-10 Bartelink; Dirk J. Test fixtures for C4 solder-bump technology
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
JPH07321111A (en) 1994-05-26 1995-12-08 Meidensha Corp Method of forming electroless plated interconnection for integrated circuit
US5476817A (en) 1994-05-31 1995-12-19 Texas Instruments Incorporated Method of making reliable metal leads in high speed LSI semiconductors using both dummy leads and thermoconductive layers
US5635253A (en) 1994-08-30 1997-06-03 International Business Machines Corporation Method of replenishing electroless gold plating baths
US5534731A (en) 1994-10-28 1996-07-09 Advanced Micro Devices, Incorporated Layered low dielectric constant technology
KR0144085B1 (en) 1994-12-05 1998-08-17 김주용 Method for forming metal circuit of semiconductor device
KR100413890B1 (en) 1995-03-02 2004-03-19 동경 엘렉트론 주식회사 Manufacturing method and manufacturing apparatus of semiconductor device
US5962923A (en) 1995-08-07 1999-10-05 Applied Materials, Inc. Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
US5824599A (en) 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
US5674787A (en) * 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US5662788A (en) 1996-06-03 1997-09-02 Micron Technology, Inc. Method for forming a metallization layer
US5695810A (en) * 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
US6071810A (en) 1996-12-24 2000-06-06 Kabushiki Kaisha Toshiba Method of filling contact holes and wiring grooves of a semiconductor device
JPH10199881A (en) 1997-01-13 1998-07-31 Nec Corp Manufacture of semiconductor device
US6139699A (en) 1997-05-27 2000-10-31 Applied Materials, Inc. Sputtering methods for depositing stress tunable tantalum and tantalum nitride films
US6069068A (en) * 1997-05-30 2000-05-30 International Business Machines Corporation Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
US6143646A (en) 1997-06-03 2000-11-07 Motorola Inc. Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation
KR100256110B1 (en) 1997-08-16 2000-05-01 윤종용 Interconnect for a semiconductor device and method of fabricating the same
US5972179A (en) 1997-09-30 1999-10-26 Lucent Technologies Inc. Silicon IC contacts using composite TiN barrier layer
US6140228A (en) 1997-11-13 2000-10-31 Cypress Semiconductor Corporation Low temperature metallization process
US6057226A (en) * 1997-11-25 2000-05-02 Intel Corporation Air gap based low dielectric constant interconnect structure and method of making same
US6140234A (en) * 1998-01-20 2000-10-31 International Business Machines Corporation Method to selectively fill recesses with conductive metal
US5985759A (en) 1998-02-24 1999-11-16 Applied Materials, Inc. Oxygen enhancement of ion metal plasma (IMP) sputter deposited barrier layers
US6232219B1 (en) 1998-05-20 2001-05-15 Micron Technology, Inc. Self-limiting method of reducing contamination in a contact opening, method of making contacts and semiconductor devices therewith, and resulting structures
US6232543B1 (en) * 1998-07-02 2001-05-15 Citizen Watch Co., Ltd. Thermoelectric system
US6303505B1 (en) 1998-07-09 2001-10-16 Advanced Micro Devices, Inc. Copper interconnect with improved electromigration resistance
US6017820A (en) 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US5948467A (en) 1998-07-24 1999-09-07 Sharp Laboratories Of America, Inc. Enhanced CVD copper adhesion by two-step deposition process
TW444238B (en) * 1998-08-11 2001-07-01 Toshiba Corp A method of making thin film
TW400619B (en) * 1999-03-05 2000-08-01 United Microelectronics Corp The manufacture method of dual damascene structure
US6265311B1 (en) 1999-04-27 2001-07-24 Tokyo Electron Limited PECVD of TaN films from tantalum halide precursors
US6265301B1 (en) * 1999-05-12 2001-07-24 Taiwan Semiconductor Manufacturing Company Method of forming metal interconnect structures and metal via structures using photolithographic and electroplating or electro-less plating procedures
US6251781B1 (en) 1999-08-16 2001-06-26 Chartered Semiconductor Manufacturing Ltd. Method to deposit a platinum seed layer for use in selective copper plating
US6303498B1 (en) 1999-08-20 2001-10-16 Taiwan Semiconductor Manufacturing Company Method for preventing seed layer oxidation for high aspect gap fill
US6387542B1 (en) * 2000-07-06 2002-05-14 Honeywell International Inc. Electroless silver plating
US6383920B1 (en) * 2001-01-10 2002-05-07 International Business Machines Corporation Process of enclosing via for improved reliability in dual damascene interconnects

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1254987A (en) * 1917-10-15 1918-01-29 Cooper Res Company Alloy.
US3506438A (en) * 1967-07-24 1970-04-14 Mallory & Co Inc P R Method of producing beryllium composites by liquid phase sintering
US4022931A (en) * 1974-07-01 1977-05-10 Motorola, Inc. Process for making semiconductor device
US3954570A (en) * 1974-11-11 1976-05-04 Amp Incorporated Sensitized polyimides and circuit elements thereof
US3932226A (en) * 1974-12-06 1976-01-13 Rca Corporation Method of electrically interconnecting semiconductor elements
US4314594A (en) * 1977-02-26 1982-02-09 Vacuumschmelze Gmbh Reducing magnetic hysteresis losses in cores of thin tapes of soft magnetic amorphous metal alloys
US4423547A (en) * 1981-06-01 1984-01-03 International Business Machines Corporation Method for forming dense multilevel interconnection metallurgy for semiconductor devices
US4386116A (en) * 1981-12-24 1983-05-31 International Business Machines Corporation Process for making multilayer integrated circuit substrate
US4574095A (en) * 1984-11-19 1986-03-04 International Business Machines Corporation Selective deposition of copper
US4996584A (en) * 1985-01-31 1991-02-26 Gould, Inc. Thin-film electrical connections for integrated circuits
US4824544A (en) * 1987-10-29 1989-04-25 International Business Machines Corporation Large area cathode lift-off sputter deposition device
US5019531A (en) * 1988-05-23 1991-05-28 Nippon Telegraph And Telephone Corporation Process for selectively growing thin metallic film of copper or gold
US5000818A (en) * 1989-08-14 1991-03-19 Fairchild Semiconductor Corporation Method of fabricating a high performance interconnect system for an integrated circuit
US5084412A (en) * 1989-10-02 1992-01-28 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device with a copper wiring layer
US5100499A (en) * 1989-12-20 1992-03-31 Texas Instruments Incorporated Copper dry etch process using organic and amine radicals
US5308440A (en) * 1991-09-05 1994-05-03 Matsushita Electric Industrial Co., Ltd. Method of making semiconductor device with air-bridge interconnection
US5408742A (en) * 1991-10-28 1995-04-25 Martin Marietta Corporation Process for making air bridges for integrated circuits
US5413687A (en) * 1991-11-27 1995-05-09 Rogers Corporation Method for metallizing fluoropolymer substrates
US5401680A (en) * 1992-02-18 1995-03-28 National Semiconductor Corporation Method for forming a ceramic oxide capacitor having barrier layers
US5705425A (en) * 1992-05-28 1998-01-06 Fujitsu Limited Process for manufacturing semiconductor devices separated by an air-bridge
US5739579A (en) * 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
US5858877A (en) * 1992-07-28 1999-01-12 Micron Technology, Inc. Self-aligned process for making contacts to silicon substrates during the manufacture of integrated circuits therein
US5506449A (en) * 1993-03-24 1996-04-09 Kawasaki Steel Corporation Interconnection structure for semiconductor integrated circuit and manufacture of the same
US5485037A (en) * 1993-04-12 1996-01-16 Amkor Electronics, Inc. Semiconductor device having a thermal dissipator and electromagnetic shielding
US5510645A (en) * 1993-06-02 1996-04-23 Motorola, Inc. Semiconductor structure having an air region and method of forming the semiconductor structure
US5719447A (en) * 1993-06-03 1998-02-17 Intel Corporation Metal alloy interconnections for integrated circuits
US5384284A (en) * 1993-10-01 1995-01-24 Micron Semiconductor, Inc. Method to form a low resistant bond pad interconnect
US5399897A (en) * 1993-11-29 1995-03-21 Raytheon Company Microstructure and method of making such structure
US5719410A (en) * 1993-12-28 1998-02-17 Kabushiki Kaisha Toshiba Semiconductor device wiring or electrode
US5609721A (en) * 1994-03-11 1997-03-11 Fujitsu Limited Semiconductor device manufacturing apparatus and its cleaning method
US5725689A (en) * 1994-03-28 1998-03-10 Nippon Steel Corporation Steel wire of high strength excellent in fatigue characteristics
US6015738A (en) * 1994-05-05 2000-01-18 California Institute Of Technology Method for fabricating transistorless, multistable current-mode memory cells and memory arrays
US5625232A (en) * 1994-07-15 1997-04-29 Texas Instruments Incorporated Reliability of metal leads in high speed LSI semiconductors using dummy vias
US5413962A (en) * 1994-07-15 1995-05-09 United Microelectronics Corporation Multi-level conductor process in VLSI fabrication utilizing an air bridge
US5897370A (en) * 1994-08-05 1999-04-27 International Business Machines Corporation High aspect ratio low resistivity lines/vias by surface diffusion
US5495667A (en) * 1994-11-07 1996-03-05 Micron Technology, Inc. Method for forming contact pins for semiconductor dice and interconnects
US6030895A (en) * 1995-01-03 2000-02-29 International Business Machines Corporation Method of making a soft metal conductor
US5595937A (en) * 1995-04-13 1997-01-21 Nec Corporation Method for fabricating semiconductor device with interconnections buried in trenches
US5900668A (en) * 1995-11-30 1999-05-04 Advanced Micro Devices, Inc. Low capacitance interconnection
US5889295A (en) * 1996-02-26 1999-03-30 Kabushiki Kaisha Toshiba Semiconductor device
US5907772A (en) * 1996-02-26 1999-05-25 Nec Corporation Method of producing cylindrical storage node of stacked capacitor in memory cell
US5891804A (en) * 1996-04-18 1999-04-06 Texas Instruments Incorporated Process for conductors with selective deposition
US5633200A (en) * 1996-05-24 1997-05-27 Micron Technology, Inc. Process for manufacturing a large grain tungsten nitride film and process for manufacturing a lightly nitrided titanium salicide diffusion barrier with a large grain tungsten nitride cover layer
US5719089A (en) * 1996-06-21 1998-02-17 Vanguard International Semiconductor Corporation Method for etching polymer-assisted reduced small contacts for ultra large scale integration semiconductor devices
US5880018A (en) * 1996-10-07 1999-03-09 Motorola Inc. Method for manufacturing a low dielectric constant inter-level integrated circuit structure
US5895740A (en) * 1996-11-13 1999-04-20 Vanguard International Semiconductor Corp. Method of forming contact holes of reduced dimensions by using in-situ formed polymeric sidewall spacers
US20020014646A1 (en) * 1997-01-31 2002-02-07 Robert Tsu Integrated circuit capacitor
US5899740A (en) * 1997-03-04 1999-05-04 Samsung Electronics Co., Ltd. Methods of fabricating copper interconnects for integrated circuits
US6361667B1 (en) * 1997-03-18 2002-03-26 Anelva Corporation Ionization sputtering apparatus
US6204065B1 (en) * 1997-03-27 2001-03-20 Ngk Insulators, Ltd. Conduction assist member and manufacturing method of the same
US6028362A (en) * 1997-05-12 2000-02-22 Yamaha Corporation Damascene wiring with flat surface
US6037248A (en) * 1997-06-13 2000-03-14 Micron Technology, Inc. Method of fabricating integrated circuit wiring with low RC time delay
US6184121B1 (en) * 1997-07-10 2001-02-06 International Business Machines Corporation Chip interconnect wiring structure with low dielectric constant insulator and methods for fabricating the same
US6207222B1 (en) * 1997-08-19 2001-03-27 Applied Materials, Inc. Dual damascene metallization
US6054172A (en) * 1997-08-22 2000-04-25 Micron Technology, Inc. Copper electroless deposition on a titanium-containing surface
US6030877A (en) * 1997-10-06 2000-02-29 Industrial Technology Research Institute Electroless gold plating method for forming inductor structures
US6187656B1 (en) * 1997-10-07 2001-02-13 Texas Instruments Incorporated CVD-based process for manufacturing stable low-resistivity poly-metal gate electrodes
US5891797A (en) * 1997-10-20 1999-04-06 Micron Technology, Inc. Method of forming a support structure for air bridge wiring of an integrated circuit
US20030034560A1 (en) * 1997-11-05 2003-02-20 Kimihiro Matsuse Wiring structure of semiconductor device, electrode, and method for forming them
US5893752A (en) * 1997-12-22 1999-04-13 Motorola, Inc. Process for forming a semiconductor device
US6215186B1 (en) * 1998-01-12 2001-04-10 Texas Instruments Incorporated System and method of forming a tungstein plug
US6508920B1 (en) * 1998-02-04 2003-01-21 Semitool, Inc. Apparatus for low-temperature annealing of metallization microstructures in the production of a microelectronic device
US6197688B1 (en) * 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
US6171661B1 (en) * 1998-02-25 2001-01-09 Applied Materials, Inc. Deposition of copper with increased adhesion
US6211073B1 (en) * 1998-02-27 2001-04-03 Micron Technology, Inc. Methods for making copper and other metal interconnections in integrated circuits
US6197181B1 (en) * 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6015465A (en) * 1998-04-08 2000-01-18 Applied Materials, Inc. Temperature control system for semiconductor process chamber
US6177350B1 (en) * 1998-04-14 2001-01-23 Applied Materials, Inc. Method for forming a multilayered aluminum-comprising structure on a substrate
US6025261A (en) * 1998-04-29 2000-02-15 Micron Technology, Inc. Method for making high-Q inductive elements
US6174804B1 (en) * 1998-05-26 2001-01-16 United Microelectronics Corp. Dual damascene manufacturing process
US6368966B1 (en) * 1998-06-30 2002-04-09 Semitool, Inc. Metallization structures for microelectronic applications and process for forming the structures
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6208016B1 (en) * 1998-09-10 2001-03-27 Micron Technology, Inc. Forming submicron integrated-circuit wiring from gold, silver, copper and other metals
US6211049B1 (en) * 1998-09-10 2001-04-03 Micron Technology, Inc. Forming submicron integrated-circuit wiring from gold, silver, copper, and other metals
US6169024B1 (en) * 1998-09-30 2001-01-02 Intel Corporation Process to manufacture continuous metal interconnects
US20020028552A1 (en) * 1998-10-17 2002-03-07 Ki-Young Lee Capacitor of semiconductor integrated circuit and its fabricating method
US6183564B1 (en) * 1998-11-12 2001-02-06 Tokyo Electron Limited Buffer chamber for integrating physical and chemical vapor deposition chambers together in a processing system
US6211561B1 (en) * 1998-11-16 2001-04-03 Conexant Systems, Inc. Interconnect structure and method employing air gaps between metal lines and between metal layers
US6359328B1 (en) * 1998-12-31 2002-03-19 Intel Corporation Methods for making interconnects and diffusion barriers in integrated circuits
US6207553B1 (en) * 1999-01-26 2001-03-27 Advanced Micro Devices, Inc. Method of forming multiple levels of patterned metallization
US6168704B1 (en) * 1999-02-04 2001-01-02 Advanced Micro Device, Inc. Site-selective electrochemical deposition of copper
US6218282B1 (en) * 1999-02-18 2001-04-17 Advanced Micro Devices, Inc. Method of forming low dielectric tungsten lined interconnection system
US6350687B1 (en) * 1999-03-18 2002-02-26 Advanced Micro Devices, Inc. Method of fabricating improved copper metallization including forming and removing passivation layer before forming capping film
US6022802A (en) * 1999-03-18 2000-02-08 Taiwan Semiconductor Manufacturing Company Low dielectric constant intermetal dielectric (IMD) by formation of air gap between metal lines
US6221763B1 (en) * 1999-04-05 2001-04-24 Micron Technology, Inc. Method of forming a metal seed layer for subsequent plating
US6365511B1 (en) * 1999-06-03 2002-04-02 Agere Systems Guardian Corp. Tungsten silicide nitride as a barrier for high temperature anneals to improve hot carrier reliability
US6342722B1 (en) * 1999-08-05 2002-01-29 International Business Machines Corporation Integrated circuit having air gaps between dielectric and conducting lines
US6376368B1 (en) * 1999-08-05 2002-04-23 Samsung Electronics Co., Ltd. Method of forming contact structure in a semiconductor device
US6350678B1 (en) * 1999-09-17 2002-02-26 Advanced Micro Devices, Inc. Chemical-mechanical polishing of semiconductors
US6214719B1 (en) * 1999-09-30 2001-04-10 Novellus Systems, Inc. Method of implementing air-gap technology for low capacitance ILD in the damascene scheme
US6207558B1 (en) * 1999-10-21 2001-03-27 Applied Materials, Inc. Barrier applications for aluminum planarization
US6372622B1 (en) * 1999-10-26 2002-04-16 Motorola, Inc. Fine pitch bumping with improved device standoff and bump volume
US6376370B1 (en) * 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
US20050023697A1 (en) * 2000-01-18 2005-02-03 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US6342448B1 (en) * 2000-05-31 2002-01-29 Taiwan Semiconductor Manufacturing Company Method of fabricating barrier adhesion to low-k dielectric layers in a copper damascene process
US6674167B1 (en) * 2000-05-31 2004-01-06 Micron Technology, Inc. Multilevel copper interconnect with double passivation
US6368954B1 (en) * 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
US6358842B1 (en) * 2000-08-07 2002-03-19 Chartered Semiconductor Manufacturing Ltd. Method to form damascene interconnects with sidewall passivation to protect organic dielectrics
US6518198B1 (en) * 2000-08-31 2003-02-11 Micron Technology, Inc. Electroless deposition of doped noble metals and noble metal alloys

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090001586A1 (en) * 2000-01-18 2009-01-01 Micron Technology, Inc. Integrated circuit and seed layers
US7745934B2 (en) 2000-01-18 2010-06-29 Micron Technology, Inc. Integrated circuit and seed layers
US20040169213A1 (en) * 2000-01-18 2004-09-02 Micron Technology, Inc. Integrated circuit and seed layers
US8779596B2 (en) 2000-01-18 2014-07-15 Micron Technology, Inc. Structures and methods to enhance copper metallization
US20040219783A1 (en) * 2001-07-09 2004-11-04 Micron Technology, Inc. Copper dual damascene interconnect technology
US7915064B2 (en) * 2004-07-12 2011-03-29 International Business Machines Corporation Processing for overcoming extreme topography
US20110130005A1 (en) * 2004-07-12 2011-06-02 International Business Machines Corporation Processing for overcoming extreme topography
US8603846B2 (en) 2004-07-12 2013-12-10 International Business Machines Corporation Processing for overcoming extreme topography
US9263292B2 (en) 2004-07-12 2016-02-16 Globalfoundries Inc. Processing for overcoming extreme topography
US8981876B2 (en) 2004-11-15 2015-03-17 Avago Technologies General Ip (Singapore) Pte. Ltd. Piezoelectric resonator structures and electrical filters having frame elements
US9243316B2 (en) 2010-01-22 2016-01-26 Avago Technologies General Ip (Singapore) Pte. Ltd. Method of fabricating piezoelectric material with selected c-axis orientation
US20120193795A1 (en) * 2011-01-31 2012-08-02 Avago Technologies Wireless Ip (Singapore) Pte. Ltd. Semiconductor device having an airbridge and method of fabricating the same
US8962443B2 (en) * 2011-01-31 2015-02-24 Avago Technologies General Ip (Singapore) Pte. Ltd. Semiconductor device having an airbridge and method of fabricating the same
US9859205B2 (en) 2011-01-31 2018-01-02 Avago Technologies General Ip (Singapore) Pte. Ltd. Semiconductor device having an airbridge and method of fabricating the same
US9490418B2 (en) 2011-03-29 2016-11-08 Avago Technologies General Ip (Singapore) Pte. Ltd. Acoustic resonator comprising collar and acoustic reflector with temperature compensating layer
US8796904B2 (en) 2011-10-31 2014-08-05 Avago Technologies General Ip (Singapore) Pte. Ltd. Bulk acoustic resonator comprising piezoelectric layer and inverse piezoelectric layer
US9385684B2 (en) 2012-10-23 2016-07-05 Avago Technologies General Ip (Singapore) Pte. Ltd. Acoustic resonator having guard ring
US9401692B2 (en) 2012-10-29 2016-07-26 Avago Technologies General Ip (Singapore) Pte. Ltd. Acoustic resonator having collar structure
US9490771B2 (en) 2012-10-29 2016-11-08 Avago Technologies General Ip (Singapore) Pte. Ltd. Acoustic resonator comprising collar and frame
US10757820B2 (en) * 2015-11-06 2020-08-25 Richview Electronics Co., Ltd. Single-layer circuit board, multi-layer circuit board, and manufacturing methods therefor
US11032915B2 (en) 2015-11-06 2021-06-08 Richview Electronics Co., Ltd. Single-layer circuit board, multi-layer circuit board, and manufacturing methods therefor
US11266027B2 (en) 2015-11-06 2022-03-01 Richview Electronics Co., Ltd. Single-layer circuit board, multi-layer circuit board, and manufacturing methods therefor
US11917768B2 (en) 2015-11-06 2024-02-27 Richview Electronics Co., Ltd. Single-layer circuit board, multi-layer circuit board, and manufacturing methods therefor
WO2019032114A1 (en) * 2017-08-11 2019-02-14 Intel Corporation Qubit devices with undercut conductive circuit elements

Also Published As

Publication number Publication date
US7262505B2 (en) 2007-08-28
US20070167005A1 (en) 2007-07-19
US7211512B1 (en) 2007-05-01
US20050023699A1 (en) 2005-02-03

Similar Documents

Publication Publication Date Title
US7262505B2 (en) Selective electroless-plated copper metallization
US6037248A (en) Method of fabricating integrated circuit wiring with low RC time delay
US7402516B2 (en) Method for making integrated circuits
US7479700B2 (en) Semiconductor device featuring copper wiring layers of different widths having metal capping layers of different thickness formed thereon, and method for manufacturing the same
JP3057054B2 (en) Method for forming multilayer interconnect of copper wires
US6492722B1 (en) Metallized interconnection structure
US6208016B1 (en) Forming submicron integrated-circuit wiring from gold, silver, copper and other metals
US6821879B2 (en) Copper interconnect by immersion/electroless plating in dual damascene process
US6344125B1 (en) Pattern-sensitive electrolytic metal plating
US20040219783A1 (en) Copper dual damascene interconnect technology
US20090001586A1 (en) Integrated circuit and seed layers
US6468898B1 (en) Method of manufacturing semiconductor device
US20020167089A1 (en) Copper dual damascene interconnect technology
US6511908B2 (en) Method of manufacturing a dual damascene structure using boron nitride as trench etching stop film
US7087524B2 (en) Method of forming copper wiring in semiconductor device
JP4886165B2 (en) Method of selectively alloying interconnect areas by deposition process
US6417090B1 (en) Damascene arrangement for metal interconnection using low k dielectric constant materials for etch stop layer
US7425502B2 (en) Minimizing resist poisoning in the manufacture of semiconductor devices
US20230077760A1 (en) Top via interconnects without barrier metal between via and above line
KR100396687B1 (en) Method for forming metal interconnection of semiconductor device
KR20050006468A (en) Method of forming copper wiring in semiconductor device
US20040132280A1 (en) Method of forming metal wiring in a semiconductor device
KR20040025198A (en) Electroless plating method and method of manufacturing metal layer of semiconductor device using the same
KR20000015238A (en) Method for forming a metal wire of a semiconductor device
KR20050056387A (en) Method of forming a inductor in a semiconductor device

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION