US20070077763A1 - Deposition technique to planarize a multi-layer structure - Google Patents

Deposition technique to planarize a multi-layer structure Download PDF

Info

Publication number
US20070077763A1
US20070077763A1 US11/240,707 US24070705A US2007077763A1 US 20070077763 A1 US20070077763 A1 US 20070077763A1 US 24070705 A US24070705 A US 24070705A US 2007077763 A1 US2007077763 A1 US 2007077763A1
Authority
US
United States
Prior art keywords
layer
recited
substrate
rotational movement
flowable
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/240,707
Inventor
Frank Xu
Edward Fletcher
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Nanotechnologies Inc
Original Assignee
Molecular Imprints Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Molecular Imprints Inc filed Critical Molecular Imprints Inc
Priority to US11/240,708 priority Critical patent/US7259102B2/en
Priority to US11/240,707 priority patent/US20070077763A1/en
Assigned to MOLECULAR IMPRINTS, INC. reassignment MOLECULAR IMPRINTS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: FLETCHER, EDWARD B., XU, FRANK Y.
Publication of US20070077763A1 publication Critical patent/US20070077763A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping

Definitions

  • This invention relates to spin-on coating of substrates. Specifically, the present invention is directed to a method to coat a substrate employing spin-on techniques in furtherance of lithographic patterning.
  • Spin-coating is a well known technique employed to form a layer of material upon a substrate. Often the material is deposited upon a surface having anisotropic topography in furtherance of producing a film having a planar surface disposed opposite to the substrate surface.
  • the planarity of the material surface is highly desirable for several processes, e.g., deposition of resist material in furtherance of patterning.
  • studies have been undertaken to understand the behavior of films formed during spin-coating. Peurrung and Graves in Film Thickness Profiles over Topography in Spin Coating , Journal of the Electrochemical Society, Vol. 138 No. 7 (1991), set forth a theoretical analysis of spin-coating wet films over anisotropic surfaces. Peurrung and Graves conclude that the presence of anisotropicity of the substrate surface makes problematic production of a planar material surface. Many attempts have been undertaken to obtain a planar material surface from material spin-coated on an anisotropic surface.
  • U.S. Pat. No. 4,038,110 discloses a technique to planarize an anisotropic substrate surface involves forming a photoresist pattern in registration that with a pattern of unelevated areas present on the substrate.
  • the photoresist pattern has narrower lateral dimensions than an elevated pattern whereby registration is facilitated.
  • the photoresist pattern is flowed to laterally expand the photoresist and mask the unelevated areas.
  • one manner in which to overcome the drawbacks with multi-layer resists involve spreading a resin material over an anisotropic surface employing a dual spin cycle.
  • the resin material is spread at a speed of approximately of not less than 4000 revolutions per minute (rpm), preferably from 6000 to 8000 rpm, until build-up of the coating is detectable on a side wall of a topographical feature facing the centrifugal center of the spinning substrate.
  • the spin speed is immediately reduced to less than 4000 rpm, preferably from about 1000 to 3500 rpm, and spinning is continued for a time sufficient to dry the coating.
  • U.S. Pat. No. 4,794,021 discloses a method of forming a planarized layer on a substrate by spin coating a polymer over the substrate. Before the layer dries the substrate is heated in a pressure-controlled environment to control solvent loss while maintaining the polymer in a liquid-state. After a predetermined interval of time, the substrate is cured in a standard convention bake oven.
  • U.S. Pat. No. 5,736,424 discloses a method that includes contacting a material having a predetermined viscosity with an object having a flat surface.
  • the material has a viscosity less than 1000 cp, and a flat surface is placed into contact with the material in such a manner that the material is planarized to a desired degree.
  • the material is cured while in contact with the flat surface.
  • the object is then separated from the material.
  • the planarity of the planarizing material is then transferred into the underlying layer using conventional techniques.
  • U.S. Pat. No. 5,893,750 discloses a method of forming a planarized interlevel dielectric layer over interconnects formed upon a frontside surface of an upper topography of a silicon wafer.
  • An anisotropic silicon dioxide (oxide) layer is first deposited over the interconnects.
  • a spin-on glass (SOG) layer is then formed over the anisotropic oxide layer.
  • the liquid SOG material flows over the upper surface, filling narrow spaces without creating voids and producing a surface smoothing effect at isolated vertical edges.
  • a chemical-mechanical polishing (CMP) process is applied to the frontside surface.
  • the CMP process increases the planarity of the frontside surface by reducing surface heights of elevated features more so than surface heights in recessed areas.
  • the present invention is directed to a method of coating a substrate having a solidified layer formed thereon, that features depositing a flowable material upon the solidified layer and forming an additional layer having a smooth flowable surface upon the substrate by imparting rotational movement upon the substrate followed by leveling of the flowable material in an absence of the rotational movement. After the additional layer is formed, the same is solidified.
  • FIG. 1 is a cross-sectional view of a substrate having an anisotropic surface that is to be planarized in accordance with the present invention
  • FIG. 2 is a cross-sectional view of the substrate shown in FIG. 1 having a planarized surface, in accordance with the present invention
  • FIG. 3 is a cross-sectional view of the substrate shown in FIG. 1 having a semi-conformal layer deposited therein;
  • FIG. 4 is a detailed cross-sectional view of a region of the substrate shown in FIG. 3 ;
  • FIG. 5 is a cross-sectional view of the substrate shown in FIG. 4 having a smoothing layer deposited thereon;
  • FIG. 6 is a cross-sectional view of a prior art etching chamber
  • FIG. 7 is a cross-sectional view of substrate shown in FIG. 5 after being etched employing first etch conditions in accordance with the present invention
  • FIG. 8 is a cross-sectional view of substrate shown in FIG. 7 after being etched employing second etch conditions in accordance with the present invention
  • the anisotropic surface may include spaced-apart projections 14 and 16 , defining spaced-apart recessions 18 , which may result from any known previous patterning process.
  • Projections 14 may be metal lines, photoresist, monocrystalline silicon and the like.
  • substrate 10 is discussed as including a wafer 20 formed from standard materials, e.g., mono-crystalline silicon.
  • Present upon wafer 20 is a patterned layer 22 in which projections 14 and 16 are formed.
  • patterned layer 22 is formed from a solidified polymeric material employing imprint lithography techniques, with a primer layer 23 being disposed between patterned layer and wafer 20 .
  • Primer layer 23 is typically formed from spin-coating a layer of DUV30J-16.
  • DUV30J-16 is a bottom anti-reflective coating, BARC, available from Brewer Science in Rolla, Mo.
  • An exemplary bulk material from which to form patterned layer 22 is as follows:
  • the acrylate component isobornyl acrylate (IBOA)
  • IBOA has the following structure: and comprises approximately 47% of bulk material by weight, but may be present in a range of 20% to 80%, inclusive.
  • the mechanical properties of patterned layer 22 are primarily attributable to IBOA.
  • An exemplary source for IBOA is Sartomer Company, Inc. of Exton, Pa. available under the product name SR 506.
  • n-hexyl acrylate has the following structure: and comprises approximately 25% of bulk material by weight, but may be present in a range of 0% to 50%, inclusive. Also providing flexibility to patterned layer 22 , n-HA is employed to reduce the viscosity of the prior art bulk material so that bulk material, in the liquid phase, has a viscosity in a range of 2-9 Centipoises, inclusive.
  • An exemplary source for the n-HA component is the Aldrich Chemical Company of Milwaukee, Wis.
  • a cross-linking component ethylene glycol diacrylate, has the following structure: and comprises approximately 25% of bulk material by weight, and may be present in a range of 10% to 50%, inclusive.
  • EGDA also contributes to the modulus and stiffness buildup, as well as facilitates cross-linking of n-HA and IBOA during polymerization of the bulk material.
  • An initiator component 2-hydroxy-2-methyl-1-phenyl-propan-1-one is available from Ciba Specialty Chemicals of Tarrytown, N.Y. under the tradename DAROCUR® 1173, and has the following structure: and comprises approximately 3% of the bulk material by weight, and may be present in a range of 1% to 5%, inclusive.
  • the actinic energy to which the initiator is responsive is broadband ultra-violet energy generated by a medium-pressure mercury lamp. In this manner, the initiator facilitates cross-linking and polymerization of the components of the bulk material.
  • the component FS-2000 is a surfactant that provides preferential adhesion and release upon polymerization of the bulk material. FS-2000 is sold by Mason Chemical Company of Arlington Heights, Ill.
  • MASURF® FS-2000 under the product name MASURF® FS-2000 and comprises approximately 2% of the bulk material by weight, and may be present in a range of 0.1% to 5%, inclusive.
  • An exemplary imprint lithography technique to deposit patterned layer 22 is disclosed in co-pending U.S. patent application Ser. No. 11/068,171, filed Feb. 28, 2005, entitled “Composition to Reduce Adhesion Between a Conformable Region and a Mold,” having Frank Xu and Michael N. Miller listed as inventors, which is incorporated by reference herein.
  • semi-conformal layer 29 is formed from a silicon-containing polyermizable material employing a Brewer Science Model CEE® 4000 spin-coating system.
  • the polymerizable material is centrally disposed on substrate 10 before rotation occurs. After dispensing of the polymerizable material, substrate 10 is accelerated 3,000 revolutions per minute (rpm) per second to reach a maximum rotational velocity of approximately 1000 rpm.
  • the maximum rotational velocity is maintained until substantially all of the solvent in the polymerizable material has been removed. This is detected optically by the unaided eye as the color of semi-conformal layer 29 remaining substantially unchanged during rotation of substrate 10 .
  • substrate 10 is placed upon a heating device (not shown), such as a hot plate, to raise the temperature thereof, by conductive heating, to approximately 180° C. for 60 seconds.
  • An exemplary bulk material to include in the semi-conformal composition to form semi-conformal layer 29 is as follows:
  • the hydroxyl-functional polysiloxane component is present in SEMI-CONFORMAL BULK MATERIAL in amounts of approximately 80% by weight of SEMI-CONFORMAL BULK MATERIAL, but may be present in a range of 40 to 95%, inclusive by weight, depending on the thicknesses desired for semi-conformal layer 29 .
  • An exemplary hydroxyl-functional polysiloxane component used in the present invention is a silicon T-resin intermediate available from Dow Corning® (Midland, Mich.) under the tradename Z-6018.
  • HMMM hexamethoxymethylmelamine
  • SEMI-CONFORMAL BULK MATERIAL a cross-linking agent component is present in SEMI-CONFORMAL BULK MATERIAL in amounts of approximately 19% by weight of SEMI-CONFORMAL BULK MATERIAL, but may be present in a range of 10 to 30%, inclusive by weight.
  • An exemplary HMMM component is available from Cytec Industries, Inc. (West Paterson, N.J.) under the tradename CYMEL 303ULF.
  • the toluenesulfonic acid component is a catalyst present in the SEMI-CONFORMAL LAYER BULK MATERIAL in an amount of component is present in SEMI-CONFORMAL BULK MATERIAL in amounts of approximately 1% by weight of SEMI-CONFORMAL BULK MATERIAL, but may be present in a range of 1 to 2%, inclusive by weight.
  • An exemplary catalyst is available from Cytec Industries, Inc. (West Paterson, N.J.) under the tradename CYCAT 4040.
  • the SEMI-CONFORMAL LAYER BULK MATERIAL is employed with a solvent to form the semi-conformal composition employed to form semi-conformal layer 29 .
  • the solvent may be present in the semi-conformal composition in amounts of approximately 60% to 98% by weight, dependent upon the desired thicknesses of semi-conformal layer 29 , with the remaining portions of the semi-conformal composition being the SEMI-CONFORMAL LAYER BULK MATERIAL 58 .
  • An example of a solvent used in the present invention is methyl amyl ketone available from Aldrich Co. (St. Louis, Mo.) under the tradename MAK.
  • the anisotropy of surface of substrate 10 causes thickness variations in semi-conformal layer 29 that present as troughs 32 and hills 34 . It was observed that regions of a surface 30 of semi-conformal layer 29 in superimposition with projection 16 and recession 18 had a substantially smooth, if not planar surface, because projection 16 has small lateral dimension, w 1 . This results in a thickness t 1 , of semi-conformal layer 29 , measured between surface 30 and apex surface 26 of projection 16, being substantially equal to thickness t 2 -h 1 , with h 1 being the height of projection 16 .
  • projections such as projection 16 , having a width, w 1 , of several microns or less, which is smaller than width, w 2 , of recession 18 .
  • Projections, such as projection 14 having a width, w 3 , which is tens of microns or larger, were observed to produce hills, such as hill 34 .
  • Hill 34 is defined by a region of semi-conformal layer 29 having a varying thickness measured with respect to apex surface 24 of projection 14 and surface 30 .
  • the maximum thickness, t 3 of the region of semi-conformal layer 29 in superimposition with projection 14 , is approximately equal to thickness t 2 .
  • the portion of surface 30 associated with the maximum thickness of hill 34 is spaced-apart from a plane P 1 in which the remaining regions of surface 30 lie, a distance d 1 .
  • d 1 is approximately equal to height, h 2 , of projection 14 .
  • smoothing layer 40 functions to further reduce non-planarity perturbations in surface 30 due, for example, to troughs 32 and hills 34 .
  • smoothing layer 40 is typically disposed on surface 30 employing spin-on techniques to minimize the time required to form the same.
  • the material from which smoothing layer 40 is formed includes a solvent. It is desired to form smoothing layer 40 from a material that maintains a fluidic state after spin-on coating has effectively removed substantially all of the solvent present therein.
  • a ratio of centrifugal force (F c ) to capillary force (F p ) is proportional to the cubic of feature lateral dimension, (w) that may be expressed as follows: F c /F p ⁇ W 3 1 )
  • the material from which smoothing layer 40 is formed maintains a fluidic state after spin-on coating has effectively removed substantially all of the solvent present therein.
  • An exemplary bulk material from which to form smoothing layer 40 is as follows:
  • the cycloaliphatic epoxy component has the following structure:
  • the cycloaliphatic epoxy component comprises approximately 87% of bulk material by weight.
  • the mechanical properties of smoothing layer 40 are primarily attributable to the cycloaliphatic epoxy component.
  • An exemplary source of the cycloaliphatic epoxy component is sold by Dow Chemical Company of Midland, Mich. as chemical name 3,4-epoxycyclohexylmethyl-3,4-epoxycyclohexane carboxylate and sold under the tradename ERL-4221LV.
  • the ⁇ -caprolactone triol component comprises approximately 10% of the bulk material by weight. This component increased the catioinic curing speed of SMOOTHING LAYER BULK MATERIAL 1.
  • An exemplary source of the ⁇ -caprolactone triol component is sold by Dow Chemical Company of Midland, Mich. under the tradename Tone 0301.
  • the photoinitiator component comprises approximately 3% of the bulk material by weight and facilitates cross-linking and polymerization of the remaining components of bulk material in response to actinic energy.
  • An exemplary photoinitiator is a cationic photoinitiator comprising a mixture of triarylsulfonium hexafluorophosphate salts in a propylene carbonate.
  • An exemplary source of the photoinitiator component has the following structure: and is sold by Dow Chemical Company of Midland, Mich. under the tradename UVI 6992.
  • the fluorosurfactant component is a nonionic fluorinated acrylic copolymer based surfactant that comprises less than 1% of the bulk material by weight.
  • An exemplary fluorosurfactant is available from Dainippon Ink & Chemical of Japan under the tradename MegaFaceTM R-08.
  • the SMOOTHING LAYER BULK MATERIAL is employed with a solvent to form a smoothing composition employed to form smoothing layer 40 .
  • the solvent may be present in the smoothing composition in amounts of approximately 20% to 98% by weight, dependent upon the desired thicknesses of smoothing layer 40 , with the remaining portions of the semi-conformal composition being the SMOOTHING LAYER BULK MATERIAL.
  • An example of a solvent used in the present invention is methyl amyl ketone available from Aldrich Co. (St. Louis, Mo.) under the tradename MAK.
  • Surface 30 is coated with the smoothing composition by spin-on techniques employing a Brewer Science Model CEE® 4000 spin-coating system to form smoothing layer 40 .
  • the smoothing composition is centrally disposed on surface 30 before rotation occurs.
  • substrate 10 is accelerated 5,000 revolutions per minute (rpm)/s to reach a maximum rotational velocity of approximately 4000 rpm.
  • the maximum rotational velocity is maintained until substantially all of the solvent in the polymerizable composition has been removed. This is detected optically by the unaided eye as the color of semi-conformal layer 29 remaining substantially unchanged during rotation of substrate 10 . Thereafter, rotation of substrate 10 is ceased and leveling of smoothing surface 44 is allowed to occur in the absence of movement of substrate 10 .
  • leveling occurs for 2-30 minutes and at ambient atmospheric conditions, i.e. ambient temperatures and pressures.
  • ambient temperatures and pressures are 25° Celsius and 760 Torr, respectively.
  • smoothing layer is exposed to broadband ultraviolet energy for approximately 120 seconds with a lamp intensity of 20 mW/cm 2 to polymerize and cross-link the smoothing composition. This is typically achieved employing a light source sold by OAI of San Jose, Calif. as Model 30 LS that may produce an 8 inch uniform beam.
  • Hill 34 causes regions 46 of smoothing surface 44 to have a varying thickness measured with respect to surface 30 .
  • the thickness, t 4 differs from a thickness, t 5 , of regions 48 of smoothing layer 40 outside of region 46 . This results in the portion of surface 44 associated with the maximum thickness of region 46 being spaced-apart from a plane, P 2 , in which the remaining regions of surface 46 lie, a distance d 2 .
  • the bulk material from which smoothing layer 40 is fabricated facilitates, for a given deposition process, to ensure that thickness, t 4 , is less than thickness, t 5 ; hence, d 1 is greater than d 2 . This effectively reduces the thickness offset between exposed surfaces, in this case smoothing surface 44 and both, nadir surface 19 of recession 18 , and apex surface 24 .
  • smoothing layer 40 and semi-conformal layer 29 have substantially similar etch characteristics, e.g., etch rate, for a given etch recipe, or groups of etch recipes
  • the presence of smoothing layer 40 reduces the magnitude of over-etch to which the projection 16 is subject to when exposing apex surface 24 .
  • the reduction would be the absolute value of the difference between d 1 and d 2 .
  • height h 2 is 100 nanometers (nm)
  • d 1 would be approximately 100 nm.
  • d 2 is approximately 60 nm.
  • DOP degree of planarization
  • planarization benefit may be obtained by taking advantage of etch rate differential provided by differing etch recipes. For example, assuming that semi-conformal layer 29 had an etch rate, for a given etch recipe, that was 20% faster than the associated etch rate of smoothing layer 40 , it may be seen that the reduction in height, h 1 , may be further reduced increased by 12 nm, i.e., height h 1 of projection 16 is approximately 72 nm upon exposure of the entire area of surface 34 . This may be desirable. Conversely, were the etch rate associated with semi-conformal layer 29 , for a given etch recipe, 20% slower that the associated etch rate of smoothing layer 40 , the planarization benefits of smoothing layer may be reduced. The height, h 1 , of projection 16 would be reduced by 12 nm so that h 1 would be reduced to 48 nm upon exposure of the entire surface 34 .
  • an etching system such as a magnetically-enhanced reactive ion etching (MERIE) reactor 50 is employed.
  • Reactor 50 includes grounded walls 52 defining a chamber 54 and slit valve opening 56 allowing ingress to and egress from chamber 54 .
  • Cathode pedestal 58 may include channels (not shown) through which coolant fluid (not shown), flows to facilitate control of the temperature of the chuck.
  • An RF power supply 62 is in electrical communication with cathode pedestal 58 to facilitate control of the DC bias to chuck 40 and generation of a plasma within chamber 54 .
  • a vacuum pump system 66 pumps the chamber 54 through an adjustable throttle valve 68 .
  • Processing gases are supplied from gas sources 75 - 82 through respective mass flow controllers 83 - 90 to a quartz gas distribution plate 92 positioned opposite to chuck 60 .
  • the distribution plate 92 includes a manifold 94 receiving the processing gas and communicating with chamber 54 through a showerhead having a large number of distributed apertures 96 so as to inject a more uniform flow of processing gas into chamber 54 .
  • pump 66 is operated to establish a pressure in chamber 54 that is approximately 30 milliTorr (mT).
  • Mass flow controllers 84 and 85 are operated to establish a flow rate from supplies 76 and 77 , respectively.
  • supply 76 provides a flow of CF 4 gas into chamber 54 at approximately 90 standard cubic centimeters per minute (sccm).
  • Supply 77 provides a flow of oxygen, O 2 , gas into processing region at approximately 10 sccm.
  • Power supply 62 is operated at 300 Watts.
  • This configuration results in semi-conformal layer 29 and smoothing layer 40 etching at substantially the same rates, e.g., semi-conformal layer 29 etches at approximately 161.3 nm/minute ⁇ 10 nm/minute, and smoothing layer 40 etches at approximately 167.4 nm/minute ⁇ 10 nm/minute. It is desired to minimize the time required to complete etching, i.e., to expose surfaces 32 and 34 .
  • a sequential etch process is employed to maximize the etch rate of materials being etched while minimizing the etch rate differential among materials undergoing etch.
  • the sequential etch process includes a first etch condition that minimizes the time required to remove portions of smoothing layer 40 .
  • pump 66 operates to establish a pressure in chamber 54 that is approximately 40 mT.
  • Mass flow controllers 83 , 85 and 87 are operated to establish a flow rate from supplies 75 , 77 and 79 , respectively.
  • supply 75 provides a flow of C 2 H 4 gas into chamber 54 at approximately 40 sccm
  • supply 77 provides a flow of oxygen, O 2 , gas into chamber 54 at approximately 100 sccm.
  • Supply 79 provides a flow of nitrogen, N 2 , gas into chamber 54 at approximately 200 sccm.
  • Supply 62 is operated at 500 Watts.
  • Formation of semi-planar surface 65 may be determined from a priori knowledge in which the time required to expose the same is known and the process conditions are terminated after the required time had lapsed.
  • a process conditions monitoring system 96 may be in communication with chamber 54 which is configured to measure performance of the etch process, e.g., measure an etch rate, an etch selectivity, an etch uniformity, feature profile angle, and critical dimension.
  • System 96 may be an in-situ or ex-situ device.
  • system 96 includes a scatterometer (not shown), incorporating beam profile ellipsometry and beam profile reflectometry.
  • the scatterometer (not shown) may be positioned within a transfer chamber (not shown).
  • system 96 includes a scanning electron microscope (not shown).
  • controller 98 Operation of reactor 50 is achieved by a controller 98 in data communication therewith to control the operations of the systems associated with reactor 50 .
  • controller 98 is in data communication with RF supply 62 , pump 66 , throttle valve 68 , mass flow controllers 83 - 90 , supplies 75 - 82 and process condition monitoring system 96 .
  • Controller 102 includes a microprocessor (not shown), memory (not shown), and a digital i/0 port (not shown) that is capable of generating control voltages sufficient to communicate with reactor 50 .
  • a program stored in the memory (not shown) and selectively operated on by microprocessor controls the operation of reactor 50 .
  • the processing conditions in chamber 54 are established so that semi-conformal layer 29 and the remaining portions of smoothing layer 40 are etched at substantially the same rate.
  • the chamber pressure is approximately 30 mT
  • power supply 62 is operated at 300 Watts and oxygen, O 2 , gas is flowed into chamber at approximately 10 sccm and CF 4 gas is flowed into chamber 54 approximately 90 sccm.
  • the DOP of 60% is maintained in a surface 130 , which is defined by the remaining portions of semi-conformal layer 29 .
  • the maximum thickness of t 6 of the remaining portion of hill 34 is less than thickness t 3 .
  • d 2 the greater the degree of planarization in multi-material semi-planar surface 65 afforded by smoothing layer 40 .
  • etch conditions are changed to maximize the etch rate of the remaining portions of semi-conformal layer 29 while forming crown surface 25 and maximizing the planarity thereof.
  • chamber 54 is pressurized to 50 mT, power supply 62 is operated at 500 Watts, and supply 75 provides C 4 F 8 gas into chambers 54 at approximately 10 sccm.
  • Supply 77 provides oxygen gas into chamber 54 at approximately 20 sccm, and supply 78 provides Argon gas into chamber 54 at approximately 100 sccm.
  • patterned layer 22 may be etched establishing conditions in chamber 54 such that chamber pressure is 15 mT, power supply 62 is operated at 120 Watts and supply 82 introduces CO gas into chamber 54 at a rate of 100 sccm.
  • Supply 77 introduces oxygen, O 2 , gas into chamber 54 at a rate of approximately 20 sccm to take advantage of the etch differential provided by regions 24 and 28 , as discussed in U.S. patent application Ser. No. 10/789,319, filed Feb. 27, 2004, entitled, COMPOSITIONS FOR AN ETCHING MASK COMPRISING A SILICON-CONTAINING MATERIAL, having Frank Y. Xu, Michael N. Miller and Michael P. C. Watts listed as inventors, which is incorporated by reference herein.
  • the Z-6018 smoothing composition is centrally disposed on surface 30 before rotation occurs.
  • substrate 10 is accelerated to 5,000 rpm/s to reach a maximum rotational velocity of approximately 2,500 rpm.
  • the maximum rotational velocity is maintained until substantially all of the solvent in the polymerizable composition has been removed. This is detected optically by the unaided eye as the color of semi-conformal layer 29 remains substantially unchanged during rotation of substrate 10 . At these process conditions semi-conformal layer 29 is solidified and will not level.
  • the substrate 10 is baked in an oven at 120° Celsius for fifteen minutes during which time semi-conformal layer 29 becomes fluidic, e.g., reflows, to facilitate leveling of smoothing surface 44 . Cooling is achieved by placing the same on an air-cooled cold plate having flows of ambient air directed toward substrate 10 for two minutes to solidify semi-conformal layer 29 .

Abstract

The present invention is directed to a method of coating a substrate having a solidified layer formed thereon, that features depositing a flowable material upon the solidified layer and forming an additional layer having a smooth flowable surface upon the substrate by imparting rotational movement upon the substrate followed by leveling of the flowable material in an absence of the rotational movement. After the additional layer is formed, the same is solidified.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • The present application is a divisional of U.S. patent application Ser. No. xx/xxx,xxx (Attorney Docket No. P234M1D238) filed herewith, entitled ETCHING TECHNIQUE TO PLANARIZE A MULTI-LAYER STRUCTURE, listing David C. Wang and Frank Y. Xu as inventors, which application is incorporated by reference herein.
  • STATEMENT REGARDING FEDERALLY SPONSORED RESEARCH OR DEVELOPMENT
  • The United States government has a paid-up license in this invention and the right in limited circumstance to require the patent owner to license other on reasonable terms as provided by the terms 70NANB4H3012 awarded by the National Institute of Standards and Technology (NIST).
  • BACKGROUND OF THE INVENTION
  • This invention relates to spin-on coating of substrates. Specifically, the present invention is directed to a method to coat a substrate employing spin-on techniques in furtherance of lithographic patterning.
  • Spin-coating is a well known technique employed to form a layer of material upon a substrate. Often the material is deposited upon a surface having anisotropic topography in furtherance of producing a film having a planar surface disposed opposite to the substrate surface. The planarity of the material surface is highly desirable for several processes, e.g., deposition of resist material in furtherance of patterning. As a result, studies have been undertaken to understand the behavior of films formed during spin-coating. Peurrung and Graves in Film Thickness Profiles over Topography in Spin Coating, Journal of the Electrochemical Society, Vol. 138 No. 7 (1991), set forth a theoretical analysis of spin-coating wet films over anisotropic surfaces. Peurrung and Graves conclude that the presence of anisotropicity of the substrate surface makes problematic production of a planar material surface. Many attempts have been undertaken to obtain a planar material surface from material spin-coated on an anisotropic surface.
  • U.S. Pat. No. 4,038,110 discloses a technique to planarize an anisotropic substrate surface involves forming a photoresist pattern in registration that with a pattern of unelevated areas present on the substrate. The photoresist pattern has narrower lateral dimensions than an elevated pattern whereby registration is facilitated. The photoresist pattern is flowed to laterally expand the photoresist and mask the unelevated areas.
  • In U.S. Pat. No. 4,741,926, one manner in which to overcome the drawbacks with multi-layer resists involve spreading a resin material over an anisotropic surface employing a dual spin cycle. The resin material is spread at a speed of approximately of not less than 4000 revolutions per minute (rpm), preferably from 6000 to 8000 rpm, until build-up of the coating is detectable on a side wall of a topographical feature facing the centrifugal center of the spinning substrate. The spin speed is immediately reduced to less than 4000 rpm, preferably from about 1000 to 3500 rpm, and spinning is continued for a time sufficient to dry the coating.
  • U.S. Pat. No. 4,794,021 discloses a method of forming a planarized layer on a substrate by spin coating a polymer over the substrate. Before the layer dries the substrate is heated in a pressure-controlled environment to control solvent loss while maintaining the polymer in a liquid-state. After a predetermined interval of time, the substrate is cured in a standard convention bake oven.
  • U.S. Pat. No. 5,736,424 discloses a method that includes contacting a material having a predetermined viscosity with an object having a flat surface. The material has a viscosity less than 1000 cp, and a flat surface is placed into contact with the material in such a manner that the material is planarized to a desired degree. The material is cured while in contact with the flat surface. The object is then separated from the material. The planarity of the planarizing material is then transferred into the underlying layer using conventional techniques.
  • U.S. Pat. No. 5,893,750 discloses a method of forming a planarized interlevel dielectric layer over interconnects formed upon a frontside surface of an upper topography of a silicon wafer. An anisotropic silicon dioxide (oxide) layer is first deposited over the interconnects. A spin-on glass (SOG) layer is then formed over the anisotropic oxide layer. The liquid SOG material flows over the upper surface, filling narrow spaces without creating voids and producing a surface smoothing effect at isolated vertical edges. After curing of the SOG layer, a chemical-mechanical polishing (CMP) process is applied to the frontside surface. The CMP process increases the planarity of the frontside surface by reducing surface heights of elevated features more so than surface heights in recessed areas. A need exists, however, to provide improved planarization techniques.
  • SUMMARY OF THE INVENTION
  • The present invention is directed to a method of coating a substrate having a solidified layer formed thereon, that features depositing a flowable material upon the solidified layer and forming an additional layer having a smooth flowable surface upon the substrate by imparting rotational movement upon the substrate followed by leveling of the flowable material in an absence of the rotational movement. After the additional layer is formed, the same is solidified. These and other embodiments are discussed more fully below.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a cross-sectional view of a substrate having an anisotropic surface that is to be planarized in accordance with the present invention;
  • FIG. 2 is a cross-sectional view of the substrate shown in FIG. 1 having a planarized surface, in accordance with the present invention;
  • FIG. 3 is a cross-sectional view of the substrate shown in FIG. 1 having a semi-conformal layer deposited therein;
  • FIG. 4 is a detailed cross-sectional view of a region of the substrate shown in FIG. 3;
  • FIG. 5 is a cross-sectional view of the substrate shown in FIG. 4 having a smoothing layer deposited thereon;
  • FIG. 6 is a cross-sectional view of a prior art etching chamber;
  • FIG. 7 is a cross-sectional view of substrate shown in FIG. 5 after being etched employing first etch conditions in accordance with the present invention;
  • FIG. 8 is a cross-sectional view of substrate shown in FIG. 7 after being etched employing second etch conditions in accordance with the present invention;
  • DETAILED DESCRIPTION OF THE INVENTION
  • Referring to FIG. 1, in certain patterning processes it is desired to form a planar surface upon substrates, such as substrate 10, having an anisotropic surface. The anisotropic surface may include spaced- apart projections 14 and 16, defining spaced-apart recessions 18, which may result from any known previous patterning process. Projections 14 may be metal lines, photoresist, monocrystalline silicon and the like. In the present example, substrate 10 is discussed as including a wafer 20 formed from standard materials, e.g., mono-crystalline silicon. Present upon wafer 20 is a patterned layer 22 in which projections 14 and 16 are formed. In the present example it is desired to form a planarized surface, referred to as a crown surface 25, in which substantially the entire surface area of an apex surface 24 of projections 14 and substantially the entire surface area of an apex surface 26 of projections 16 are exposed and coplanar with a surface 28 of a material deposited to fill recessions 18. To that end, in an exemplary embodiment, patterned layer 22 is formed from a solidified polymeric material employing imprint lithography techniques, with a primer layer 23 being disposed between patterned layer and wafer 20. Primer layer 23 is typically formed from spin-coating a layer of DUV30J-16. DUV30J-16 is a bottom anti-reflective coating, BARC, available from Brewer Science in Rolla, Mo. An exemplary bulk material from which to form patterned layer 22 is as follows:
  • Patterned Layer Bulk Imprinting Material Isobornyl Acrylate N-hexyl Acrylate Ethylene Glycol Diacrylate 2-hydroxy-2-methyl-1-phenyl-propan-1-one FS-2000
  • The acrylate component, isobornyl acrylate (IBOA), has the following structure:
    Figure US20070077763A1-20070405-C00001

    and comprises approximately 47% of bulk material by weight, but may be present in a range of 20% to 80%, inclusive. As a result, the mechanical properties of patterned layer 22 are primarily attributable to IBOA. An exemplary source for IBOA is Sartomer Company, Inc. of Exton, Pa. available under the product name SR 506.
  • The component n-hexyl acrylate (n-HA) has the following structure:
    Figure US20070077763A1-20070405-C00002

    and comprises approximately 25% of bulk material by weight, but may be present in a range of 0% to 50%, inclusive. Also providing flexibility to patterned layer 22, n-HA is employed to reduce the viscosity of the prior art bulk material so that bulk material, in the liquid phase, has a viscosity in a range of 2-9 Centipoises, inclusive. An exemplary source for the n-HA component is the Aldrich Chemical Company of Milwaukee, Wis.
  • A cross-linking component, ethylene glycol diacrylate, has the following structure:
    Figure US20070077763A1-20070405-C00003

    and comprises approximately 25% of bulk material by weight, and may be present in a range of 10% to 50%, inclusive. EGDA also contributes to the modulus and stiffness buildup, as well as facilitates cross-linking of n-HA and IBOA during polymerization of the bulk material.
  • An initiator component, 2-hydroxy-2-methyl-1-phenyl-propan-1-one is available from Ciba Specialty Chemicals of Tarrytown, N.Y. under the tradename DAROCUR® 1173, and has the following structure:
    Figure US20070077763A1-20070405-C00004

    and comprises approximately 3% of the bulk material by weight, and may be present in a range of 1% to 5%, inclusive. The actinic energy to which the initiator is responsive is broadband ultra-violet energy generated by a medium-pressure mercury lamp. In this manner, the initiator facilitates cross-linking and polymerization of the components of the bulk material. The component FS-2000 is a surfactant that provides preferential adhesion and release upon polymerization of the bulk material. FS-2000 is sold by Mason Chemical Company of Arlington Heights, Ill. under the product name MASURF® FS-2000 and comprises approximately 2% of the bulk material by weight, and may be present in a range of 0.1% to 5%, inclusive. An exemplary imprint lithography technique to deposit patterned layer 22 is disclosed in co-pending U.S. patent application Ser. No. 11/068,171, filed Feb. 28, 2005, entitled “Composition to Reduce Adhesion Between a Conformable Region and a Mold,” having Frank Xu and Michael N. Miller listed as inventors, which is incorporated by reference herein.
  • In furtherance of forming crown surface 25, projections 14 and 16 are covered, and recessions 18 filled, with a polymerizable material, referred to herein as a semi-conformal composition, which is applied by spin-coating to form semi-conformal layer 29. In the present example, semi-conformal layer 29 is formed from a silicon-containing polyermizable material employing a Brewer Science Model CEE® 4000 spin-coating system. The polymerizable material is centrally disposed on substrate 10 before rotation occurs. After dispensing of the polymerizable material, substrate 10 is accelerated 3,000 revolutions per minute (rpm) per second to reach a maximum rotational velocity of approximately 1000 rpm. The maximum rotational velocity is maintained until substantially all of the solvent in the polymerizable material has been removed. This is detected optically by the unaided eye as the color of semi-conformal layer 29 remaining substantially unchanged during rotation of substrate 10. Following removal of the solvent, substrate 10 is placed upon a heating device (not shown), such as a hot plate, to raise the temperature thereof, by conductive heating, to approximately 180° C. for 60 seconds.
  • An exemplary bulk material to include in the semi-conformal composition to form semi-conformal layer 29 is as follows:
  • Semi-Conformal Layer Bulk Material Hydroxyl-Functional Polysiloxane Hexamethoxymethylmelamine Toluenesulfonic Acid
  • The hydroxyl-functional polysiloxane component is present in SEMI-CONFORMAL BULK MATERIAL in amounts of approximately 80% by weight of SEMI-CONFORMAL BULK MATERIAL, but may be present in a range of 40 to 95%, inclusive by weight, depending on the thicknesses desired for semi-conformal layer 29. An exemplary hydroxyl-functional polysiloxane component used in the present invention is a silicon T-resin intermediate available from Dow Corning® (Midland, Mich.) under the tradename Z-6018.
  • The hexamethoxymethylmelamine(HMMM) is a cross-linking agent component is present in SEMI-CONFORMAL BULK MATERIAL in amounts of approximately 19% by weight of SEMI-CONFORMAL BULK MATERIAL, but may be present in a range of 10 to 30%, inclusive by weight. An exemplary HMMM component is available from Cytec Industries, Inc. (West Paterson, N.J.) under the tradename CYMEL 303ULF.
  • The toluenesulfonic acid component is a catalyst present in the SEMI-CONFORMAL LAYER BULK MATERIAL in an amount of component is present in SEMI-CONFORMAL BULK MATERIAL in amounts of approximately 1% by weight of SEMI-CONFORMAL BULK MATERIAL, but may be present in a range of 1 to 2%, inclusive by weight. An exemplary catalyst is available from Cytec Industries, Inc. (West Paterson, N.J.) under the tradename CYCAT 4040.
  • Typically, the SEMI-CONFORMAL LAYER BULK MATERIAL is employed with a solvent to form the semi-conformal composition employed to form semi-conformal layer 29. The solvent may be present in the semi-conformal composition in amounts of approximately 60% to 98% by weight, dependent upon the desired thicknesses of semi-conformal layer 29, with the remaining portions of the semi-conformal composition being the SEMI-CONFORMAL LAYER BULK MATERIAL 58. An example of a solvent used in the present invention is methyl amyl ketone available from Aldrich Co. (St. Louis, Mo.) under the tradename MAK.
  • The anisotropy of surface of substrate 10 causes thickness variations in semi-conformal layer 29 that present as troughs 32 and hills 34. It was observed that regions of a surface 30 of semi-conformal layer 29 in superimposition with projection 16 and recession 18 had a substantially smooth, if not planar surface, because projection 16 has small lateral dimension, w1. This results in a thickness t1, of semi-conformal layer 29, measured between surface 30 and apex surface 26 of projection 16, being substantially equal to thickness t2-h1, with h1 being the height of projection 16. This was observed for projections, such as projection 16, having a width, w1, of several microns or less, which is smaller than width, w2, of recession 18. Projections, such as projection 14, having a width, w3, which is tens of microns or larger, were observed to produce hills, such as hill 34. Hill 34 is defined by a region of semi-conformal layer 29 having a varying thickness measured with respect to apex surface 24 of projection 14 and surface 30. The maximum thickness, t3, of the region of semi-conformal layer 29 in superimposition with projection 14, is approximately equal to thickness t2. The portion of surface 30 associated with the maximum thickness of hill 34 is spaced-apart from a plane P1 in which the remaining regions of surface 30 lie, a distance d1. Typically, d1 is approximately equal to height, h2, of projection 14. As a result, a blanket etch to expose the entire area of apex surface 30 and hill 34 would result in projection 16 being lost (removed through the etching process) due to the presence of hill 34 in furtherance of forming crown surface 25. This is undesirable.
  • Referring to both FIGS. 2, 4 and 5, to minimize, if not prevent, the portion of projection 16 that is removed upon exposure of the entire area of apex surface 34, an additional layer, referred to as smoothing layer 40, is disposed upon surface 30. Smoothing layer 40 functions to further reduce non-planarity perturbations in surface 30 due, for example, to troughs 32 and hills 34. To that end, smoothing layer 40 is typically disposed on surface 30 employing spin-on techniques to minimize the time required to form the same. As a result, the material from which smoothing layer 40 is formed includes a solvent. It is desired to form smoothing layer 40 from a material that maintains a fluidic state after spin-on coating has effectively removed substantially all of the solvent present therein. This minimizes non-planarity perturbations present in a smoothing surface 44 resulting from smoothing layer 40 after formation thereof by maximizing leveling of smoothing surface 44 in the absence of centrifugal forces. This is based upon the realization that conformal coating dominates in the presence of centrifugal forces and planarization dominates in the presence of capillary forces. A ratio of centrifugal force (Fc) to capillary force (Fp) is proportional to the cubic of feature lateral dimension, (w) that may be expressed as follows:
    Fc/FpαW3  1)
    To avoid the deleterious effects of centrifugal forces, the material from which smoothing layer 40 is formed maintains a fluidic state after spin-on coating has effectively removed substantially all of the solvent present therein.
  • An exemplary bulk material from which to form smoothing layer 40 is as follows:
  • Smoothing Layer Bulk Material 1 Cycloaliphatic Epoxy ω-Caprolactone Triol Photoinitiator Fluorosurfactant
  • The cycloaliphatic epoxy component has the following structure:
    Figure US20070077763A1-20070405-C00005

    The cycloaliphatic epoxy component comprises approximately 87% of bulk material by weight. As a result, the mechanical properties of smoothing layer 40 are primarily attributable to the cycloaliphatic epoxy component. An exemplary source of the cycloaliphatic epoxy component is sold by Dow Chemical Company of Midland, Mich. as chemical name 3,4-epoxycyclohexylmethyl-3,4-epoxycyclohexane carboxylate and sold under the tradename ERL-4221LV.
  • The ω-caprolactone triol component comprises approximately 10% of the bulk material by weight. This component increased the catioinic curing speed of SMOOTHING LAYER BULK MATERIAL 1. An exemplary source of the ω-caprolactone triol component is sold by Dow Chemical Company of Midland, Mich. under the tradename Tone 0301.
  • The photoinitiator component comprises approximately 3% of the bulk material by weight and facilitates cross-linking and polymerization of the remaining components of bulk material in response to actinic energy. An exemplary photoinitiator is a cationic photoinitiator comprising a mixture of triarylsulfonium hexafluorophosphate salts in a propylene carbonate. An exemplary source of the photoinitiator component has the following structure:
    Figure US20070077763A1-20070405-C00006

    and is sold by Dow Chemical Company of Midland, Mich. under the tradename UVI 6992.
  • The fluorosurfactant component is a nonionic fluorinated acrylic copolymer based surfactant that comprises less than 1% of the bulk material by weight. An exemplary fluorosurfactant is available from Dainippon Ink & Chemical of Japan under the tradename MegaFace™ R-08.
  • Typically, the SMOOTHING LAYER BULK MATERIAL is employed with a solvent to form a smoothing composition employed to form smoothing layer 40. The solvent may be present in the smoothing composition in amounts of approximately 20% to 98% by weight, dependent upon the desired thicknesses of smoothing layer 40, with the remaining portions of the semi-conformal composition being the SMOOTHING LAYER BULK MATERIAL. An example of a solvent used in the present invention is methyl amyl ketone available from Aldrich Co. (St. Louis, Mo.) under the tradename MAK.
  • Surface 30 is coated with the smoothing composition by spin-on techniques employing a Brewer Science Model CEE® 4000 spin-coating system to form smoothing layer 40. The smoothing composition is centrally disposed on surface 30 before rotation occurs. After dispensing of the smoothing composition, substrate 10 is accelerated 5,000 revolutions per minute (rpm)/s to reach a maximum rotational velocity of approximately 4000 rpm. The maximum rotational velocity is maintained until substantially all of the solvent in the polymerizable composition has been removed. This is detected optically by the unaided eye as the color of semi-conformal layer 29 remaining substantially unchanged during rotation of substrate 10. Thereafter, rotation of substrate 10 is ceased and leveling of smoothing surface 44 is allowed to occur in the absence of movement of substrate 10. Typically, leveling occurs for 2-30 minutes and at ambient atmospheric conditions, i.e. ambient temperatures and pressures. Exemplary ambient temperatures and pressures are 25° Celsius and 760 Torr, respectively. After the desired leveling time has occurred, smoothing layer is exposed to broadband ultraviolet energy for approximately 120 seconds with a lamp intensity of 20 mW/cm2 to polymerize and cross-link the smoothing composition. This is typically achieved employing a light source sold by OAI of San Jose, Calif. as Model 30 LS that may produce an 8 inch uniform beam.
  • Hill 34 causes regions 46 of smoothing surface 44 to have a varying thickness measured with respect to surface 30. The thickness, t4, differs from a thickness, t5, of regions 48 of smoothing layer 40 outside of region 46. This results in the portion of surface 44 associated with the maximum thickness of region 46 being spaced-apart from a plane, P2, in which the remaining regions of surface 46 lie, a distance d2. The bulk material from which smoothing layer 40 is fabricated facilitates, for a given deposition process, to ensure that thickness, t4, is less than thickness, t5; hence, d1 is greater than d2. This effectively reduces the thickness offset between exposed surfaces, in this case smoothing surface 44 and both, nadir surface 19 of recession 18, and apex surface 24.
  • Assuming smoothing layer 40 and semi-conformal layer 29 have substantially similar etch characteristics, e.g., etch rate, for a given etch recipe, or groups of etch recipes, the presence of smoothing layer 40 reduces the magnitude of over-etch to which the projection 16 is subject to when exposing apex surface 24. The reduction would be the absolute value of the difference between d1 and d2. For example, assuming height h2 is 100 nanometers (nm), d1 would be approximately 100 nm. It was found that depositing smoothing layer 40 with a thickness, t5, being approximately 500 nm, provided thickness, t4, of approximately 440 nm. As a result, d2 is approximately 60 nm. This amounts to a 60% improvement of the degree of planarization (DOP). In the absence of smoothing layer 40, the DOP may be defined as follows:
    DOP=(t 2 −t 3)/h 2×100%  2)
    with t2, t3 and h2 shown in FIG. 5. With the presence of smoothing layer 40, the DOP may be defined as follows:
    DOP=[t2+(d 1 −d 2)−t 3 ]/h 2×100%  3)
    Assuming projection 16 has a height, h1, of 100 nm, the presence of smoothing layer 40 would result in projection 16 having a height, h1, of approximately 60 nm upon exposure of surface 34.
  • Further planarization benefit may be obtained by taking advantage of etch rate differential provided by differing etch recipes. For example, assuming that semi-conformal layer 29 had an etch rate, for a given etch recipe, that was 20% faster than the associated etch rate of smoothing layer 40, it may be seen that the reduction in height, h1, may be further reduced increased by 12 nm, i.e., height h1 of projection 16 is approximately 72 nm upon exposure of the entire area of surface 34. This may be desirable. Conversely, were the etch rate associated with semi-conformal layer 29, for a given etch recipe, 20% slower that the associated etch rate of smoothing layer 40, the planarization benefits of smoothing layer may be reduced. The height, h1, of projection 16 would be reduced by 12 nm so that h1 would be reduced to 48 nm upon exposure of the entire surface 34.
  • Referring to FIGS. 5 and 6, matching the etch characteristics of the materials of semi-conformal layer 29 and smoothing layer 40 may be problematic. As a result, an etch recipe has been developed that compensates for the etch rate differential presented by semi-conformal layer 29 and smoothing layer 40 SO that both etch at substantially the same rates. To that end, an etching system, such as a magnetically-enhanced reactive ion etching (MERIE) reactor 50 is employed. Reactor 50 includes grounded walls 52 defining a chamber 54 and slit valve opening 56 allowing ingress to and egress from chamber 54. Disposed within chamber 54 is a cathode pedestal 58 with an electrostatic chuck 60 selectively clamping the wafer that may be coupled to a power source (not shown). Cathode pedestal 58 may include channels (not shown) through which coolant fluid (not shown), flows to facilitate control of the temperature of the chuck. An RF power supply 62 is in electrical communication with cathode pedestal 58 to facilitate control of the DC bias to chuck 40 and generation of a plasma within chamber 54. A vacuum pump system 66 pumps the chamber 54 through an adjustable throttle valve 68.
  • Processing gases are supplied from gas sources 75-82 through respective mass flow controllers 83-90 to a quartz gas distribution plate 92 positioned opposite to chuck 60. The distribution plate 92 includes a manifold 94 receiving the processing gas and communicating with chamber 54 through a showerhead having a large number of distributed apertures 96 so as to inject a more uniform flow of processing gas into chamber 54. During operation, pump 66 is operated to establish a pressure in chamber 54 that is approximately 30 milliTorr (mT). Mass flow controllers 84 and 85 are operated to establish a flow rate from supplies 76 and 77, respectively. Specifically, supply 76 provides a flow of CF4 gas into chamber 54 at approximately 90 standard cubic centimeters per minute (sccm). Supply 77 provides a flow of oxygen, O2, gas into processing region at approximately 10 sccm. Power supply 62 is operated at 300 Watts. This configuration results in semi-conformal layer 29 and smoothing layer 40 etching at substantially the same rates, e.g., semi-conformal layer 29 etches at approximately 161.3 nm/minute±10 nm/minute, and smoothing layer 40 etches at approximately 167.4 nm/minute±10 nm/minute. It is desired to minimize the time required to complete etching, i.e., to expose surfaces 32 and 34.
  • Referring to both FIGS. 6 and 7 to minimize the time required to complete etching, a sequential etch process is employed to maximize the etch rate of materials being etched while minimizing the etch rate differential among materials undergoing etch. The sequential etch process includes a first etch condition that minimizes the time required to remove portions of smoothing layer 40. To that end, pump 66 operates to establish a pressure in chamber 54 that is approximately 40 mT. Mass flow controllers 83, 85 and 87 are operated to establish a flow rate from supplies 75, 77 and 79, respectively. Specifically, supply 75 provides a flow of C2H4 gas into chamber 54 at approximately 40 sccm, and supply 77 provides a flow of oxygen, O2, gas into chamber 54 at approximately 100 sccm. Supply 79 provides a flow of nitrogen, N2, gas into chamber 54 at approximately 200 sccm. Supply 62 is operated at 500 Watts. These process conditions are maintained to form a multi-material semi-planar surface 65 that includes SEMI-CONFORMAL MATERIAL, resulting from the exposure of surface 30, and the remaining regions of SMOOTHING LAYER MATERIAL. After forming semi-planar surface 65, the etch process is terminated and the process conditions changed. Formation of semi-planar surface 65 may be determined from a priori knowledge in which the time required to expose the same is known and the process conditions are terminated after the required time had lapsed. To that end, a process conditions monitoring system 96 may be in communication with chamber 54 which is configured to measure performance of the etch process, e.g., measure an etch rate, an etch selectivity, an etch uniformity, feature profile angle, and critical dimension. System 96 may be an in-situ or ex-situ device. In the case of an in-situ device, system 96 includes a scatterometer (not shown), incorporating beam profile ellipsometry and beam profile reflectometry. The scatterometer (not shown) may be positioned within a transfer chamber (not shown). In the case of an ex-situ device, system 96 includes a scanning electron microscope (not shown).
  • Operation of reactor 50 is achieved by a controller 98 in data communication therewith to control the operations of the systems associated with reactor 50. To that end, controller 98 is in data communication with RF supply 62, pump 66, throttle valve 68, mass flow controllers 83-90, supplies 75-82 and process condition monitoring system 96. Controller 102 includes a microprocessor (not shown), memory (not shown), and a digital i/0 port (not shown) that is capable of generating control voltages sufficient to communicate with reactor 50. A program stored in the memory (not shown) and selectively operated on by microprocessor controls the operation of reactor 50.
  • The processing conditions in chamber 54 are established so that semi-conformal layer 29 and the remaining portions of smoothing layer 40 are etched at substantially the same rate. To that end, the chamber pressure is approximately 30 mT, power supply 62 is operated at 300 Watts and oxygen, O2, gas is flowed into chamber at approximately 10 sccm and CF4 gas is flowed into chamber 54 approximately 90 sccm. Upon removal of substantially all of the remaining portions of smoothing layer 40, the DOP of 60% is maintained in a surface 130, which is defined by the remaining portions of semi-conformal layer 29. As a result the maximum thickness of t6 of the remaining portion of hill 34 is less than thickness t3. Specifically, thickness t6 is defined substantially as follows:
    t 6 =t 3−(d 1 −d 2).  3)
    Thus, the smaller the value of d2, the greater the degree of planarization in multi-material semi-planar surface 65 afforded by smoothing layer 40.
  • Referring to FIGS. 2, 6 and 8 following formation of surface 130, etch conditions are changed to maximize the etch rate of the remaining portions of semi-conformal layer 29 while forming crown surface 25 and maximizing the planarity thereof. To that end, chamber 54 is pressurized to 50 mT, power supply 62 is operated at 500 Watts, and supply 75 provides C4F8 gas into chambers 54 at approximately 10 sccm. Supply 77 provides oxygen gas into chamber 54 at approximately 20 sccm, and supply 78 provides Argon gas into chamber 54 at approximately 100 sccm.
  • Following formation of crown surface 25, patterned layer 22 may be etched establishing conditions in chamber 54 such that chamber pressure is 15 mT, power supply 62 is operated at 120 Watts and supply 82 introduces CO gas into chamber 54 at a rate of 100 sccm. Supply 77 introduces oxygen, O2, gas into chamber 54 at a rate of approximately 20 sccm to take advantage of the etch differential provided by regions 24 and 28, as discussed in U.S. patent application Ser. No. 10/789,319, filed Feb. 27, 2004, entitled, COMPOSITIONS FOR AN ETCHING MASK COMPRISING A SILICON-CONTAINING MATERIAL, having Frank Y. Xu, Michael N. Miller and Michael P. C. Watts listed as inventors, which is incorporated by reference herein.
  • The embodiments of the present invention described above are exemplary. Many changes and modifications may be made to the disclosure recited above while remaining within the scope of the invention. For example, in lieu of the SMOOTHING LAYER BULK MATERIAL DISCUSSED ABOVE, a T-structure silicone resin from Dow Corning Company, Midland, Mich. sold under the product name Z-6018 may be employed. In this manner Z-6018 would comprise 100% of the bulk material. The smoothing composition would comprise 20% Z-6018 and 80% of the methyl amyl ketone available from Aldrich Co. (St. Louis, Mo.) under the tradename MAK.
  • Employing the spin-coating system discussed above, the Z-6018 smoothing composition is centrally disposed on surface 30 before rotation occurs. After dispensing of the smoothing composition, substrate 10 is accelerated to 5,000 rpm/s to reach a maximum rotational velocity of approximately 2,500 rpm. The maximum rotational velocity is maintained until substantially all of the solvent in the polymerizable composition has been removed. This is detected optically by the unaided eye as the color of semi-conformal layer 29 remains substantially unchanged during rotation of substrate 10. At these process conditions semi-conformal layer 29 is solidified and will not level. To achieve leveling of semi-conformal layer 29, the substrate 10 is baked in an oven at 120° Celsius for fifteen minutes during which time semi-conformal layer 29 becomes fluidic, e.g., reflows, to facilitate leveling of smoothing surface 44. Cooling is achieved by placing the same on an air-cooled cold plate having flows of ambient air directed toward substrate 10 for two minutes to solidify semi-conformal layer 29.
  • The scope of the invention should not, therefore, be limited by the above description, but instead should be determined with reference to the appended claims along with their full scope of equivalents.

Claims (20)

1. A method of coating a substrate having a solidified layer formed thereon, said method comprising:
depositing a flowable material upon said solidified layer;
forming a smooth flowable surface upon said substrate by imparting rotational movement upon said substrate followed by leveling of said flowable material in an absence of said rotational movement; and
solidifying said additional layer.
2. The method as recited in claim 1 wherein solidifying further includes exposing said flowable material to actinic energy.
3. The method as recited in claim 1 wherein forming occurs at ambient temperatures.
4. The method as recited in claim 1 wherein forming further includes terminating said rotational movement and minimizing movement of said substrate for approximately 2 to 30 minutes after termination of said rotational movement.
5. The method as recited in claim 1 wherein forming further includes rotating said substrate at approximately 4,000 revolutions per minute for less than ten seconds.
6. The method as recited in claim 1 further including forming said solidified layer by spin-coating a silicon containing flowable composition having a solvent until said solvent evaporates forming said solidified layer.
7. The method as recited in claim 1 further including forming said solidified layer by spin-coating a silicon containing flowable composition having a solvent until said solvent evaporates, forming an evaporated layer and baking said evaporated layer to form said solidified layer.
8. The method as recited in claim 1 at wherein disposing further includes providing said flowable material with solvent and forming further includes maintaining said rotational movement until said flowable material contains less that 0.1% solvent by weight.
9. A method of coating a substrate having a solidified layer formed thereon, said method comprising:
depositing a flowable material, having a solvent, upon said solidified layer;
varying a viscosity of said flowable material, at ambient temperatures, by spreading said flowable material over said solidified layer to form a flowable surface; and
solidifying said flowable material to form a second layer.
10. The method as recited in claim 9 wherein solidifying further includes exposing said flowable material to actinic energy.
11. The method as recited in claim 9 wherein varying further includes imparting rotational movement followed by terminating said rotational movement and minimizing movement of said substrate for approximately 2 to 30 minutes after termination of said rotational movement to allow leveling of said flowable surface.
12. The method as recited in claim 9 wherein varying further includes imparting rotational movement further includes rotating said substrate at approximately 4,000 revolutions per minute for less than ten seconds.
13. The method as recited in claim 9 further including forming said solidified layer by spin-coating a silicon containing flowable composition having a solvent until said solvent evaporates, forming an evaporated layer and baking said evaporated layer to form said solidified layer.
14. A method of coating a substrate having a solidified layer formed thereon, said method comprising:
depositing a flowable material including solvent upon said solidified layer;
imparting rotational movement upon said substrate to spread said flowable material over said solidified layer until said flowable material contains less that 0.1% solvent by weight to form an additional layer having a flowable surface;
leveling of said flowable surface; and
solidifying said additional layer.
15. The method as recited in claim 14 wherein solidifying further includes exposing said flowable material to actinic energy.
16. The method as recited in claim 14 wherein leveling of said flowable surface occurs at ambient temperature.
17. The method as recited in claim 14 wherein departing, leveling and solidifying occur at ambient temperatures.
18. The method as recited in claim 14 further including terminating said rotational movement and wherein leveling further includes minimizing movement of said substrate for approximately 2 to 30 minutes after termination of said rotational movement.
19. The method as recited in claim 14 wherein imparting rotational movement further includes rotating said substrate at approximately 4,000 revolutions per minute for less than ten seconds.
20. The method as recited in claim 14 further including forming said solidified layer by spin-coating a silicon containing flowable composition having a solvent until said solvent evaporates, forming an evaporated layer and baking said evaporated layer to form said solidified layer.
US11/240,707 2005-09-30 2005-09-30 Deposition technique to planarize a multi-layer structure Abandoned US20070077763A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US11/240,708 US7259102B2 (en) 2005-09-30 2005-09-30 Etching technique to planarize a multi-layer structure
US11/240,707 US20070077763A1 (en) 2005-09-30 2005-09-30 Deposition technique to planarize a multi-layer structure

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/240,707 US20070077763A1 (en) 2005-09-30 2005-09-30 Deposition technique to planarize a multi-layer structure

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/240,708 Division US7259102B2 (en) 2005-09-30 2005-09-30 Etching technique to planarize a multi-layer structure

Publications (1)

Publication Number Publication Date
US20070077763A1 true US20070077763A1 (en) 2007-04-05

Family

ID=37902452

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/240,708 Expired - Fee Related US7259102B2 (en) 2005-09-30 2005-09-30 Etching technique to planarize a multi-layer structure
US11/240,707 Abandoned US20070077763A1 (en) 2005-09-30 2005-09-30 Deposition technique to planarize a multi-layer structure

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/240,708 Expired - Fee Related US7259102B2 (en) 2005-09-30 2005-09-30 Etching technique to planarize a multi-layer structure

Country Status (1)

Country Link
US (2) US7259102B2 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060063112A1 (en) * 2004-09-21 2006-03-23 Molecular Imprints, Inc. Pattern reversal employing thick residual layers
US20080118872A1 (en) * 2003-03-25 2008-05-22 Molecular Imprints, Inc. Positive Tone Bi-Layer Method
US20080174046A1 (en) * 2002-07-11 2008-07-24 Molecular Imprints Inc. Capillary Imprinting Technique
US7691313B2 (en) 2002-11-13 2010-04-06 Molecular Imprints, Inc. Method for expelling gas positioned between a substrate and a mold
KR20170056457A (en) * 2015-11-13 2017-05-23 캐논 가부시끼가이샤 Method of reverse tone patterning
US11388809B2 (en) * 2019-03-25 2022-07-12 Recarbon, Inc. Systems for controlling plasma reactors

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US7906180B2 (en) * 2004-02-27 2011-03-15 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US9508886B2 (en) 2007-10-06 2016-11-29 Solexel, Inc. Method for making a crystalline silicon solar cell substrate utilizing flat top laser beam
US8399331B2 (en) 2007-10-06 2013-03-19 Solexel Laser processing for high-efficiency thin crystalline silicon solar cell fabrication
US8420435B2 (en) 2009-05-05 2013-04-16 Solexel, Inc. Ion implantation fabrication process for thin-film crystalline silicon solar cells
US7665981B2 (en) * 2005-08-25 2010-02-23 Molecular Imprints, Inc. System to transfer a template transfer body between a motion stage and a docking plate
US8206996B2 (en) 2006-03-28 2012-06-26 Lam Research Corporation Etch tool process indicator method and apparatus
US8293558B2 (en) * 2006-10-09 2012-10-23 Solexel, Inc. Method for releasing a thin-film substrate
US7999174B2 (en) * 2006-10-09 2011-08-16 Solexel, Inc. Solar module structures and assembly methods for three-dimensional thin-film solar cells
US20080264477A1 (en) * 2006-10-09 2008-10-30 Soltaix, Inc. Methods for manufacturing three-dimensional thin-film solar cells
US8035028B2 (en) * 2006-10-09 2011-10-11 Solexel, Inc. Pyramidal three-dimensional thin-film solar cells
US8193076B2 (en) 2006-10-09 2012-06-05 Solexel, Inc. Method for releasing a thin semiconductor substrate from a reusable template
US8053665B2 (en) * 2008-11-26 2011-11-08 Solexel, Inc. Truncated pyramid structures for see-through solar cells
US20100304521A1 (en) * 2006-10-09 2010-12-02 Solexel, Inc. Shadow Mask Methods For Manufacturing Three-Dimensional Thin-Film Solar Cells
US8084684B2 (en) 2006-10-09 2011-12-27 Solexel, Inc. Three-dimensional thin-film solar cells
US20080264553A1 (en) * 2007-04-27 2008-10-30 Hewlett-Packard Development Company Lp Embossing
US8142702B2 (en) * 2007-06-18 2012-03-27 Molecular Imprints, Inc. Solvent-assisted layer formation for imprint lithography
WO2009026240A1 (en) * 2007-08-17 2009-02-26 Solexel, Inc. Methods for liquid transfer coating of three-dimensional substrates
US20100144080A1 (en) * 2008-06-02 2010-06-10 Solexel, Inc. Method and apparatus to transfer coat uneven surface
US8415010B2 (en) * 2008-10-20 2013-04-09 Molecular Imprints, Inc. Nano-imprint lithography stack with enhanced adhesion between silicon-containing and non-silicon containing layers
US8294026B2 (en) * 2008-11-13 2012-10-23 Solexel, Inc. High-efficiency thin-film solar cells
US8288195B2 (en) * 2008-11-13 2012-10-16 Solexel, Inc. Method for fabricating a three-dimensional thin-film semiconductor substrate from a template
US8906218B2 (en) 2010-05-05 2014-12-09 Solexel, Inc. Apparatus and methods for uniformly forming porous semiconductor on a substrate
WO2010083422A1 (en) * 2009-01-15 2010-07-22 Solexel, Inc. Porous silicon electro-etching system and method
US9076642B2 (en) 2009-01-15 2015-07-07 Solexel, Inc. High-Throughput batch porous silicon manufacturing equipment design and processing methods
US8999058B2 (en) 2009-05-05 2015-04-07 Solexel, Inc. High-productivity porous semiconductor manufacturing equipment
MY162405A (en) * 2009-02-06 2017-06-15 Solexel Inc Trench Formation Method For Releasing A Thin-Film Substrate From A Reusable Semiconductor Template
CN102438841A (en) * 2009-03-23 2012-05-02 因特瓦克公司 A process for optimization of island to trench ratio in patterned media
US8828517B2 (en) 2009-03-23 2014-09-09 Solexel, Inc. Structure and method for improving solar cell efficiency and mechanical strength
CN102427971B (en) * 2009-04-14 2015-01-07 速力斯公司 High efficiency epitaxial chemical vapor deposition (cvd) reactor
US9099584B2 (en) * 2009-04-24 2015-08-04 Solexel, Inc. Integrated three-dimensional and planar metallization structure for thin film solar cells
US9318644B2 (en) 2009-05-05 2016-04-19 Solexel, Inc. Ion implantation and annealing for thin film crystalline solar cells
US8445314B2 (en) * 2009-05-22 2013-05-21 Solexel, Inc. Method of creating reusable template for detachable thin film substrate
MY159405A (en) * 2009-05-29 2016-12-30 Solexel Inc Three-dimensional thin-film semiconductor substrate with through-holes and methods of manufacturing
CN102782869B (en) 2009-12-09 2013-12-25 速力斯公司 High-efficiency photovoltaic back-contact solar cell structures and manufacturing methods using three-dimensional semiconductor absorbers
CN102844883B (en) 2010-02-12 2016-01-20 速力斯公司 For the manufacture of the two-sided reusable template of the Semiconductor substrate of photocell and microelectronic component
WO2011156657A2 (en) 2010-06-09 2011-12-15 Solexel, Inc. High productivity thin film deposition method and system
US8946547B2 (en) 2010-08-05 2015-02-03 Solexel, Inc. Backplane reinforcement and interconnects for solar cells
EP2710639A4 (en) 2011-05-20 2015-11-25 Solexel Inc Self-activated front surface bias for a solar cell
JP5899145B2 (en) * 2012-06-18 2016-04-06 富士フイルム株式会社 Composition for forming underlayer film for imprint and pattern forming method
SG11201606361QA (en) * 2014-02-14 2016-09-29 Applied Materials Inc Gas cooled substrate support for stabilized high temperature deposition
CN108873606A (en) * 2018-07-25 2018-11-23 江西理工大学 Nano-imprinting method based on centrifugal force and the polymer micro-nano structure being prepared

Citations (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4038110A (en) * 1974-06-17 1977-07-26 Ibm Corporation Planarization of integrated circuit surfaces through selective photoresist masking
US4741926A (en) * 1985-10-29 1988-05-03 Rca Corporation Spin-coating procedure
US4794021A (en) * 1986-11-13 1988-12-27 Microelectronics And Computer Technology Corporation Method of providing a planarized polymer coating on a substrate wafer
US5330883A (en) * 1992-06-29 1994-07-19 Lsi Logic Corporation Techniques for uniformizing photoresist thickness and critical dimension of underlying features
US5347107A (en) * 1992-09-03 1994-09-13 Nikko Corporation Ltd. Low frequency electromagnetic induction heater with magnetic flux diffusing members
US5453406A (en) * 1994-06-13 1995-09-26 Industrial Technology Research Institute Aspect ratio independent coating for semiconductor planarization using SOG
US5700626A (en) * 1994-01-12 1997-12-23 Lg Semicon Co., Ltd. Method for forming multi-layer resist pattern
US5736424A (en) * 1987-02-27 1998-04-07 Lucent Technologies Inc. Device fabrication involving planarization
US5893750A (en) * 1995-11-13 1999-04-13 Advanced Micro Devices, Inc. Method for forming a highly planarized interlevel dielectric structure
US5986330A (en) * 1993-12-06 1999-11-16 Stmicroelectronics, Inc. Enhanced planarization technique for an integrated circuit
US6048799A (en) * 1987-02-27 2000-04-11 Lucent Technologies Inc. Device fabrication involving surface planarization
US6117798A (en) * 1998-12-16 2000-09-12 United Microelectronics Corp. Method of spin-on-glass planarization
US20030040194A1 (en) * 2000-05-02 2003-02-27 Samsung Electronics Co., Ltd. Spin-on glass composition and method of forming silicon oxide layer in semiconductor manufacturing process using the same
US6645879B2 (en) * 2001-08-18 2003-11-11 Samsung Electronics Co., Ltd. Method of forming a silicon oxide layer of a semiconductor device and method of forming a wiring having the same
US20030215577A1 (en) * 2002-05-16 2003-11-20 Willson Carlton Grant Method and system for fabricating nanoscale patterns in light curable compositions using an electric field
US20040029041A1 (en) * 2002-02-27 2004-02-12 Brewer Science, Inc. Novel planarization method for multi-layer lithography processing
US6724968B2 (en) * 1999-12-02 2004-04-20 Gemfire Corporation Photodefinition of optical devices
US20040124566A1 (en) * 2002-07-11 2004-07-01 Sreenivasan Sidlgata V. Step and repeat imprint lithography processes
US20040142501A1 (en) * 2003-01-17 2004-07-22 Sharp Kabushiki Kaisha Process for manufacturing semiconductor device and semiconductor device
US20040188381A1 (en) * 2003-03-25 2004-09-30 Molecular Imprints, Inc. Positive tone bi-layer imprint lithography method
US20050051698A1 (en) * 2002-07-08 2005-03-10 Molecular Imprints, Inc. Conforming template for patterning liquids disposed on substrates
US20050253307A1 (en) * 2004-05-11 2005-11-17 Molecualr Imprints, Inc. Method of patterning a conductive layer on a substrate
US20060003596A1 (en) * 2004-07-01 2006-01-05 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7041604B2 (en) * 2004-09-21 2006-05-09 Molecular Imprints, Inc. Method of patterning surfaces while providing greater control of recess anisotropy
US7122079B2 (en) * 2004-02-27 2006-10-17 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US7307118B2 (en) * 2004-11-24 2007-12-11 Molecular Imprints, Inc. Composition to reduce adhesion between a conformable region and a mold
US7547504B2 (en) * 2004-09-21 2009-06-16 Molecular Imprints, Inc. Pattern reversal employing thick residual layers

Family Cites Families (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3795534A (en) * 1972-04-19 1974-03-05 Rca Corp Manufacture of video discs
JPS6053675B2 (en) * 1978-09-20 1985-11-27 富士写真フイルム株式会社 Spin coating method
US4389281A (en) * 1980-12-16 1983-06-21 International Business Machines Corporation Method of planarizing silicon dioxide in semiconductor devices
DE3377597D1 (en) * 1982-04-12 1988-09-08 Nippon Telegraph & Telephone Method for forming micropattern
US4507331A (en) * 1983-12-12 1985-03-26 International Business Machines Corporation Dry process for forming positive tone micro patterns
US4665007A (en) * 1985-08-19 1987-05-12 International Business Machines Corporation Planarization process for organic filling of deep trenches
US4657845A (en) * 1986-01-14 1987-04-14 International Business Machines Corporation Positive tone oxygen plasma developable photoresist
US4692205A (en) * 1986-01-31 1987-09-08 International Business Machines Corporation Silicon-containing polyimides as oxygen etch stop and dual dielectric coatings
FR2604553A1 (en) * 1986-09-29 1988-04-01 Rhone Poulenc Chimie RIGID POLYMER SUBSTRATE FOR OPTICAL DISC AND OPTICAL DISCS OBTAINED FROM THE SUBSTRATE
US4931351A (en) * 1987-01-12 1990-06-05 Eastman Kodak Company Bilayer lithographic process
US6391798B1 (en) * 1987-02-27 2002-05-21 Agere Systems Guardian Corp. Process for planarization a semiconductor substrate
NL8701717A (en) * 1987-07-21 1989-02-16 Philips Nv METHOD FOR MANUFACTURING A SEMICONDUCTOR DEVICE WITH A PLANARIZED STRUCTURE
KR930000293B1 (en) * 1987-10-26 1993-01-15 마쯔시다덴기산교 가부시기가이샤 Fine pattern forming method
JPH0717737B2 (en) * 1987-11-30 1995-03-01 太陽インキ製造株式会社 Photosensitive thermosetting resin composition and method for forming solder resist pattern
US4891303A (en) * 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
CA2010169A1 (en) * 1989-02-21 1990-08-21 Masakazu Uekita Multi-layer resist
JP3001607B2 (en) * 1989-04-24 2000-01-24 シーメンス、アクチエンゲゼルシヤフト Dimensionally stable structure transfer method in two-layer method
ES2103261T3 (en) * 1989-04-24 1997-09-16 Siemens Ag PROCEDURE FOR THE GENERATION OF CORROSION RESISTANT STRUCTURES.
US5110514A (en) * 1989-05-01 1992-05-05 Soane Technologies, Inc. Controlled casting of a shrinkable material
DE58908781D1 (en) * 1989-09-08 1995-01-26 Siemens Ag Process for the global planarization of surfaces for integrated semiconductor circuits.
JP2586692B2 (en) * 1990-05-24 1997-03-05 松下電器産業株式会社 Pattern forming material and pattern forming method
DE4029912A1 (en) * 1990-09-21 1992-03-26 Philips Patentverwaltung METHOD FOR FORMING AT LEAST ONE TRENCH IN A SUBSTRATE LAYER
US5314772A (en) * 1990-10-09 1994-05-24 Arizona Board Of Regents High resolution, multi-layer resist for microlithography and method therefor
JPH0580530A (en) * 1991-09-24 1993-04-02 Hitachi Ltd Production of thin film pattern
US5276126A (en) * 1991-11-04 1994-01-04 Ocg Microelectronic Materials, Inc. Selected novolak resin planarization layer for lithographic applications
US5545367A (en) * 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
JP2820187B2 (en) * 1992-04-16 1998-11-05 三星電子 株式会社 Method for manufacturing semiconductor device
JP2837063B2 (en) * 1993-06-04 1998-12-14 シャープ株式会社 Method of forming resist pattern
US5434107A (en) * 1994-01-28 1995-07-18 Texas Instruments Incorporated Method for planarization
US5743998A (en) * 1995-04-19 1998-04-28 Park Scientific Instruments Process for transferring microminiature patterns using spin-on glass resist media
US5772905A (en) * 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US5684066A (en) * 1995-12-04 1997-11-04 H.B. Fuller Licensing & Financing, Inc. Protective coatings having enhanced properties
US5942443A (en) * 1996-06-28 1999-08-24 Caliper Technologies Corporation High throughput screening assay systems in microscale fluidic devices
US5888650A (en) * 1996-06-03 1999-03-30 Minnesota Mining And Manufacturing Company Temperature-responsive adhesive article
US6074827A (en) * 1996-07-30 2000-06-13 Aclara Biosciences, Inc. Microfluidic method for nucleic acid purification and processing
US5942449A (en) * 1996-08-28 1999-08-24 Micron Technology, Inc. Method for removing an upper layer of material from a semiconductor wafer
US5954997A (en) * 1996-12-09 1999-09-21 Cabot Corporation Chemical mechanical polishing slurry useful for copper substrates
US5895263A (en) * 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
US6033977A (en) * 1997-06-30 2000-03-07 Siemens Aktiengesellschaft Dual damascene structure
EP1790703B1 (en) * 1998-04-24 2014-07-30 JGC Catalysts and Chemicals Ltd. Coating liquid for forming silica-based film having low dielectric constant and substrate having film of low dielectric constant coated thereon
DE19828969A1 (en) * 1998-06-29 1999-12-30 Siemens Ag Manufacturing integrated semiconductor components
US5907782A (en) * 1998-08-15 1999-05-25 Acer Semiconductor Manufacturing Inc. Method of forming a multiple fin-pillar capacitor for a high density dram cell
US6096655A (en) * 1998-09-02 2000-08-01 International Business Machines, Corporation Method for forming vias and trenches in an insulation layer for a dual-damascene multilevel interconnection structure
US6334960B1 (en) * 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
JP4286374B2 (en) * 1999-03-30 2009-06-24 新日鐵化学株式会社 Silicone resin and photosensitive resin composition containing the same
US6342097B1 (en) * 1999-04-23 2002-01-29 Sdc Coatings, Inc. Composition for providing an abrasion resistant coating on a substrate with a matched refractive index and controlled tintability
US20040029395A1 (en) * 2002-08-12 2004-02-12 Peng Zhang Process solutions containing acetylenic diol surfactants
US6329256B1 (en) * 1999-09-24 2001-12-11 Advanced Micro Devices, Inc. Self-aligned damascene gate formation with low gate resistance
TW442961B (en) * 1999-10-08 2001-06-23 Taiwan Semiconductor Mfg Manufacturing method of double-recess crown capacitor of DRAM
DE19958966A1 (en) * 1999-12-07 2001-06-13 Infineon Technologies Ag Generation of resist structures
US6451703B1 (en) * 2000-03-10 2002-09-17 Applied Materials, Inc. Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
US6894245B2 (en) * 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6326627B1 (en) * 2000-08-02 2001-12-04 Archimedes Technology Group, Inc. Mass filtering sputtered ion source
US6730256B1 (en) * 2000-08-04 2004-05-04 Massachusetts Institute Of Technology Stereolithographic patterning with interlayer surface modifications
US6777170B1 (en) * 2000-08-04 2004-08-17 Massachusetts Institute Of Technology Stereolithographic patterning by variable dose light delivery
US6455411B1 (en) * 2000-09-11 2002-09-24 Texas Instruments Incorporated Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics
JP3848070B2 (en) * 2000-09-27 2006-11-22 株式会社東芝 Pattern formation method
US6387787B1 (en) * 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
US6517977B2 (en) * 2001-03-28 2003-02-11 Motorola, Inc. Lithographic template and method of formation and use
US6716767B2 (en) * 2001-10-31 2004-04-06 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
US6737202B2 (en) * 2002-02-22 2004-05-18 Motorola, Inc. Method of fabricating a tiered structure using a multi-layered resist stack and use
US6743713B2 (en) * 2002-05-15 2004-06-01 Institute Of Microelectronics Method of forming dual damascene pattern using dual bottom anti-reflective coatings (BARC)
KR100493025B1 (en) * 2002-08-07 2005-06-07 삼성전자주식회사 Method for manufacturing semiconductor memory device
US6820677B2 (en) * 2002-08-20 2004-11-23 Ford Motor Company Method of making a spray formed article
TWI264043B (en) * 2002-10-01 2006-10-11 Tokyo Electron Ltd Method and system for analyzing data from a plasma process
US7186656B2 (en) * 2004-05-21 2007-03-06 Molecular Imprints, Inc. Method of forming a recessed structure employing a reverse tone process
US7396475B2 (en) * 2003-04-25 2008-07-08 Molecular Imprints, Inc. Method of forming stepped structures employing imprint lithography
US20040224261A1 (en) * 2003-05-08 2004-11-11 Resnick Douglas J. Unitary dual damascene process using imprint lithography
WO2005019793A2 (en) 2003-05-14 2005-03-03 Nantero, Inc. Sensor platform using a horizontally oriented nanotube element
TWI228638B (en) * 2003-06-10 2005-03-01 Ind Tech Res Inst Method for and apparatus for bonding patterned imprint to a substrate by adhering means
KR100791443B1 (en) 2003-09-29 2008-01-10 인터내셔널 비지네스 머신즈 코포레이션 Fabrication method
US6893975B1 (en) * 2004-03-31 2005-05-17 Tokyo Electron Limited System and method for etching a mask

Patent Citations (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4038110A (en) * 1974-06-17 1977-07-26 Ibm Corporation Planarization of integrated circuit surfaces through selective photoresist masking
US4741926A (en) * 1985-10-29 1988-05-03 Rca Corporation Spin-coating procedure
US4794021A (en) * 1986-11-13 1988-12-27 Microelectronics And Computer Technology Corporation Method of providing a planarized polymer coating on a substrate wafer
US5736424A (en) * 1987-02-27 1998-04-07 Lucent Technologies Inc. Device fabrication involving planarization
US6048799A (en) * 1987-02-27 2000-04-11 Lucent Technologies Inc. Device fabrication involving surface planarization
US5330883A (en) * 1992-06-29 1994-07-19 Lsi Logic Corporation Techniques for uniformizing photoresist thickness and critical dimension of underlying features
US5347107A (en) * 1992-09-03 1994-09-13 Nikko Corporation Ltd. Low frequency electromagnetic induction heater with magnetic flux diffusing members
US5986330A (en) * 1993-12-06 1999-11-16 Stmicroelectronics, Inc. Enhanced planarization technique for an integrated circuit
US5700626A (en) * 1994-01-12 1997-12-23 Lg Semicon Co., Ltd. Method for forming multi-layer resist pattern
US5453406A (en) * 1994-06-13 1995-09-26 Industrial Technology Research Institute Aspect ratio independent coating for semiconductor planarization using SOG
US5893750A (en) * 1995-11-13 1999-04-13 Advanced Micro Devices, Inc. Method for forming a highly planarized interlevel dielectric structure
US6117798A (en) * 1998-12-16 2000-09-12 United Microelectronics Corp. Method of spin-on-glass planarization
US6724968B2 (en) * 1999-12-02 2004-04-20 Gemfire Corporation Photodefinition of optical devices
US20030040194A1 (en) * 2000-05-02 2003-02-27 Samsung Electronics Co., Ltd. Spin-on glass composition and method of forming silicon oxide layer in semiconductor manufacturing process using the same
US6645879B2 (en) * 2001-08-18 2003-11-11 Samsung Electronics Co., Ltd. Method of forming a silicon oxide layer of a semiconductor device and method of forming a wiring having the same
US20040029041A1 (en) * 2002-02-27 2004-02-12 Brewer Science, Inc. Novel planarization method for multi-layer lithography processing
US20030215577A1 (en) * 2002-05-16 2003-11-20 Willson Carlton Grant Method and system for fabricating nanoscale patterns in light curable compositions using an electric field
US20050051698A1 (en) * 2002-07-08 2005-03-10 Molecular Imprints, Inc. Conforming template for patterning liquids disposed on substrates
US20040124566A1 (en) * 2002-07-11 2004-07-01 Sreenivasan Sidlgata V. Step and repeat imprint lithography processes
US20040142501A1 (en) * 2003-01-17 2004-07-22 Sharp Kabushiki Kaisha Process for manufacturing semiconductor device and semiconductor device
US20040188381A1 (en) * 2003-03-25 2004-09-30 Molecular Imprints, Inc. Positive tone bi-layer imprint lithography method
US7122079B2 (en) * 2004-02-27 2006-10-17 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US20050253307A1 (en) * 2004-05-11 2005-11-17 Molecualr Imprints, Inc. Method of patterning a conductive layer on a substrate
US20060003596A1 (en) * 2004-07-01 2006-01-05 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7041604B2 (en) * 2004-09-21 2006-05-09 Molecular Imprints, Inc. Method of patterning surfaces while providing greater control of recess anisotropy
US7547504B2 (en) * 2004-09-21 2009-06-16 Molecular Imprints, Inc. Pattern reversal employing thick residual layers
US7307118B2 (en) * 2004-11-24 2007-12-11 Molecular Imprints, Inc. Composition to reduce adhesion between a conformable region and a mold

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080174046A1 (en) * 2002-07-11 2008-07-24 Molecular Imprints Inc. Capillary Imprinting Technique
US7708926B2 (en) 2002-07-11 2010-05-04 Molecular Imprints, Inc. Capillary imprinting technique
US7691313B2 (en) 2002-11-13 2010-04-06 Molecular Imprints, Inc. Method for expelling gas positioned between a substrate and a mold
US20080118872A1 (en) * 2003-03-25 2008-05-22 Molecular Imprints, Inc. Positive Tone Bi-Layer Method
US7670953B2 (en) 2003-03-25 2010-03-02 Molecular Imprints, Inc. Positive tone bi-layer method
US20060063112A1 (en) * 2004-09-21 2006-03-23 Molecular Imprints, Inc. Pattern reversal employing thick residual layers
KR20170056457A (en) * 2015-11-13 2017-05-23 캐논 가부시끼가이샤 Method of reverse tone patterning
CN106707686A (en) * 2015-11-13 2017-05-24 佳能株式会社 Method of reverse tone patterning
US10211051B2 (en) * 2015-11-13 2019-02-19 Canon Kabushiki Kaisha Method of reverse tone patterning
KR102098438B1 (en) 2015-11-13 2020-05-29 캐논 가부시끼가이샤 Method of reverse tone patterning
TWI707381B (en) * 2015-11-13 2020-10-11 日商佳能股份有限公司 Method of reverse tone patterning and mathod of manufacturing integrated device
US11388809B2 (en) * 2019-03-25 2022-07-12 Recarbon, Inc. Systems for controlling plasma reactors
AU2020245199B2 (en) * 2019-03-25 2023-09-14 Recarbon, Inc. Systems for controlling plasma reactors

Also Published As

Publication number Publication date
US7259102B2 (en) 2007-08-21
US20070077770A1 (en) 2007-04-05

Similar Documents

Publication Publication Date Title
US7259102B2 (en) Etching technique to planarize a multi-layer structure
US6797607B2 (en) Contact planarization using nanoporous silica materials
JP5894622B2 (en) Method for etching a silicon-containing hard mask
US4806504A (en) Planarization method
EP1440465B1 (en) Contact planarization materials that generate no volatile byproducts or residue during curing
US5552346A (en) Planarization and etch back process for semiconductor layers
US8105954B2 (en) System and method of vapor deposition
US7256131B2 (en) Method of controlling the critical dimension of structures formed on a substrate
US7041604B2 (en) Method of patterning surfaces while providing greater control of recess anisotropy
US20010036749A1 (en) Apparatus and methods for integrated circuit planarization
US20160260623A1 (en) Method and Apparatus for Planarization of Substrate Coatings
Hiroshima et al. Uniformity in patterns imprinted using photo-curable liquid polymer
US7435692B2 (en) Gas jet reduction of iso-dense field thickness bias for gapfill process
US6391781B1 (en) Method of making a semiconductor device
US7205244B2 (en) Patterning substrates employing multi-film layers defining etch-differential interfaces
JP2005524240A (en) Polymer anti-reflective coatings deposited by improved plasma chemical vapor deposition
WO2004114381A1 (en) Method for manufacturing semiconductor device
US20070259457A1 (en) Optical endpoint detection of planarization
JP5661562B2 (en) Fine pattern mask, method of manufacturing the same, and method of forming fine pattern using the same
US5968710A (en) Controlled removal of electron beam curable coatings and articles formed thereby
CN109860030A (en) The method of self-alignment duplex pattern
Fallahi et al. Photopatternable sol-gel for compound semiconductor processing
Shinjo et al. Wet-recess gap-fill materials for an advanced dual damascene process
CN115053335A (en) High silicon content wet removal of planarization layers
Shih et al. CON-TACT® planarization process of spin-on dielectrics for device fabrication

Legal Events

Date Code Title Description
AS Assignment

Owner name: MOLECULAR IMPRINTS, INC., TEXAS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:XU, FRANK Y.;FLETCHER, EDWARD B.;REEL/FRAME:016657/0699;SIGNING DATES FROM 20050925 TO 20050929

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION