US20070076339A1 - Air gap for tungsten/aluminum plug applications - Google Patents

Air gap for tungsten/aluminum plug applications Download PDF

Info

Publication number
US20070076339A1
US20070076339A1 US11/561,790 US56179006A US2007076339A1 US 20070076339 A1 US20070076339 A1 US 20070076339A1 US 56179006 A US56179006 A US 56179006A US 2007076339 A1 US2007076339 A1 US 2007076339A1
Authority
US
United States
Prior art keywords
conductive
layer
air gap
dielectric layer
line
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/561,790
Inventor
Water Lur
David Lee
Kuang-Chih Wang
Ming-Sheng Yang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/295,719 external-priority patent/US7449407B2/en
Priority claimed from US11/179,840 external-priority patent/US7253095B2/en
Application filed by Individual filed Critical Individual
Priority to US11/561,790 priority Critical patent/US20070076339A1/en
Publication of US20070076339A1 publication Critical patent/US20070076339A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures

Definitions

  • the present invention relates, in general, to the field of integrated circuit (“IC”) device structures and methods of forming the same. More particularly, the present invention relates to an air gap structure and formation method for reducing undesired capacitive coupling in an integrated circuit device that uses tungsten plugs.
  • IC integrated circuit
  • Some disadvantages of current low K materials include incompatible thermal coefficient of expansion, low mechanical strength and poor thermal diffusivity.
  • U.S. Pat. No. 6,177,329 to Pang illustrates one conventional approach in which an additional mask is used to pattern the underlying layers to form the air gaps. This is both inefficient and imprecise for extremely small geometries.
  • U.S. Pat. No. 5,847,439 to Reinberg illustrates another approach in which a combination of a low melting point dielectric, photoresist, a heat cycle and surface tension interact to form a void between two adjacent metal lines. This technique is clearly not suitable for precise control of air gap sizes, and is further disadvantageous because it cannot be used to form gaps which extend above a metal line. The latter may be desirable in some applications.
  • U.S. Pat. No. 5,949,143 to Bang depicts a rather complex process in which a small opening is made in an etch stop layer and then a selective isotropic etch is used to remove dielectric between two metal lines.
  • a first method for forming a device having an air gap structure includes forming a device layer, which can include first level metal, capacitors, transistors, or other integrated circuit devices, as well as previously formed air gap structures fabricated according to the method of the present invention.
  • a dual damascene structure with a plurality dual damascene opening is formed over the device layer, including first and second patterned dielectric layers.
  • a copper or other conductive layer is formed to fill the dual damascene opening.
  • An adjustable-depth trench is formed between the conductive pattern at least down to the surface of the device layer.
  • the dual damascene structure itself is used as a hard mask in the etching of the trench.
  • a third dielectric layer is formed onto the trench to form at least one air gap, the air gap optionally extending above the top surface of the dual damascene structure. If desired, the depth of the trench can be extended below the surface of the device layer.
  • a second method for forming an air gap structure in an integrated circuit according to the present invention includes forming an interconnect structure on the device layer including, for example, an patterned aluminum or aluminum alloy (conductive aluminum with or without minor amounts of another element or elements) conductive layer overlaying a tungsten conductive plug layer.
  • an interconnect structure on the device layer including, for example, an patterned aluminum or aluminum alloy (conductive aluminum with or without minor amounts of another element or elements) conductive layer overlaying a tungsten conductive plug layer.
  • An adjustable-depth trench is formed between the patterned interconnect structure at least down to the surface of the device layer.
  • a dielectric layer is formed over the trench to form an air gap therein, the air gap optionally extending above the top surface of the interconnect structure. If desired, the depth of the trench can be etched to extend below the surface of the device layer.
  • a third method for forming an air gap structure for an integrated circuit according to the present invention includes forming an interconnect structure on the device layer including an aluminum alloy interconnect layer overlaying an aluminum alloy plug layer.
  • the conductive plug layer and interconnect layer can be formed simultaneously, thus eliminating at least two processing steps as compared to the second method of the present invention.
  • An adjustable-depth trench is formed between the patterned interconnect structure at least down to the surface of the device layer.
  • a dielectric layer is formed on the trench to form an air gap therein, the air gap optionally extending above the top surface of the interconnect structure. If desired, the depth of the trench can be etched to extend below the surface of the device layer.
  • the low dielectric constant of air is used to provide maximum electrical isolation by extending the air gap both below and above the adjacent isolated interconnects, or metal lines, while still ensuring that physical dielectric support is provided beneath the interconnects themselves.
  • the air gap isolation structure is readily manufacturable and compatible with existing semiconductor manufacturing techniques.
  • FIGS. 1-12 are cross-sectional views of sequential integrated circuit processing steps for forming an air gap isolation structure according to a first embodiment of the present invention, using one of several acceptable dual-damascene metal interconnect processes;
  • FIG. 13 is a cross-sectional view of a resulting air gap isolation structure according to the present invention, accommodating the use of multiple levels of a dual-damascene metal interconnect process;
  • FIGS. 14-24 are cross-sectional views of sequential integrated circuit processing steps for forming an air gap isolation structure according to a second embodiment of the present invention using one of several acceptable conventional metal interconnect processes;
  • FIGS. 25-33 are cross-sectional views of sequential integrated circuit processing steps for forming an air gap isolation structure according to a third embodiment of the present invention using a conventional metal interconnect process;
  • FIG. 34 is a cross-sectional view of an air gap isolation structure according to the second/third embodiments of the present invention, accommodating the use of multiple levels of a conventional metal interconnect process.
  • FIGS. 35-38 are cross-sectional views of sequential integrated circuit processing steps for forming an air gap isolation structure according to a fourth embodiment of the present invention, which is a variant of the first embodiment in which an etch stop layer between a line dielectric and a via dielectric is eliminated to further reduce the effective dielectric constant of the inter-metal dielectric layer;
  • FIGS. 39-40 are cross-sectional views of sequential integrated circuit processing steps for forming an air gap isolation structure according to a fifth embodiment of the present invention, which is a variant of the first embodiment in which a first etch is performed only as far as a first etch stop layer.
  • FIGS. 1-13 a method for forming an integrated circuit device having an air gap structure is shown for a dual damascene-type metal interconnect structure.
  • a device layer 10 is formed, which may be a simple silicon substrate and first-level metal, for example.
  • the device layer 10 may nonetheless also include multiple levels of metal, transistors, capacitors, or other devices, including previously manufactured integrated air gap structures built according to the method of the present invention.
  • device layer 10 is meant to represent that portion of the previously formed integrated circuit device on which the air gap structure is to be built, but it is not limited to any particular form, structure or circuitry.
  • a first layer may be “on” or “above” a second layer, even if there are other intervening layers present.
  • a first etch stop layer 12 is formed on the upper surface of the device layer 10 .
  • the etch stop layer 12 is ideally formed of silicon nitride (SiNx), silicon oxynitride (SiNxOy), silicon carbide (SiCx), or the like, and is deposited to a thickness of about 100 to 1500 Angstroms using any of a number of known conventional mechanisms.
  • the particular material for any application can be determined by one skilled in the art by coordinating such selection with an etch chemistry/mechanism to be employed in a later etch operation.
  • the present invention is not limited to any particular material.
  • a first dielectric layer 14 (designated generally herein as a “via” dielectric layer because the body of a via contact is later formed therein) is formed on etch stop layer 12 .
  • the first dielectric layer 14 is ideally silicon dioxide or undoped silicate glass (USG) but can also be fluorinated silicate glass (FSG), or borophosphorus silicate glass (BPSG), phosphorus silicate glass (PSG), or the like and is deposited to a thickness of about 1000 to 10000 Angstroms using well-known processing tools.
  • first dielectric layer 14 can include combinations and/or composites of individual thin film layers. Again, the particular formulation for this layer will depend on desired performance characteristics and process requirements, and thus a variety of materials are expected to be suitable for such layer.
  • an additional second etch stop layer 16 is deposited onto the upper surface of via dielectric layer 14 .
  • the particular composition of etch stop layer 16 is not critical, and can be determined without undue experimentation by one skilled in the art based on the present teachings and objectives defined herein for the inventions presented.
  • a second dielectric layer 18 (designated generally herein as a “line” dielectric layer because portions of a conductive line are later formed therein) is deposited onto the surface of etch stop layer 16 .
  • the line dielectric is also ideally silicon dioxide or a similar dielectric as via dielectric layer 14 and is deposited to a thickness of about 1000 to 10000 Angstroms. The selection of materials for this layer will again be a routine design choice based on lithographic and etching requirements associated with a particular manufacturing process.
  • a third etch stop and/or an anti-reflecting layer 20 is subsequently deposited on the line dielectric layer 18 .
  • Etch stop and/or anti-reflecting layer 20 is preferably SiNx, SiNxOy, silicon riched oxide (SRO), SiCx or the like and is deposited to a thickness of about 100 to 500 Angstroms.
  • SRO silicon riched oxide
  • SiCx silicon riched oxide
  • the overall composition of the structure shown in FIG. 2 can be constructed with conventional and well-known manufacturing equipment suitable for wafer processing operations.
  • the particular selection of materials for the thin film layers is directed primarily by concerns of reliability, reproducibility and lithographic constraints in small scale geometries, and so it is expected that a wide variety of combinations will be suitable for use in the present invention.
  • a photoresist layer 22 is formed on third etch stop and/or anti-reflecting layer 20 to a thickness of about 1000 to 10000 Angstroms.
  • Photoresist layer 22 is patterned to form metal contacts or a via pattern 24 A by any conventional photolithography process.
  • the particular resist formulation and lithography process are again not material to the present teachings, so any suitable combination may be employed.
  • line dielectric layer 18 is anisotropically etched using via pattern 24 A as a mask to form a metal contact or via opening 24 B.
  • a conventional oxide etch such as reactive ion etch (RIE) can be used for this step, which is terminated upon reaching first etch stop layer 12 , or some other point before this.
  • RIE reactive ion etch
  • Other techniques will be apparent to those skilled in the art. It should be noted, of course, that etch stop layer 12 can also be removed in those areas (not shown) where it may be desirable to make a conductive contact to some portion of device layer portion 10 .
  • FIG. 5 after removing the resist layer 22 , another photoresist layer 32 is processed to form a metal line pattern 24 C by photolithography processes.
  • the particular resist formulation and lithography process for layer 32 are again not material to the present teachings, so any suitable combination may be employed.
  • both line dielectric layer 18 and via dielectric layer 14 are etched to form an opening 24 D for subsequent processing of the dual damascene structure.
  • This etching operation is also done with a conventional etch such as reactive ion etch (RIE) can be used for this step, which is preferably terminated upon reaching second etch stop layer 16 .
  • RIE reactive ion etch
  • Other techniques will be apparent to those skilled in the art.
  • both photoresist layer 32 and the patterned etch stop layer 16 act as a form of mask for this operation.
  • opening 24 D serves as an interconnect line while the bottom portion of opening 24 D functions as a conductive pillar to the device portion.
  • the result is a conductive line 28 with a cross section in some areas that resembles a T-shape as seen in the Figures.
  • resist layer 32 is stripped using a conventional process and a composite copper barrier/seed layer (shown as a single integrated layer 26 for simplicity) is deposited using conventional means.
  • the first portion of copper barrier/seed layer is a barrier layer selected from a group of conductive materials that can prevent Cu from diffusing into adjacent dielectric layers, such as Ta, TaN, TiN, TiW, WN, Mo, W, etc. These are examples known to the inventors at this time, and it is possible of course that later developed materials unforeseen and as yet undiscovered may prove to be suitable for this purpose.
  • a seed layer portion of composite barrier/seed layer 26 is typically Cu or Cu alloy, again deposited using known means.
  • the copper barrier layer portion is deposited to a thickness of about 50 to 500 Angstroms, and the seed layer portion is deposited to a thickness of about 300 to 2000 Angstroms to form combined layer 26 . It will be understood by those skilled in the art that these values are merely exemplary for the geometries described therein, and that the final values for any particular embodiment of the invention may deviate from such figures.
  • opening 24 D is then filled with a copper layer 28 .
  • Copper is deposited to a thickness of about 2000 to 10000 Angstroms using any well-known conventional tools, which preferably completely fills opening 24 D and provides an excess copper layer. It will be understood, of course, that the deposition of this layer may be achieved in a single step, or multiple steps to provide a graded and/or composite copper layer within opening 24 D.
  • any excess copper on top of line dielectric 18 is removed preferably using chemical-mechanical polishing (CMP) with a suitable polish pad, slurry, recipe, etc. as is known to those skilled in the art.
  • CMP chemical-mechanical polishing
  • this type of CMP operation may be minimized or reduced.
  • a plurality of dual damascene metal conductive lines 28 form an interconnect structure 28 ′.
  • Each dual damascene metal interconnect line 28 is isolated primarily at this point by a combination of dielectric layers 14 and 18 .
  • FIG. 10A Other cross-sectional portions of a wafer are illustrated in FIG. 10A to show some additional examples of structures/relationships that may exist.
  • an conductive line 29 may not extend down to device layer 10 (the most likely case for a metal line); in other areas 29 ′ the position of the via is not symmetric about the metal line.
  • the via part of the dual damascene structure may extend to the device portion 10 and may be contacted to the substrate.
  • the metal line part of the dual damascene structure is about the same width as that of via parts.
  • the conductive lines 28 may be included as part of a so-called “dummy” pattern so as to make the interconnection patterns more uniform across the surface of a wafer. This also facilitates the manufacturing process because the resulting surface is more uniform.
  • FIG. 10B a side perspective can be seen of another exemplary conductive line 28 viewed lengthwise as it may be formed for an integrated circuit. At individual points across the surface, a lower portion of conductive line 28 extends (in some instances) as a type of conductive pillar 11 to form an electrical contact at selective points to device layer 10 . These conductive pillars are formed from a combination of material from conductive line 28 that is surrounded by dielectric material 14 for additional support.
  • dielectric layers 14 and 18 from FIG. 10 are preferably anisotropically etched using copper layer 28 as a hard mask.
  • a conventional dielectric etch is used to form trenches 30 into dielectric layers 14 and 18 .
  • the form and depth of trenches 30 is adjustable and can extend down to the upper surface of the device layer 10 , or can be etched further to extend down below etch stop layer 12 and below the surface of device layer 10 (not shown in FIG. 11 ).
  • an anisotropic etch (or an etch type with reduced isotropic behavior) is preferred over a “wet” isotropic etch at this point, because it is desirable to leave some small amount of dielectric on the sidewalls of interconnect 18 , underneath the overhang areas as seen in FIG. 11 .
  • An isotropic etch could then be used on layer 14 . While this would require additional processing steps, it is conceivable that the dielectric constant could be improved in this fashion, as well as reliability, yield etc. of the overall process.
  • the depth of trenches 30 is preferably controlled through a timed etch, and it will be apparent to those skilled in the art that the duration of such etch will be a function of the dielectric layer composition, the etch process chemistry, the thickness of layers 14 , 18 , etc., etc.
  • the etch time will thus vary from application to application, and can be determined with routine simulations and testings.
  • etch stop layer 12 it is possible instead to use either etch stop layer 12 to control the end of the etch, and/or to provide yet another etch stop layer (not shown) within layer 14 at any optimally determined etch depth.
  • layer 14 would be a composite layer deposited in separate steps, and thus this option is not as attractive from a throughput perspective.
  • a copper barrier layer 44 such as SiNx, SiC, or the like is deposited to a thickness of about 50 to 500 Angstroms. Again, these are materials particularly suited for copper, and other compositions may be needed for other types of conductive line metals. For some metals, of course, a barrier layer may not be needed in the first place.
  • a silicon-dioxide dielectric layer, or the like 32 is then deposited to a thickness of about 2000 to 10000 Angstroms. Poor step coverage by the deposition of dielectric layer 32 , such as conventional plasma enhanced chemical vapor deposition (PECVD), results in the formation of intra-metal line air gaps 34 . In other words, the present invention exploits the basically conformal growth nature of this type of process to intentionally form gaps between the metal lines. By controlling the deposition parameters, and the thickness of the deposited layer, the size, shape and height of air gaps 34 can be customized for any particular line interconnect geometry.
  • PECVD plasma enhanced chemical vapor deposition
  • air gaps 34 provides superior electric isolation due to the low dielectric constant of air.
  • the size and shape of air gaps 34 may also vary across the surface of a wafer, as illustrated generally in FIGS. 12A . It can be seen in such picture that the width of any air gaps (W 1 or W 2 ) are not necessarily uniform across the surface of the wafer, nor are they required to be for purposes of the present invention. It is simply desirable, of course, to ensure that at least some air filled gap is provided between two adjacent signal lines.
  • one useful benchmark is to consider the relative ratio of the airgap width (W 1 , W 2 ) to an overall line spacing (WSI, WS 2 ).
  • W 1 , W 2 the airgap width
  • WSI, WS 2 overall line spacing
  • the height by which the air gaps 34 extend above interconnect layer 28 , or below such layer is controlled both by the trench sizing noted earlier, as well as the details of the conformal dielectric deposition noted earlier. Thus, they may also vary in vertical size as seen in FIG. 12B , where two different heights (H 1 and H 2 ) are provided. Again it is understood that the height of any air gaps (H 1 or H 2 ) are not necessarily uniform across the surface of the wafer, nor are they required to be for purposes of the present invention.
  • an inter-line interconnect structure as shown in FIG. 12 typically includes a metal line 28 , an conductive line sidewall dielectric portion 14 ′, a second dielectric filler 32 , and air gap 34 .
  • the sidewall dielectric portion 14 ′ left underneath metal line 28 provides structural support and additional process window margin when the present invention is used in small scale line width geometries.
  • dummy metal lines can be added to an interconnect pattern to ensure that no large flat spaces are left between adjacent conductive lines.
  • the middle metal line 28 may be carrying an actual signal, and in other instances, a “dummy” metal line 28 may be simply added so as to create a uniform capacitance everywhere for the metal lines adjacent thereto.
  • FIG. 13 a composite drawing is shown of two dual damascene structures fabricated in sequence according to the method of the present invention.
  • a device layer 10 includes a silicon substrate and a portion of first level of metal interconnect 28 extends herein as well.
  • copper metal layer 28 and air gaps 34 are shown extending in and to the top of dielectric layers 14 and 18 . Note that air gaps 34 are shown to extend below the level of the upper surface of the device layer 10 as well as above the upper surface of metal lines 28 , thus providing the maximum electrical isolation between adjacent metal structures.
  • Air gap 40 provides intra-level metal isolation and extends to the surface of device layer including layers 10 , 14 , and 18 , as well as above the upper surface of metal lines 38 .
  • Air gaps 42 extend below the surface of the device layer including layers 10 , 14 , and 18 , and thus provide electrical intra-metal isolation for both metal layers 38 and 28 .
  • an air gap for a second level interconnect may be formed on top of a first level interconnect.
  • a single air gap can be extended in height so that it serves to reduce capacitance for more than one interconnect layer.
  • the air gap 42 shown in the middle of FIG. 13 serves as an air gap for two separate metal interconnect levels; this same principle could be extended as needed for additional levels.
  • a single air gap can be formed between adjacently located conductive lines in more than one layer of metal.
  • the dielectric material 14 underneath the conductive lines further functions to provide some measure of structural support for the latter.
  • This feature can be enhanced or reduced in other embodiments by structural variations so that more or less dielectric is left on the sidewalls, or under the top portions of the conductive lines.
  • the dielectric also functions as a heat dissipator, and further reduces electromigration. Accordingly, the amount of dielectric left on the sidewalls can be tailored for any particular environment, so that it might be used extensively in some applications (thicker layers), and not used in others (thin layers, or no layers at all).
  • FIGS. 14-24 a method for forming an integrated circuit device having at least one air gap structure is shown for a conventional metal interconnect structure of the type having aluminum alloy metal interconnect layers and tungsten metal plugs. Except where otherwise noted, like numerals are intended to represent like structures and materials already identified in connection with FIGS. 1-13 .
  • a device layer 10 is formed as before.
  • a contact/via dielectric layer 14 is formed on device layer 10 .
  • dielectric layer 14 is ideally silicon dioxide but can also be USG, FSG, PSG, BPSG, or the like and is deposited to a thickness of about 1000 to 10000 Angstroms. It will be understood, of course, that layer 14 may be comprised of a combination of layers, and formed in more than one processing step, but for purposes of the present discussion, it will be referred to as a single layer.
  • a photoresist layer 22 is formed on dielectric layer 14 to a thickness of about 1000 to 10000 Angstroms. Photoresist layer 22 is patterned to form metal contact or via pattern 56 A by photolithography processes as before.
  • openings 56 B are etched into the contact/via dielectric layer 52 in a similar fashion to that already described for FIG. 4 .
  • resist layer 22 is stripped and a tungsten barrier layer 92 (such as Ti/TiN, Ta, TaN etc.) is deposited on the surface of dielectric layer 52 and in openings 56 .
  • a tungsten barrier layer 92 such as Ti/TiN, Ta, TaN etc.
  • Ti/TiN, Ta, TaN etc. are merely examples of those known at this time to be particularly suited for Tungsten, and other compositions may be needed for other types of conductive line metals.
  • a barrier layer may not be needed in the first place.
  • a layer of Tungsten 58 is then preferably deposited to a thickness of about 500 to 8000 Angstroms, which completely fills openings 56 . Again, for other processes, materials other than Tungsten may be more suitable.
  • any excess tungsten is removed using tungsten CMP or tungsten etch back, which results in a structure that includes dielectric layer 14 and tungsten metal plugs 58 .
  • this type of CMP operation may be minimized or reduced.
  • an aluminum alloy (or the like) interconnect layer 60 is deposited on combined metal plug/dielectric layer 14 / 58 to a thickness of about 2000 to 10000 Angstroms.
  • materials other than an aluminum alloy may be more suitable.
  • doped polycrystalline silicon is also well-known as an effective conductive interconnect/gate material.
  • a resist layer 62 is formed on the metal layer 60 in any conventional manner to a thickness of preferably about 2000 to 15000 Angstroms and followed preferably by a photolithography process to result in metal line pattern 64 A.
  • an intra-metal spacing 64 B is formed by etching metal layer 60 using a conventional metal etching process to form an interconnect structure consisting of patterned metal layer 60 and spacings 64 B. Again, the particular etch chemistry and technique will depend on the particular material selected for layer 60 .
  • FIG. 22 the metal interconnect structure of FIG. 21 is shown in conjunction with several other metal plugs 58 , each capped by a section of metal interconnect layer 60 . It will be understood, of course, that it is not necessary to locate every interconnect line above a metal plug.
  • any material in spacings 64 B is removed and etched down to the surface of the device layer 50 with the resist layer 62 intact to form trenches 64 C.
  • the depth of trenches 64 C is adjustable and can be made down to and even below the upper surface of the device layer 10 (not shown in FIG. 22 ).
  • resist layer 62 is first stripped and previously etched metal layer 60 is used as a hard mask to etch trenches 64 C.
  • resist layer 62 is first stripped and previously etched metal layer 60 is used as a hard mask to etch trenches 64 C.
  • air gaps are formed in substantially the same manner as depicted earlier for FIG. 12 . That is, a silicon-dioxide or the like dielectric layer 66 is deposited onto the surface to a thickness of about 2000 to 10000 Angstroms. Poor step coverage by the deposition of dielectric layer 66 results in the formation of intra-metal line air gaps 68 . Air gaps 68 provide superior electric isolation due to the low dielectric constant of air as previously discussed.
  • this second embodiment can also be used to create structures that are similar to those already illustrated in FIGS. 12A and 12B , including air gaps of different height, width, etc. Moreover, the above steps can be sequenced again to form multi-level interconnect structures in the same manner as previously described for FIG. 13 . Thus, air gaps can be used as an insulation layer between inter-metal or intra-metal layers formed of Al, Al alloys, polycrystalline silicon, etc.
  • FIGS. 25-33 a third embodiment of a method for forming an integrated circuit device having at least an air gap structure is shown for a conventional metal interconnect structure of the type having aluminum alloy metal interconnect layers and aluminum alloy metal plugs.
  • the primary difference to the second embodiment is in the use of a different type of a barrier metal layer for the interlayer plugs.
  • a contact/via dielectric layer 14 is formed on device layer 10 as before.
  • a photoresist layer 22 is formed and patterned on dielectric layer 14 as before to form a pattern of openings 86 A.
  • openings 86 B are etched into contact/via dielectric layer 14 as before.
  • resist layer 22 is stripped and an aluminum barrier layer 94 (such as Ti/TiN, Ta, TaN or Aluminum oxide) is deposited on the surface of dielectric layer 82 and in openings 86 .
  • an aluminum barrier layer 94 such as Ti/TiN, Ta, TaN or Aluminum oxide
  • these are merely examples of those known at this time to be particularly suited for Aluminum, and other compositions may be needed for other types of conductive line metals. For some metals, of course, a barrier layer may not be needed in the first place.
  • An aluminum alloy layer 90 (preferably Aluminum with some small percentage of Cu and/or Si) is then deposited to a thickness of about 500 to 8000 Angstroms, which completely fills contact/via openings 86 B and provides an aluminum alloy interconnect layer coupled to aluminum alloy plugs 88 .
  • This embodiment is distinguished from the second embodiment noted earlier in that the plug and interconnect layer can be formed in a single step, thus improving throughput for those applications where it is acceptable to use something other than a Tungsten based plug.
  • a resist layer 92 is formed on the metal layer to a thickness of about 2000 to 15000 Angstroms followed by a photolithography process.
  • an intra-metal spacing 74 B is formed by etching the aluminum metal layer 90 using a conventional metal etching process as noted earlier for FIG. 21 .
  • FIG. 31 the metal interconnect structure of FIG. 30 is shown in conjunction with several other metal plugs 88 , each capped by a section of aluminum alloy metal interconnect layer 90 . As before, it will be understood, of course, that it is not necessary to locate every interconnect line above a metal plug.
  • any material in intra-metal spacings 74 B is removed and etched down to the surface of the device layer 10 with the resist layer 92 intact to form trenches 74 C.
  • the depth of trenches 74 C is adjustable and can be made down to and even below the upper surface of the device layer 10 (not shown in FIG. 31 ).
  • resist layer 92 is first stripped and previously etched metal layer 90 is used as a hard mask to etch trenches 74 .
  • resist layer 92 is first stripped and previously etched metal layer 90 is used as a hard mask to etch trenches 74 .
  • air gaps are formed in substantially the same manner as depicted earlier for FIG. 12 . That is, a silicon-dioxide dielectric layer or the like 78 is deposited to fill the trenches 74 and cover the metal pattern 90 to a thickness of about 1000 to 8000 Angstroms. Poor step coverage by the deposition of dielectric layer 78 results in the formation of intra-metal line air gaps 76 . Air gaps 76 provide superior electric isolation due to the low dielectric constant of air as previously discussed.
  • this third embodiment can also be used to create structures that are similar to those already illustrated in FIGS. 12A and 12B , including air gaps of different height, width, etc.
  • FIG. 34 a composite drawing is shown of two metal interconnect structures fabricated according to the third (and second) air gap method of the present invention.
  • metal interconnect 60 metal plugs 58 , and air gaps 68 are shown embedded in dielectric layer 14 above device layer 10 .
  • FIG. 34 shows that air gaps 68 can extend below the level of the upper surface of device layer 50 and above the upper surface of metal lines 60 , thus providing maximum electrical isolation.
  • a second level of metal interconnect layer 80 that includes an additional metal level 86 , metal plugs 84 , and air gaps 88 .
  • Air gap 88 provides intra-level metal isolation and extends to layers 50 , 52 , and 60 .
  • This embodiment is a variant of the first embodiment in which an etch stop layer between a line dielectric and a via dielectric is eliminated to further reduce the effective dielectric constant of the inter-metal dielectric layer.
  • the second etch stop layer 16 between the via and line dielectric layers 14 and 18 has been eliminated to further reduce the effective dielectric constant of the inter-metal dielectric layer.
  • a single dielectric layer 15 is deposited onto the surface of etch stop layer 12 .
  • the single dielectric layer 15 is also ideally silicon dioxide or the like and is deposited to a thickness of about 1000 to 10000 Angstroms in a manner similar to that already described for via dielectric layer 14 .
  • An etch stop and/or anti-reflecting layer 20 is subsequently deposited on the line dielectric layer 15 as discussed before in connection with FIG. 2 .
  • a photoresist layer 22 is formed on etch stop and/or anti-reflecting layer 20 to a thickness of about 1000 to 10000 Angstroms as already described in FIG. 3 .
  • the dielectric layer 15 is anisotropically etched to form metal contact or via opening 24 B as already described in FIG. 4 .
  • the primary difference from FIG. 4 is that, as generally illustrated, dielectric layer 15 is only partially etched, in this case, to a depth of approximately slightly more than half the thickness of such layer.
  • FIG. 38 after removing the resist layer 22 , another photoresist layer 32 is processed to form metal line pattern 24 C by photolithography processes as generally already described in FIG. 5 .
  • a fifth embodiment is now described with reference to FIGS. 39-40 .
  • This embodiment is also a variant of the first embodiment in which a first etching operation is performed only as far as a first etch stop layer.
  • FIG. 39 illustrates a variation in which given the structure shown in FIG. 3 , an etching operation is conducted in a similar fashion to that already describe in FIG. 4 , except that such etch is stopped upon reaching second etch stop layer 16 .
  • this operation is the same, in that line dielectric layer 18 is anisotropically etched using via pattern 24 A as a mask to form a metal contact or via opening 24 B. It is only the case, therefore, that these openings do not extend as far down as those illustrated in FIG. 4 .
  • FIG. 40 after removing the resist layer 22 , another photoresist layer 32 is formed. A subsequent etch transfers the upper profile of opening 24 C to the bottom of the openings, so that a deeper enlarged opening 24 D results that is substantially the same as shown in FIG. 6 .

Abstract

An air gap structure substantially reduces undesired capacitance between adjacent interconnects, metal lines or other features in an integrated circuit device. The air gap extends above, and may also additionally extend below, the interconnects desired to be isolated thus minimizing fringing fields between the lines. The integrated air gap structure can be utilized in conjunction with a tungsten plug process. Also, multiple levels of the integrated air gap structure can be fabricated to accommodate multiple metal levels while always ensuring that physical dielectric layer support is provided to the device structure underlying the interconnects.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • The present application claims priority to and is a continuation of Ser. No. 10/295,080, now U.S. Pat. No. 7,138,329; Ser. No. 10/295,719 filed Nov. 15, 2002; and Ser. No. 11/179,840 filed Jul. 11, 2005. The present application is also related to Ser. No. 10/295,062 filed Nov. 15, 2002 (now U.S. Pat. No. 6,917,109).
  • The aforementioned are hereby incorporated by reference as if fully set forth herein.
  • FIELD OF THE INVENTION
  • The present invention relates, in general, to the field of integrated circuit (“IC”) device structures and methods of forming the same. More particularly, the present invention relates to an air gap structure and formation method for reducing undesired capacitive coupling in an integrated circuit device that uses tungsten plugs.
  • BACKGROUND OF THE INVENTION
  • As integrated circuit transistor densities increase, and feature sizes shrink, capacitive coupling between adjacent interconnects, metal lines or other elements also increases. The increased capacitive coupling results in increased parasitic capacitance, which undesirably slows circuit speeds and negatively impacts overall device performance.
  • Current attempts to improve electrical isolation in high density integrated circuits involve the implementation of low K dielectric materials such as hydrogen silsesquioxane (HSQ), SiLK™ (a trademark of The Dow Chemical Company) resin, Black Diamond™ (a trademark of Applied Materials company) low K film, Coral™ (a trademark of Novellus System Inc.) carbonaceous oxide film and several other exotic materials. While these materials have a relatively low dielectric constant, they are not normally used in semiconductor manufacturing and therefore increase manufacturing complexity and costs. Much work remains to effectively integrate these materials into conventional semiconductor manufacturing processes.
  • Some disadvantages of current low K materials include incompatible thermal coefficient of expansion, low mechanical strength and poor thermal diffusivity.
  • Another manner of improving electrical isolation between interconnects is to use an integrated air gap structure because of the extremely low dielectric constant of air. Previous attempts at air gap structures were hard to manufacture and also did not completely isolate adjacent metal lines due to fringing fields above and below the air gap itself.
  • For example, U.S. Pat. No. 6,177,329 to Pang (and particularly at col. 7,ll.46+) illustrates one conventional approach in which an additional mask is used to pattern the underlying layers to form the air gaps. This is both inefficient and imprecise for extremely small geometries. U.S. Pat. No. 5,847,439 to Reinberg illustrates another approach in which a combination of a low melting point dielectric, photoresist, a heat cycle and surface tension interact to form a void between two adjacent metal lines. This technique is clearly not suitable for precise control of air gap sizes, and is further disadvantageous because it cannot be used to form gaps which extend above a metal line. The latter may be desirable in some applications. Finally, U.S. Pat. No. 5,949,143 to Bang depicts a rather complex process in which a small opening is made in an etch stop layer and then a selective isotropic etch is used to remove dielectric between two metal lines.
  • Clearly, while portions of the aforementioned references are useful in forming air gap structures, and could be used in many applications, their overall approach is not optimal from a manufacturing perspective.
  • What is desired, therefore, is an easily manufacturable integrated air gap structure that substantially electrically isolates adjacent interconnects, metal lines or other IC elements.
  • SUMMARY OF THE INVENTION
  • In accordance with the structure and method disclosed herein, a first method for forming a device having an air gap structure includes forming a device layer, which can include first level metal, capacitors, transistors, or other integrated circuit devices, as well as previously formed air gap structures fabricated according to the method of the present invention. A dual damascene structure with a plurality dual damascene opening is formed over the device layer, including first and second patterned dielectric layers. A copper or other conductive layer is formed to fill the dual damascene opening. An adjustable-depth trench is formed between the conductive pattern at least down to the surface of the device layer. The dual damascene structure itself is used as a hard mask in the etching of the trench. Finally, a third dielectric layer is formed onto the trench to form at least one air gap, the air gap optionally extending above the top surface of the dual damascene structure. If desired, the depth of the trench can be extended below the surface of the device layer.
  • A second method for forming an air gap structure in an integrated circuit according to the present invention includes forming an interconnect structure on the device layer including, for example, an patterned aluminum or aluminum alloy (conductive aluminum with or without minor amounts of another element or elements) conductive layer overlaying a tungsten conductive plug layer.
  • An adjustable-depth trench is formed between the patterned interconnect structure at least down to the surface of the device layer. A dielectric layer is formed over the trench to form an air gap therein, the air gap optionally extending above the top surface of the interconnect structure. If desired, the depth of the trench can be etched to extend below the surface of the device layer.
  • A third method for forming an air gap structure for an integrated circuit according to the present invention includes forming an interconnect structure on the device layer including an aluminum alloy interconnect layer overlaying an aluminum alloy plug layer. The conductive plug layer and interconnect layer can be formed simultaneously, thus eliminating at least two processing steps as compared to the second method of the present invention. An adjustable-depth trench is formed between the patterned interconnect structure at least down to the surface of the device layer. A dielectric layer is formed on the trench to form an air gap therein, the air gap optionally extending above the top surface of the interconnect structure. If desired, the depth of the trench can be etched to extend below the surface of the device layer.
  • It is an advantage of the present invention that the low dielectric constant of air is used to provide maximum electrical isolation by extending the air gap both below and above the adjacent isolated interconnects, or metal lines, while still ensuring that physical dielectric support is provided beneath the interconnects themselves.
  • It is a further advantage of the present invention that the air gap isolation structure is readily manufacturable and compatible with existing semiconductor manufacturing techniques.
  • It is a still further advantage of the present invention that exotic low K dielectric materials need not be used, thus saving costs and minimizing manufacturing complexity.
  • It is a still further advantage of the present invention that the existence of the air gaps is to release most of the system stress generated by subsequent thermal treatments.
  • It is a still further advantage of the present invention that the network structure using conventional dielectric layers encompassing the interconnects provides good thermal dissipation.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The aforementioned and other features and objects of the present invention and the manner of attaining them will become more apparent and the invention itself will be best understood by reference to the following description of a preferred embodiment taken in conjunction with the accompanying drawings, wherein:
  • FIGS. 1-12 are cross-sectional views of sequential integrated circuit processing steps for forming an air gap isolation structure according to a first embodiment of the present invention, using one of several acceptable dual-damascene metal interconnect processes;
  • FIG. 13 is a cross-sectional view of a resulting air gap isolation structure according to the present invention, accommodating the use of multiple levels of a dual-damascene metal interconnect process;
  • FIGS. 14-24 are cross-sectional views of sequential integrated circuit processing steps for forming an air gap isolation structure according to a second embodiment of the present invention using one of several acceptable conventional metal interconnect processes;
  • FIGS. 25-33 are cross-sectional views of sequential integrated circuit processing steps for forming an air gap isolation structure according to a third embodiment of the present invention using a conventional metal interconnect process;
  • FIG. 34 is a cross-sectional view of an air gap isolation structure according to the second/third embodiments of the present invention, accommodating the use of multiple levels of a conventional metal interconnect process; and
  • FIGS. 35-38 are cross-sectional views of sequential integrated circuit processing steps for forming an air gap isolation structure according to a fourth embodiment of the present invention, which is a variant of the first embodiment in which an etch stop layer between a line dielectric and a via dielectric is eliminated to further reduce the effective dielectric constant of the inter-metal dielectric layer; and
  • FIGS. 39-40 are cross-sectional views of sequential integrated circuit processing steps for forming an air gap isolation structure according to a fifth embodiment of the present invention, which is a variant of the first embodiment in which a first etch is performed only as far as a first etch stop layer.
  • DESCRIPTION OF PREFERRED EMBODIMENTS
  • First Embodiment
  • Referring generally now to FIGS. 1-13, a method for forming an integrated circuit device having an air gap structure is shown for a dual damascene-type metal interconnect structure.
  • In FIG. 1, a device layer 10 is formed, which may be a simple silicon substrate and first-level metal, for example. The device layer 10 may nonetheless also include multiple levels of metal, transistors, capacitors, or other devices, including previously manufactured integrated air gap structures built according to the method of the present invention. Thus, device layer 10 is meant to represent that portion of the previously formed integrated circuit device on which the air gap structure is to be built, but it is not limited to any particular form, structure or circuitry.
  • Similarly, as used herein, the terms “on” or “onto” or “above” when used in connection with various thin film layers are merely intended to denote a physical spatial relationship, and not necessarily a direct physical or electrical contact. It will be understood therefore by those skilled in the art that in embodiments of the invention, a first layer may be “on” or “above” a second layer, even if there are other intervening layers present.
  • In a first embodiment, a first etch stop layer 12 is formed on the upper surface of the device layer 10. The etch stop layer 12 is ideally formed of silicon nitride (SiNx), silicon oxynitride (SiNxOy), silicon carbide (SiCx), or the like, and is deposited to a thickness of about 100 to 1500 Angstroms using any of a number of known conventional mechanisms. The particular material for any application of course can be determined by one skilled in the art by coordinating such selection with an etch chemistry/mechanism to be employed in a later etch operation. Thus, so long as such first etch stop layer is otherwise compatible with other materials and processes described herein, the present invention is not limited to any particular material.
  • A first dielectric layer 14 (designated generally herein as a “via” dielectric layer because the body of a via contact is later formed therein) is formed on etch stop layer 12. The first dielectric layer 14 is ideally silicon dioxide or undoped silicate glass (USG) but can also be fluorinated silicate glass (FSG), or borophosphorus silicate glass (BPSG), phosphorus silicate glass (PSG), or the like and is deposited to a thickness of about 1000 to 10000 Angstroms using well-known processing tools. Moreover, first dielectric layer 14 can include combinations and/or composites of individual thin film layers. Again, the particular formulation for this layer will depend on desired performance characteristics and process requirements, and thus a variety of materials are expected to be suitable for such layer.
  • In FIG. 2, an additional second etch stop layer 16 is deposited onto the upper surface of via dielectric layer 14. As with etch stop layer 12, the particular composition of etch stop layer 16 is not critical, and can be determined without undue experimentation by one skilled in the art based on the present teachings and objectives defined herein for the inventions presented.
  • A second dielectric layer 18 (designated generally herein as a “line” dielectric layer because portions of a conductive line are later formed therein) is deposited onto the surface of etch stop layer 16. The line dielectric is also ideally silicon dioxide or a similar dielectric as via dielectric layer 14 and is deposited to a thickness of about 1000 to 10000 Angstroms. The selection of materials for this layer will again be a routine design choice based on lithographic and etching requirements associated with a particular manufacturing process.
  • A third etch stop and/or an anti-reflecting layer 20 is subsequently deposited on the line dielectric layer 18. Etch stop and/or anti-reflecting layer 20 is preferably SiNx, SiNxOy, silicon riched oxide (SRO), SiCx or the like and is deposited to a thickness of about 100 to 500 Angstroms. As with the other etch stop layers, the particular material for any application of course can be determined by one skilled in the art by coordinating such selection with an etch chemistry/mechanism to be employed in a later etch operation.
  • In general, the overall composition of the structure shown in FIG. 2 can be constructed with conventional and well-known manufacturing equipment suitable for wafer processing operations. The particular selection of materials for the thin film layers is directed primarily by concerns of reliability, reproducibility and lithographic constraints in small scale geometries, and so it is expected that a wide variety of combinations will be suitable for use in the present invention.
  • In FIG. 3, a photoresist layer 22 is formed on third etch stop and/or anti-reflecting layer 20 to a thickness of about 1000 to 10000 Angstroms. Photoresist layer 22 is patterned to form metal contacts or a via pattern 24A by any conventional photolithography process. The particular resist formulation and lithography process are again not material to the present teachings, so any suitable combination may be employed.
  • In FIG. 4, line dielectric layer 18 is anisotropically etched using via pattern 24A as a mask to form a metal contact or via opening 24B. A conventional oxide etch such as reactive ion etch (RIE) can be used for this step, which is terminated upon reaching first etch stop layer 12, or some other point before this. Other techniques will be apparent to those skilled in the art. It should be noted, of course, that etch stop layer 12 can also be removed in those areas (not shown) where it may be desirable to make a conductive contact to some portion of device layer portion 10.
  • In FIG. 5, after removing the resist layer 22, another photoresist layer 32 is processed to form a metal line pattern 24C by photolithography processes. Again, the particular resist formulation and lithography process for layer 32 are again not material to the present teachings, so any suitable combination may be employed.
  • In FIG. 6, both line dielectric layer 18 and via dielectric layer 14 are etched to form an opening 24D for subsequent processing of the dual damascene structure. This etching operation is also done with a conventional etch such as reactive ion etch (RIE) can be used for this step, which is preferably terminated upon reaching second etch stop layer 16. Other techniques will be apparent to those skilled in the art. Thus, both photoresist layer 32 and the patterned etch stop layer 16 act as a form of mask for this operation.
  • It should be noted that the upper portion of opening 24D serves as an interconnect line while the bottom portion of opening 24D functions as a conductive pillar to the device portion. The result is a conductive line 28 with a cross section in some areas that resembles a T-shape as seen in the Figures.
  • In FIG. 7, resist layer 32 is stripped using a conventional process and a composite copper barrier/seed layer (shown as a single integrated layer 26 for simplicity) is deposited using conventional means. The first portion of copper barrier/seed layer is a barrier layer selected from a group of conductive materials that can prevent Cu from diffusing into adjacent dielectric layers, such as Ta, TaN, TiN, TiW, WN, Mo, W, etc. These are examples known to the inventors at this time, and it is possible of course that later developed materials unforeseen and as yet undiscovered may prove to be suitable for this purpose.
  • A seed layer portion of composite barrier/seed layer 26 is typically Cu or Cu alloy, again deposited using known means.
  • In a preferred embodiment, the copper barrier layer portion is deposited to a thickness of about 50 to 500 Angstroms, and the seed layer portion is deposited to a thickness of about 300 to 2000 Angstroms to form combined layer 26. It will be understood by those skilled in the art that these values are merely exemplary for the geometries described therein, and that the final values for any particular embodiment of the invention may deviate from such figures.
  • In FIG. 8, opening 24D is then filled with a copper layer 28. Copper is deposited to a thickness of about 2000 to 10000 Angstroms using any well-known conventional tools, which preferably completely fills opening 24D and provides an excess copper layer. It will be understood, of course, that the deposition of this layer may be achieved in a single step, or multiple steps to provide a graded and/or composite copper layer within opening 24D.
  • In FIG. 9, any excess copper on top of line dielectric 18 is removed preferably using chemical-mechanical polishing (CMP) with a suitable polish pad, slurry, recipe, etc. as is known to those skilled in the art. In self-limiting growth processes, this type of CMP operation may be minimized or reduced. The above steps for defining the openings and forming the Cu lines within such openings 24D are merely an example of the preferred technique known to the inventors at this time, and it is possible of course that later developed processes unforeseen and as yet undiscovered may prove to be suitable for such purposes.
  • In FIG. 10, a plurality of dual damascene metal conductive lines 28 form an interconnect structure 28′. Each dual damascene metal interconnect line 28 is isolated primarily at this point by a combination of dielectric layers 14 and 18.
  • Other cross-sectional portions of a wafer are illustrated in FIG. 10A to show some additional examples of structures/relationships that may exist. For example, in some areas an conductive line 29 may not extend down to device layer 10 (the most likely case for a metal line); in other areas 29′ the position of the via is not symmetric about the metal line. In other areas 29″ the via part of the dual damascene structure may extend to the device portion 10 and may be contacted to the substrate. In other area 29′″, the metal line part of the dual damascene structure is about the same width as that of via parts. Thus, a variety of cross-sectional patterns will result. It will be understood by those skilled in the art that these are merely exemplary, and that other portions of a wafer are likely to contain additional variants of those illustrated depending on interconnect/masking requirements.
  • As alluded to earlier, at least some of the conductive lines 28 may be included as part of a so-called “dummy” pattern so as to make the interconnection patterns more uniform across the surface of a wafer. This also facilitates the manufacturing process because the resulting surface is more uniform.
  • In FIG. 10B, a side perspective can be seen of another exemplary conductive line 28 viewed lengthwise as it may be formed for an integrated circuit. At individual points across the surface, a lower portion of conductive line 28 extends (in some instances) as a type of conductive pillar 11 to form an electrical contact at selective points to device layer 10. These conductive pillars are formed from a combination of material from conductive line 28 that is surrounded by dielectric material 14 for additional support.
  • In FIG. 11, dielectric layers 14 and 18 from FIG. 10 are preferably anisotropically etched using copper layer 28 as a hard mask. A conventional dielectric etch is used to form trenches 30 into dielectric layers 14 and 18. The form and depth of trenches 30 is adjustable and can extend down to the upper surface of the device layer 10, or can be etched further to extend down below etch stop layer 12 and below the surface of device layer 10 (not shown in FIG. 11).
  • For reasons that are explained in more detail below, an anisotropic etch (or an etch type with reduced isotropic behavior) is preferred over a “wet” isotropic etch at this point, because it is desirable to leave some small amount of dielectric on the sidewalls of interconnect 18, underneath the overhang areas as seen in FIG. 11. Of course, in some cases it may be desirable to remove such remaining material (from layer 14) and replace it with another material (i.e., through another spin on deposition/plasma deposition and subsequent etch. An isotropic etch could then be used on layer 14. While this would require additional processing steps, it is conceivable that the dielectric constant could be improved in this fashion, as well as reliability, yield etc. of the overall process.
  • The depth of trenches 30 is preferably controlled through a timed etch, and it will be apparent to those skilled in the art that the duration of such etch will be a function of the dielectric layer composition, the etch process chemistry, the thickness of layers 14, 18, etc., etc. The etch time will thus vary from application to application, and can be determined with routine simulations and testings.
  • Alternatively it is possible instead to use either etch stop layer 12 to control the end of the etch, and/or to provide yet another etch stop layer (not shown) within layer 14 at any optimally determined etch depth. In such instance, of course, layer 14 would be a composite layer deposited in separate steps, and thus this option is not as attractive from a throughput perspective.
  • As noted above, a preferred approach uses copper conductive lines 28 as a mask, but it those skilled in the art will appreciate that an additional masking step could be employed should it be necessary to make the air gaps more narrow. Again, this is not optimal from a control and throughput perspective, so it is probably not desirable except in limited cases.
  • In contrast, in the present invention, it should be relatively simple and easy to control the size of such air gaps both by controlling the spacing between the conductive lines 28, as well as tailoring the size/shape of the top portion of the conductive line. This is true since the latter effectuate the hard mask used for etching dielectric layers 14, 18 to form the air gaps.
  • In this respect, those skilled in the art will appreciate that shapes and sizes of the interconnect structures shown in the figures are only approximate, and not intended to be to scale. Other variations are expected to be beneficially employed in accordance with the present teachings.
  • In FIG. 12, a copper barrier layer 44 such as SiNx, SiC, or the like is deposited to a thickness of about 50 to 500 Angstroms. Again, these are materials particularly suited for copper, and other compositions may be needed for other types of conductive line metals. For some metals, of course, a barrier layer may not be needed in the first place.
  • A silicon-dioxide dielectric layer, or the like 32 is then deposited to a thickness of about 2000 to 10000 Angstroms. Poor step coverage by the deposition of dielectric layer 32, such as conventional plasma enhanced chemical vapor deposition (PECVD), results in the formation of intra-metal line air gaps 34. In other words, the present invention exploits the basically conformal growth nature of this type of process to intentionally form gaps between the metal lines. By controlling the deposition parameters, and the thickness of the deposited layer, the size, shape and height of air gaps 34 can be customized for any particular line interconnect geometry.
  • In lieu of a PECVD process, other similar techniques that are characterized by poor step coverage could be used to form air gaps 34. For example, a series of HDPCVD depositions could be used. As those skilled in the art will appreciate, the above are merely examples of techniques for achieving poor step coverage that are known to the inventors at this time, and it is possible of course that later developed processes unforeseen and as yet undiscovered may prove to be suitable for such purposes.
  • As previously discussed, the inclusion of air gaps 34 provides superior electric isolation due to the low dielectric constant of air. The size and shape of air gaps 34 may also vary across the surface of a wafer, as illustrated generally in FIGS. 12A. It can be seen in such picture that the width of any air gaps (W1 or W2) are not necessarily uniform across the surface of the wafer, nor are they required to be for purposes of the present invention. It is simply desirable, of course, to ensure that at least some air filled gap is provided between two adjacent signal lines.
  • Thus, as seen in FIG. 12A, one useful benchmark is to consider the relative ratio of the airgap width (W1, W2) to an overall line spacing (WSI, WS2). In general, the closer W1/WS1 and W2/WS2 are to unity, the lower the capacitance, so it is preferable to maximize this value to the extent consistent with other processing requirements.
  • In addition, the height by which the air gaps 34 extend above interconnect layer 28, or below such layer, is controlled both by the trench sizing noted earlier, as well as the details of the conformal dielectric deposition noted earlier. Thus, they may also vary in vertical size as seen in FIG. 12B, where two different heights (H1 and H2) are provided. Again it is understood that the height of any air gaps (H1 or H2) are not necessarily uniform across the surface of the wafer, nor are they required to be for purposes of the present invention. Nonetheless, for reasons well understood in the art, it is preferable (to the exent possible within available process constraints) to maximize such air gap heights (in relation to the height HL of the conductive lines 28) by extending them above and below an interconnect structure 28 to reduce the capacitance between adjacent lines.
  • In summary, an inter-line interconnect structure as shown in FIG. 12 typically includes a metal line 28, an conductive line sidewall dielectric portion 14′, a second dielectric filler 32, and air gap 34. The sidewall dielectric portion 14′ left underneath metal line 28 provides structural support and additional process window margin when the present invention is used in small scale line width geometries.
  • Those skilled in the art will further appreciate that the above are merely examples of what might be present in any section of the wafer, and that other air gap structures will inevitably result as part of any conventional manufacturing process employing the present teachings.
  • As further noted, to reduce non-uniformities for such air gaps, dummy metal lines can be added to an interconnect pattern to ensure that no large flat spaces are left between adjacent conductive lines. Thus, for example, in FIG. 12, for some instances across the surface of the wafer, the middle metal line 28 may be carrying an actual signal, and in other instances, a “dummy” metal line 28 may be simply added so as to create a uniform capacitance everywhere for the metal lines adjacent thereto.
  • In FIG. 13, a composite drawing is shown of two dual damascene structures fabricated in sequence according to the method of the present invention. A device layer 10 includes a silicon substrate and a portion of first level of metal interconnect 28 extends herein as well. In a first level of interconnect structure according to the present invention, copper metal layer 28 and air gaps 34 are shown extending in and to the top of dielectric layers 14 and 18. Note that air gaps 34 are shown to extend below the level of the upper surface of the device layer 10 as well as above the upper surface of metal lines 28, thus providing the maximum electrical isolation between adjacent metal structures.
  • Also shown in FIG. 13 is a second level of metal interconnect that includes an additional metal interconnect 38 and a dielectric layer 36 with air gaps 40 and 42. Air gap 40 provides intra-level metal isolation and extends to the surface of device layer including layers 10, 14, and 18, as well as above the upper surface of metal lines 38. Air gaps 42 extend below the surface of the device layer including layers 10, 14, and 18, and thus provide electrical intra-metal isolation for both metal layers 38 and 28.
  • Furthermore it will be apparent that this overall process could be repeated as needed to form additional interconnect layers, and the present invention is by no means limited to any particular number of such layers.
  • Another important observation about the present invention that can be gleaned from FIG. 13, is that in some instances an air gap for a second level interconnect may be formed on top of a first level interconnect. In other instances a single air gap can be extended in height so that it serves to reduce capacitance for more than one interconnect layer. For example, the air gap 42 shown in the middle of FIG. 13 serves as an air gap for two separate metal interconnect levels; this same principle could be extended as needed for additional levels. Thus by appropriate “stacking” and arrangement of interconnect layers, a single air gap can be formed between adjacently located conductive lines in more than one layer of metal.
  • As illustrated herein, the dielectric material 14 underneath the conductive lines further functions to provide some measure of structural support for the latter. This feature can be enhanced or reduced in other embodiments by structural variations so that more or less dielectric is left on the sidewalls, or under the top portions of the conductive lines. The dielectric also functions as a heat dissipator, and further reduces electromigration. Accordingly, the amount of dielectric left on the sidewalls can be tailored for any particular environment, so that it might be used extensively in some applications (thicker layers), and not used in others (thin layers, or no layers at all).
  • Second Embodiment
  • Referring generally now to FIGS. 14-24, a method for forming an integrated circuit device having at least one air gap structure is shown for a conventional metal interconnect structure of the type having aluminum alloy metal interconnect layers and tungsten metal plugs. Except where otherwise noted, like numerals are intended to represent like structures and materials already identified in connection with FIGS. 1-13.
  • In FIG. 14, a device layer 10 is formed as before.
  • A contact/via dielectric layer 14 is formed on device layer 10. As before, dielectric layer 14 is ideally silicon dioxide but can also be USG, FSG, PSG, BPSG, or the like and is deposited to a thickness of about 1000 to 10000 Angstroms. It will be understood, of course, that layer 14 may be comprised of a combination of layers, and formed in more than one processing step, but for purposes of the present discussion, it will be referred to as a single layer.
  • In FIG. 15, a photoresist layer 22 is formed on dielectric layer 14 to a thickness of about 1000 to 10000 Angstroms. Photoresist layer 22 is patterned to form metal contact or via pattern 56A by photolithography processes as before.
  • In FIG. 16, as noted before, openings 56B are etched into the contact/via dielectric layer 52 in a similar fashion to that already described for FIG. 4.
  • In FIG. 17, resist layer 22 is stripped and a tungsten barrier layer 92 (such as Ti/TiN, Ta, TaN etc.) is deposited on the surface of dielectric layer 52 and in openings 56. Again, these are merely examples of those known at this time to be particularly suited for Tungsten, and other compositions may be needed for other types of conductive line metals. For some metals, of course, a barrier layer may not be needed in the first place.
  • A layer of Tungsten 58 is then preferably deposited to a thickness of about 500 to 8000 Angstroms, which completely fills openings 56. Again, for other processes, materials other than Tungsten may be more suitable.
  • In FIG. 18, any excess tungsten is removed using tungsten CMP or tungsten etch back, which results in a structure that includes dielectric layer 14 and tungsten metal plugs 58. In self-limiting growth processes, this type of CMP operation may be minimized or reduced.
  • In FIG. 19, an aluminum alloy (or the like) interconnect layer 60 is deposited on combined metal plug/dielectric layer 14/58 to a thickness of about 2000 to 10000 Angstroms. Again, for other processes, materials other than an aluminum alloy may be more suitable. For example, doped polycrystalline silicon is also well-known as an effective conductive interconnect/gate material.
  • In FIG. 20, a resist layer 62 is formed on the metal layer 60 in any conventional manner to a thickness of preferably about 2000 to 15000 Angstroms and followed preferably by a photolithography process to result in metal line pattern 64A.
  • In FIG. 21, an intra-metal spacing 64B is formed by etching metal layer 60 using a conventional metal etching process to form an interconnect structure consisting of patterned metal layer 60 and spacings 64B. Again, the particular etch chemistry and technique will depend on the particular material selected for layer 60.
  • In FIG. 22, the metal interconnect structure of FIG. 21 is shown in conjunction with several other metal plugs 58, each capped by a section of metal interconnect layer 60. It will be understood, of course, that it is not necessary to locate every interconnect line above a metal plug.
  • In a preferred first processing option, any material in spacings 64B is removed and etched down to the surface of the device layer 50 with the resist layer 62 intact to form trenches 64C. As explained in connection with FIG. 11 as well, the depth of trenches 64C is adjustable and can be made down to and even below the upper surface of the device layer 10 (not shown in FIG. 22).
  • In a second processing variation of this embodiment (shown in FIG. 23), resist layer 62 is first stripped and previously etched metal layer 60 is used as a hard mask to etch trenches 64C. The choice between these two variations can be made on a case by case basis in accordance with conventional and well-known process requirements.
  • In FIG. 24 air gaps are formed in substantially the same manner as depicted earlier for FIG. 12. That is, a silicon-dioxide or the like dielectric layer 66 is deposited onto the surface to a thickness of about 2000 to 10000 Angstroms. Poor step coverage by the deposition of dielectric layer 66 results in the formation of intra-metal line air gaps 68. Air gaps 68 provide superior electric isolation due to the low dielectric constant of air as previously discussed.
  • It will be appreciated by those skilled in the art that this second embodiment can also be used to create structures that are similar to those already illustrated in FIGS. 12A and 12B, including air gaps of different height, width, etc. Moreover, the above steps can be sequenced again to form multi-level interconnect structures in the same manner as previously described for FIG. 13. Thus, air gaps can be used as an insulation layer between inter-metal or intra-metal layers formed of Al, Al alloys, polycrystalline silicon, etc.
  • Third Embodiment
  • Referring generally now to FIGS. 25-33, a third embodiment of a method for forming an integrated circuit device having at least an air gap structure is shown for a conventional metal interconnect structure of the type having aluminum alloy metal interconnect layers and aluminum alloy metal plugs. The primary difference to the second embodiment is in the use of a different type of a barrier metal layer for the interlayer plugs.
  • In FIG. 25, a contact/via dielectric layer 14 is formed on device layer 10 as before.
  • In FIG. 26, a photoresist layer 22 is formed and patterned on dielectric layer 14 as before to form a pattern of openings 86A.
  • In FIG. 27, openings 86B are etched into contact/via dielectric layer 14 as before.
  • In FIG. 28, resist layer 22 is stripped and an aluminum barrier layer 94 (such as Ti/TiN, Ta, TaN or Aluminum oxide) is deposited on the surface of dielectric layer 82 and in openings 86. Again, these are merely examples of those known at this time to be particularly suited for Aluminum, and other compositions may be needed for other types of conductive line metals. For some metals, of course, a barrier layer may not be needed in the first place.
  • An aluminum alloy layer 90 (preferably Aluminum with some small percentage of Cu and/or Si) is then deposited to a thickness of about 500 to 8000 Angstroms, which completely fills contact/via openings 86B and provides an aluminum alloy interconnect layer coupled to aluminum alloy plugs 88.
  • This embodiment, therefore, is distinguished from the second embodiment noted earlier in that the plug and interconnect layer can be formed in a single step, thus improving throughput for those applications where it is acceptable to use something other than a Tungsten based plug.
  • In FIG. 29, as before a resist layer 92 is formed on the metal layer to a thickness of about 2000 to 15000 Angstroms followed by a photolithography process.
  • In FIG. 30, an intra-metal spacing 74B is formed by etching the aluminum metal layer 90 using a conventional metal etching process as noted earlier for FIG. 21.
  • In FIG. 31, the metal interconnect structure of FIG. 30 is shown in conjunction with several other metal plugs 88, each capped by a section of aluminum alloy metal interconnect layer 90. As before, it will be understood, of course, that it is not necessary to locate every interconnect line above a metal plug.
  • In a preferred first processing option, any material in intra-metal spacings 74B is removed and etched down to the surface of the device layer 10 with the resist layer 92 intact to form trenches 74C. As explained in connection with FIG. 11 as well, the depth of trenches 74C is adjustable and can be made down to and even below the upper surface of the device layer 10 (not shown in FIG. 31).
  • In a second processing variation shown in FIG. 32, resist layer 92 is first stripped and previously etched metal layer 90 is used as a hard mask to etch trenches 74. Again the choice between these two variations can be made on a case by case basis in accordance with conventional and well-known process requirements.
  • In FIG. 33 air gaps are formed in substantially the same manner as depicted earlier for FIG. 12. That is, a silicon-dioxide dielectric layer or the like 78 is deposited to fill the trenches 74 and cover the metal pattern 90 to a thickness of about 1000 to 8000 Angstroms. Poor step coverage by the deposition of dielectric layer 78 results in the formation of intra-metal line air gaps 76. Air gaps 76 provide superior electric isolation due to the low dielectric constant of air as previously discussed.
  • It will be appreciated by those skilled in the art that this third embodiment can also be used to create structures that are similar to those already illustrated in FIGS. 12A and 12B, including air gaps of different height, width, etc.
  • Moreover, the above steps can be sequenced again to form multi-level interconnect structures in the same manner as previously described for FIG. 13, and as shown generally in FIG. 34.
  • In FIG. 34, a composite drawing is shown of two metal interconnect structures fabricated according to the third (and second) air gap method of the present invention. In a first level of interconnect structure according to the present invention, metal interconnect 60, metal plugs 58, and air gaps 68 are shown embedded in dielectric layer 14 above device layer 10.
  • Note that as with FIG. 13, the resulting structure of FIG. 34 shows that that air gaps 68 can extend below the level of the upper surface of device layer 50 and above the upper surface of metal lines 60, thus providing maximum electrical isolation. Also shown in FIG. 34 is a second level of metal interconnect layer 80 that includes an additional metal level 86, metal plugs 84, and air gaps 88. Air gap 88 provides intra-level metal isolation and extends to layers 50, 52, and 60.
  • Fourth Embodiment
  • A fourth embodiment is now described with reference to FIGS. 35-38. This embodiment is a variant of the first embodiment in which an etch stop layer between a line dielectric and a via dielectric is eliminated to further reduce the effective dielectric constant of the inter-metal dielectric layer.
  • Thus, in FIG. 35, the second etch stop layer 16 between the via and line dielectric layers 14 and 18 (FIG. 2) has been eliminated to further reduce the effective dielectric constant of the inter-metal dielectric layer. In lieu of two dielectric layers separated by an etch stop layer, a single dielectric layer 15 is deposited onto the surface of etch stop layer 12. The single dielectric layer 15 is also ideally silicon dioxide or the like and is deposited to a thickness of about 1000 to 10000 Angstroms in a manner similar to that already described for via dielectric layer 14.
  • An etch stop and/or anti-reflecting layer 20 is subsequently deposited on the line dielectric layer 15 as discussed before in connection with FIG. 2.
  • In FIG. 36, a photoresist layer 22 is formed on etch stop and/or anti-reflecting layer 20 to a thickness of about 1000 to 10000 Angstroms as already described in FIG. 3.
  • In FIG. 37, using metal contact or via pattern 24A as a mask, the dielectric layer 15 is anisotropically etched to form metal contact or via opening 24B as already described in FIG. 4. The primary difference from FIG. 4 is that, as generally illustrated, dielectric layer 15 is only partially etched, in this case, to a depth of approximately slightly more than half the thickness of such layer.
  • In FIG. 38, after removing the resist layer 22, another photoresist layer 32 is processed to form metal line pattern 24C by photolithography processes as generally already described in FIG. 5.
  • From this point forward, processing takes place in substantially the same fashion as already illustrated above in connection with FIGS. 6-13, thus resulting in a single or multi-level air gap interconnect structure, albeit with slightly modified layer compositions as noted here.
  • Fifth Embodiment
  • A fifth embodiment is now described with reference to FIGS. 39-40. This embodiment is also a variant of the first embodiment in which a first etching operation is performed only as far as a first etch stop layer.
  • Accordingly, FIG. 39 illustrates a variation in which given the structure shown in FIG. 3, an etching operation is conducted in a similar fashion to that already describe in FIG. 4, except that such etch is stopped upon reaching second etch stop layer 16. In all other respects, this operation is the same, in that line dielectric layer 18 is anisotropically etched using via pattern 24A as a mask to form a metal contact or via opening 24B. It is only the case, therefore, that these openings do not extend as far down as those illustrated in FIG. 4.
  • In FIG. 40, after removing the resist layer 22, another photoresist layer 32 is formed. A subsequent etch transfers the upper profile of opening 24C to the bottom of the openings, so that a deeper enlarged opening 24D results that is substantially the same as shown in FIG. 6.
  • From this point forward, processing takes place in substantially the same fashion as already illustrated above in connection with FIGS. 7-13, thus resulting in a single or multi-level air gap interconnect structure, albeit with slightly modified layer compositions as noted here.
  • While there have been described above the principles of the present invention in conjunction with specific circuit implementations and applications it is to be clearly understood that the foregoing description is made only by way of example and not as a limitation to the scope of the invention. Particularly, it is recognized that the teachings of the foregoing disclosure will suggest other modifications to those persons skilled in the relevant art. Such modifications may involve other features which are already known and which may be used instead of or in addition to features already described herein. Although claims have been formulated in this application to particular combinations of features, it should be understood that the scope of the disclosure herein also includes any novel feature or any novel combination of features disclosed either explicitly or implicitly or any generalization or modification thereof which would be apparent to persons skilled in the relevant art, whether or not such relates to the same invention as presently claimed in any claim and whether or not it mitigates any or all of the same technical problems as confronted by the present invention. The applicants hereby reserve the right to formulate new claims to such features and/or combinations of such features during the prosecution of the present application or of any further application derived therefrom.

Claims (12)

1-36. (canceled)
37. An air gap structure in an integrated circuit comprising:
a conductive structure within a first dielectric layer on a substrate, said conductive structure including conductive plugs;
an opening on the first dielectric layer and adjacent to the conductive structure and
a second dielectric layer situated over the substrate to form at least an air gap in the opening.
38. An air gap structure in an integrated circuit comprising:
a device layer;
a first conductive line and a second conductive line situated above said device layer;
said first conductive line including a first conductive plug, and said second conductive line including a second conductive plug;
a trench located between said first conductive line and a second conductive line adjacent to said first conductive plug and said second conductive plug;
a first dielectric layer arranged so as form sidewalls of said trench between said first conductive line and a second conductive line and adjacent to said first conductive plug and second conductive plug;
a second dielectric layer situated over said first conductive line, said second conductive line and said trench;
wherein an air gap is situated between said first conductive line and a second conductive line and is defined by a region bounded by a bottom of said trench, said sidewalls, said first conductive line and said second conductive line, and said second dielectric layer.
39. The air gap structure of claim 38 in which said air gap comprises an air gap extending above a top surface of said first conductive line.
40. The air gap structure of claim 38 wherein both of said plugs extend and electrically couple to said device layer.
41. The air gap structure of claim 38 wherein said first conductive plug and second conductive plug are selected from tungsten or aluminum based metal.
42. The air gap structure of claim 38 wherein said conductive lines are made of aluminum or aluminum alloy layer.
43. The air gap structure of claim 38 in which said trench extends below a top surface of said device layer.
44. The air gap structure of claim 38 in which said second dielectric layer comprises a dielectric layer selected from the group including SiO2, USG, PSG, FSG and BPSG.
45. The air gap structure of claim 44 in which said second dielectric layer comprises a dielectric layer about 1000 to 10000 Angstroms thick.
46. An integrated circuit comprising:
a device layer;
a plurality of conductive lines situated above said device layer;
a plurality of conductive plugs coupling said conductive lines to said device layer;
a plurality of trenches located between adjacent ones of said plurality of conductive lines;
a first dielectric material arranged so as to line at least portions of sidewalls of at least some of said plurality of trenches;
a second dielectric layer situated over said plurality of conductive lines and said plurality of trenches;
wherein a plurality of air gaps are situated between said adjacent ones of said plurality of conductive lines, said plurality of air gaps being defined by separate spaces bounded by bottoms of said plurality of trenches, said sidewalls, and said second dielectric layer;
said plurality of air gaps being further arranged such that at least some of said plurality of air gaps extend below a top surface of said device layer, and at least some of said plurality of air gaps extend above a top surface of said plurality of conductive lines.
47. An integrated circuit comprising:
a device layer;
an interconnect structure including a first plurality of conductive lines situated at a first level above said device layer, and a second plurality of conductive lines situated at a second level above said device layer;
wherein said first plurality of conductive lines are coupled to said device layer through a first level of one or more conductive plugs, and said second plurality of conductive lines are coupled to said first plurality of conductive lines through a second level of one or more conductive plugs;
wherein said first plurality of conductive lines and said second plurality of conductive lines, and/or said first level of one or more conductive plugs and said second level of one or more conductive plugs overlap in regions of the integrated circuit resulting in a third plurality of multi-level conductive lines;
a plurality of trenches located between adjacent ones of said third plurality of multi-level conductive lines;
a first dielectric material arranged so as to line at least portions of sidewalls of at least some of said plurality of trenches;
a second dielectric layer situated over said third plurality of multi-level conductive lines and said plurality of trenches;
wherein a plurality of multi-level air gaps are situated between said adjacent ones of said third plurality of conductive lines, said plurality of multi-level air gaps being defined by separate spaces bounded by bottoms of said plurality of trenches, said sidewalls, and said second dielectric layer;
said plurality of air gaps being further arranged such that at least some of said plurality of air gaps extend below a top surface of said device layer, and at least some of said plurality of air gaps extend above a top surface of said third plurality of multi-level conductive lines.
US11/561,790 2002-11-15 2006-11-20 Air gap for tungsten/aluminum plug applications Abandoned US20070076339A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/561,790 US20070076339A1 (en) 2002-11-15 2006-11-20 Air gap for tungsten/aluminum plug applications

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US10/295,080 US7138329B2 (en) 2002-11-15 2002-11-15 Air gap for tungsten/aluminum plug applications
US10/295,719 US7449407B2 (en) 2002-11-15 2002-11-15 Air gap for dual damascene applications
US11/179,840 US7253095B2 (en) 2002-11-15 2005-07-11 Air gap formation method for reducing undesired capacitive coupling between interconnects in an integrated circuit device
US11/561,790 US20070076339A1 (en) 2002-11-15 2006-11-20 Air gap for tungsten/aluminum plug applications

Related Parent Applications (3)

Application Number Title Priority Date Filing Date
US10/295,719 Continuation US7449407B2 (en) 2002-11-15 2002-11-15 Air gap for dual damascene applications
US10/295,080 Continuation US7138329B2 (en) 2002-11-15 2002-11-15 Air gap for tungsten/aluminum plug applications
US11/179,840 Continuation US7253095B2 (en) 2002-11-15 2005-07-11 Air gap formation method for reducing undesired capacitive coupling between interconnects in an integrated circuit device

Publications (1)

Publication Number Publication Date
US20070076339A1 true US20070076339A1 (en) 2007-04-05

Family

ID=32297098

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/295,080 Expired - Lifetime US7138329B2 (en) 2002-11-15 2002-11-15 Air gap for tungsten/aluminum plug applications
US11/561,790 Abandoned US20070076339A1 (en) 2002-11-15 2006-11-20 Air gap for tungsten/aluminum plug applications

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/295,080 Expired - Lifetime US7138329B2 (en) 2002-11-15 2002-11-15 Air gap for tungsten/aluminum plug applications

Country Status (2)

Country Link
US (2) US7138329B2 (en)
CN (1) CN100514597C (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110104891A1 (en) * 2007-10-09 2011-05-05 Amir Al-Bayati Methods and apparatus of creating airgap in dielectric layers for the reduction of rc delay
US20120032326A1 (en) * 2010-08-03 2012-02-09 Xilinx, Inc. Air through-silicon via structure
US20120273962A1 (en) * 2011-04-28 2012-11-01 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor device having air gap and method for manufacturing the same
US20130069234A1 (en) * 2011-09-19 2013-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for tunable interconnect scheme
US20130187278A1 (en) * 2010-10-15 2013-07-25 Fudan University Structure for interconnecting copper with low dielectric constant medium and the integration method thereof
US20140001650A1 (en) * 2010-10-05 2014-01-02 Freescale Semiconductor, Inc. Electronic device including interconnects with a cavity therebetween and a process of forming the same
US8642470B2 (en) * 2011-09-22 2014-02-04 Semiconductor Manufacturing International (Beijing) Corporation Semiconductor device manufacturing method

Families Citing this family (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040232552A1 (en) * 2002-12-09 2004-11-25 Advanced Micro Devices, Inc. Air gap dual damascene process and structure
US7012240B2 (en) * 2003-08-21 2006-03-14 Taiwan Semiconductor Manufacturing Co., Ltd. Image sensor with guard rings and method for forming the same
US7361991B2 (en) * 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
US7071532B2 (en) * 2003-09-30 2006-07-04 International Business Machines Corporation Adjustable self-aligned air gap dielectric for low capacitance wiring
US7435074B2 (en) * 2004-03-13 2008-10-14 International Business Machines Corporation Method for fabricating dual damascence structures using photo-imprint lithography, methods for fabricating imprint lithography molds for dual damascene structures, materials for imprintable dielectrics and equipment for photo-imprint lithography used in dual damascence patterning
US7235833B2 (en) * 2004-05-04 2007-06-26 United Microelectronics Corp. Image sensor device and manufacturing method thereof
JP4417202B2 (en) * 2004-08-19 2010-02-17 Necエレクトロニクス株式会社 Semiconductor device
US7687394B2 (en) * 2005-12-05 2010-03-30 Dongbu Electronics Co., Ltd. Method for forming inter-layer dielectric of low dielectric constant and method for forming copper wiring using the same
WO2007083237A1 (en) * 2006-01-18 2007-07-26 Stmicroelectronics (Crolles 2) Sas Integration of self-aligned trenches in-between metal lines
US20070218677A1 (en) * 2006-03-15 2007-09-20 Manfred Engelhardt Method of Forming Self-Aligned Air-Gaps Using Self-Aligned Capping Layer over Interconnect Lines
FR2899572B1 (en) * 2006-04-05 2008-09-05 Commissariat Energie Atomique PROTECTION OF CAVITIES DECLOUCHANT ON ONE SIDE OF A MICROSTRUCTURE ELEMENT
JP2008010551A (en) * 2006-06-28 2008-01-17 Toshiba Corp Semiconductor device and its manufacturing method
US7863150B2 (en) * 2006-09-11 2011-01-04 International Business Machines Corporation Method to generate airgaps with a template first scheme and a self aligned blockout mask
JP2008130911A (en) * 2006-11-22 2008-06-05 Matsushita Electric Ind Co Ltd Semiconductor integrated circuit design method and program, semiconductor integrated circuit design support method and program, and wiring parasitic capacity calculation method and program
KR100829603B1 (en) * 2006-11-23 2008-05-14 삼성전자주식회사 Method of manufacturing a semiconductor device having an air-gap
JP2009123743A (en) * 2007-11-12 2009-06-04 Panasonic Corp Manufacturing method of semiconductor device
KR20090067453A (en) * 2007-12-21 2009-06-25 주식회사 동부하이텍 Semiconductor device and method for manufacturing the device
US20100176513A1 (en) * 2009-01-09 2010-07-15 International Business Machines Corporation Structure and method of forming metal interconnect structures in ultra low-k dielectrics
US8519540B2 (en) * 2009-06-16 2013-08-27 International Business Machines Corporation Self-aligned dual damascene BEOL structures with patternable low- K material and methods of forming same
US8659115B2 (en) * 2009-06-17 2014-02-25 International Business Machines Corporation Airgap-containing interconnect structure with improved patternable low-K material and method of fabricating
US8163658B2 (en) * 2009-08-24 2012-04-24 International Business Machines Corporation Multiple patterning using improved patternable low-k dielectric materials
US8202783B2 (en) * 2009-09-29 2012-06-19 International Business Machines Corporation Patternable low-k dielectric interconnect structure with a graded cap layer and method of fabrication
US8637395B2 (en) 2009-11-16 2014-01-28 International Business Machines Corporation Methods for photo-patternable low-k (PPLK) integration with curing after pattern transfer
US8367540B2 (en) 2009-11-19 2013-02-05 International Business Machines Corporation Interconnect structure including a modified photoresist as a permanent interconnect dielectric and method of fabricating same
US8456009B2 (en) 2010-02-18 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having an air-gap region and a method of manufacturing the same
US8642252B2 (en) 2010-03-10 2014-02-04 International Business Machines Corporation Methods for fabrication of an air gap-containing interconnect structure
US8203212B2 (en) 2010-04-01 2012-06-19 International Business Machines Corporation Air gaps in a multilayer integrated circuit and method of making same
US8896120B2 (en) 2010-04-27 2014-11-25 International Business Machines Corporation Structures and methods for air gap integration
US8241992B2 (en) 2010-05-10 2012-08-14 International Business Machines Corporation Method for air gap interconnect integration using photo-patternable low k material
US8373271B2 (en) 2010-05-27 2013-02-12 International Business Machines Corporation Interconnect structure with an oxygen-doped SiC antireflective coating and method of fabrication
US8497203B2 (en) 2010-08-13 2013-07-30 International Business Machines Corporation Semiconductor structures and methods of manufacture
KR20120025315A (en) * 2010-09-07 2012-03-15 삼성전자주식회사 Semiconductor deivces and methods of fabricating the same
KR20120048991A (en) * 2010-11-08 2012-05-16 삼성전자주식회사 Semiconductor devices and methods of fabricating the same
US9054160B2 (en) 2011-04-15 2015-06-09 International Business Machines Corporation Interconnect structure and method for fabricating on-chip interconnect structures by image reversal
US8900988B2 (en) 2011-04-15 2014-12-02 International Business Machines Corporation Method for forming self-aligned airgap interconnect structures
US8890318B2 (en) 2011-04-15 2014-11-18 International Business Machines Corporation Middle of line structures
KR101828063B1 (en) 2011-05-17 2018-02-09 삼성전자주식회사 Semiconductor device and method of forming the same
US8575000B2 (en) * 2011-07-19 2013-11-05 SanDisk Technologies, Inc. Copper interconnects separated by air gaps and method of making thereof
US8822137B2 (en) 2011-08-03 2014-09-02 International Business Machines Corporation Self-aligned fine pitch permanent on-chip interconnect structures and method of fabrication
US20130062732A1 (en) 2011-09-08 2013-03-14 International Business Machines Corporation Interconnect structures with functional components and methods for fabrication
US8604618B2 (en) 2011-09-22 2013-12-10 International Business Machines Corporation Structure and method for reducing vertical crack propagation
CN102339789B (en) * 2011-10-28 2015-06-10 上海华虹宏力半导体制造有限公司 Method for forming metal interconnection structure
US8624394B2 (en) * 2011-12-07 2014-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated technology for partial air gap low K deposition
CN104126220B (en) 2011-12-20 2017-06-20 英特尔公司 Conformal cryogenic seal dielectric diffusion barrier
KR101880155B1 (en) * 2011-12-22 2018-07-19 에스케이하이닉스 주식회사 Stacked semiconductor package having the same
JP2013197407A (en) * 2012-03-21 2013-09-30 Toshiba Corp Semiconductor device
US9087753B2 (en) 2012-05-10 2015-07-21 International Business Machines Corporation Printed transistor and fabrication method
KR101916221B1 (en) * 2012-09-14 2018-11-08 삼성전자 주식회사 Semiconductor device and method of manufacturing the same
KR102003881B1 (en) * 2013-02-13 2019-10-17 삼성전자주식회사 Semiconductor deivces and methods of fabricating the same
US9312220B2 (en) * 2013-03-12 2016-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a low-K dielectric with pillar-type air-gaps
KR102044275B1 (en) * 2013-07-31 2019-11-14 에스케이하이닉스 주식회사 Semiconductor device with air gap and method for fabricating the same
US9165824B2 (en) * 2013-09-27 2015-10-20 Intel Corporation Interconnects with fully clad lines
US20150162277A1 (en) * 2013-12-05 2015-06-11 International Business Machines Corporation Advanced interconnect with air gap
US9214429B2 (en) 2013-12-05 2015-12-15 Stmicroelectronics, Inc. Trench interconnect having reduced fringe capacitance
CN103839884A (en) * 2014-03-10 2014-06-04 上海华虹宏力半导体制造有限公司 Semiconductor device structure and forming method thereof
KR102229206B1 (en) * 2014-04-07 2021-03-18 삼성전자주식회사 Semiconductor device and method of fabricating the same
US9269668B2 (en) * 2014-07-17 2016-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect having air gaps and polymer wrapped conductive lines
US9305836B1 (en) * 2014-11-10 2016-04-05 International Business Machines Corporation Air gap semiconductor structure with selective cap bilayer
DE112016004700T5 (en) * 2015-10-16 2018-07-05 Sony Corporation Semiconductor device and method for manufacturing a semiconductor device
US10256296B2 (en) * 2015-11-24 2019-04-09 International Business Machines Corporation Middle-of-line (MOL) capacitance reduction for self-aligned contact in gate stack
KR102616823B1 (en) * 2015-12-16 2023-12-22 삼성전자주식회사 Semiconductor Devices
US9887128B2 (en) * 2015-12-29 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for interconnection
US9653348B1 (en) 2015-12-30 2017-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10157777B2 (en) * 2016-05-12 2018-12-18 Globalfoundries Inc. Air gap over transistor gate and related method
US10211146B2 (en) 2016-05-12 2019-02-19 Globalfoundries Inc. Air gap over transistor gate and related method
US10199266B2 (en) * 2016-12-26 2019-02-05 Intel Corporation Integrated circuit interconnect structure having metal oxide adhesive layer
WO2018125239A1 (en) * 2016-12-30 2018-07-05 Intel Corporation Microelectronic devices having air gap structures integrated with interconnect for reduced parasitic capacitances
US10553533B2 (en) * 2017-11-08 2020-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and manufacturing method thereof
US10505111B1 (en) * 2018-07-20 2019-12-10 International Business Machines Corporation Confined phase change memory with double air gap
CN110858562B (en) * 2018-08-23 2023-07-11 联华电子股份有限公司 Method for manufacturing semiconductor element and semiconductor element manufactured by same
US10796949B2 (en) * 2018-10-19 2020-10-06 International Business Machines Corporation Airgap vias in electrical interconnects
US11011638B2 (en) * 2019-08-26 2021-05-18 International Business Machines Corporation Transistor having airgap spacer around gate structure

Citations (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4789648A (en) * 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
US4920639A (en) * 1989-08-04 1990-05-01 Microelectronics And Computer Technology Corporation Method of making a multilevel electrical airbridge interconnect
US5324683A (en) * 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
US5354711A (en) * 1990-06-26 1994-10-11 Commissariat A L'energie Atomique Process for etching and depositing integrated circuit interconnections and contacts
US5407860A (en) * 1994-05-27 1995-04-18 Texas Instruments Incorporated Method of forming air gap dielectric spaces between semiconductor leads
US5466639A (en) * 1994-10-06 1995-11-14 Micron Semiconductor, Inc. Double mask process for forming trenches and contacts during the formation of a semiconductor memory device
US5599745A (en) * 1995-06-07 1997-02-04 Micron Technology, Inc. Method to provide a void between adjacent conducting lines in a semiconductor device
US5612254A (en) * 1992-06-29 1997-03-18 Intel Corporation Methods of forming an interconnect on a semiconductor substrate
US5614765A (en) * 1995-06-07 1997-03-25 Advanced Micro Devices, Inc. Self aligned via dual damascene
US5635423A (en) * 1994-10-11 1997-06-03 Advanced Micro Devices, Inc. Simplified dual damascene process for multi-level metallization and interconnection structure
US5702982A (en) * 1996-03-28 1997-12-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for making metal contacts and interconnections concurrently on semiconductor integrated circuits
US5705430A (en) * 1995-06-07 1998-01-06 Advanced Micro Devices, Inc. Dual damascene with a sacrificial via fill
US5708303A (en) * 1994-09-15 1998-01-13 Texas Instruments Incorporated Semiconductor device having damascene interconnects
US5736457A (en) * 1994-12-09 1998-04-07 Sematech Method of making a damascene metallization
US5744376A (en) * 1996-04-08 1998-04-28 Chartered Semiconductor Manufacturing Pte, Ltd Method of manufacturing copper interconnect with top barrier layer
US5753967A (en) * 1995-09-14 1998-05-19 Advanced Micro Devices, Inc. Damascene process for reduced feature size
US5783864A (en) * 1996-06-05 1998-07-21 Advanced Micro Devices, Inc. Multilevel interconnect structure of an integrated circuit having air gaps and pillars separating levels of interconnect
US5801094A (en) * 1997-02-28 1998-09-01 United Microelectronics Corporation Dual damascene process
US5821169A (en) * 1996-08-05 1998-10-13 Sharp Microelectronics Technology,Inc. Hard mask method for transferring a multi-level photoresist pattern
US5880026A (en) * 1996-12-23 1999-03-09 Texas Instruments Incorporated Method for air gap formation by plasma treatment of aluminum interconnects
US5880018A (en) * 1996-10-07 1999-03-09 Motorola Inc. Method for manufacturing a low dielectric constant inter-level integrated circuit structure
US5949143A (en) * 1998-01-22 1999-09-07 Advanced Micro Devices, Inc. Semiconductor interconnect structure with air gap for reducing intralayer capacitance in metal layers in damascene metalization process
US5972758A (en) * 1997-12-04 1999-10-26 Intel Corporation Pedestal isolated junction structure and method of manufacture
US5989997A (en) * 1998-02-16 1999-11-23 United Microelectronics Corp. Method for forming dual damascene structure
US5990015A (en) * 1997-12-20 1999-11-23 United Microelectronics Corp. Dual damascence process
US6001414A (en) * 1997-09-08 1999-12-14 United Microelectronics Corp. Dual damascene processing method
US6004883A (en) * 1998-10-23 1999-12-21 Taiwan Semiconductor Manufacturing Company, Ltd. Dual damascene patterned conductor layer formation method without etch stop layer
US6017817A (en) * 1999-05-10 2000-01-25 United Microelectronics Corp. Method of fabricating dual damascene
US6025259A (en) * 1998-07-02 2000-02-15 Advanced Micro Devices, Inc. Dual damascene process using high selectivity boundary layers
US6027994A (en) * 1998-06-22 2000-02-22 United Microelectronics Corp. Method to fabricate a dual metal-damascene structure in a substrate
US6037249A (en) * 1997-12-31 2000-03-14 Intel Corporation Method for forming air gaps for advanced interconnect systems
US6042999A (en) * 1998-05-07 2000-03-28 Taiwan Semiconductor Manufacturing Company Robust dual damascene process
US6042996A (en) * 1998-02-13 2000-03-28 United Microelectronics Corp. Method of fabricating a dual damascene structure
US6054381A (en) * 1997-06-20 2000-04-25 Nec Corporation Semiconductor device, and method of manufacturing same
US6057239A (en) * 1997-12-17 2000-05-02 Advanced Micro Devices, Inc. Dual damascene process using sacrificial spin-on materials
US6063711A (en) * 1998-04-28 2000-05-16 Taiwan Semiconductor Manufacturing Company High selectivity etching stop layer for damascene process
US6071805A (en) * 1999-01-25 2000-06-06 Chartered Semiconductor Manufacturing, Ltd. Air gap formation for high speed IC processing
US6077769A (en) * 1998-02-26 2000-06-20 United Microelectronics Corp. Method of fabricating a daul damascene structure
US6077767A (en) * 1999-09-03 2000-06-20 United Semiconductor Corp. Modified implementation of air-gap low-K dielectric for unlanded via
US6130151A (en) * 1999-05-07 2000-10-10 Taiwan Semiconductor Manufacturing Company Method of manufacturing air gap in multilevel interconnection
US6140249A (en) * 1999-08-27 2000-10-31 Micron Technology, Inc. Low dielectric constant dielectric films and process for making the same
US6143641A (en) * 2000-01-26 2000-11-07 National Semiconductor Corporation Structure and method for controlling copper diffusion and for utilizing low K materials for copper interconnects in integrated circuit structures
US6159845A (en) * 1999-09-11 2000-12-12 United Microelectronics Corp. Method for manufacturing dielectric layer
US6159840A (en) * 1999-11-12 2000-12-12 United Semiconductor Corp. Fabrication method for a dual damascene comprising an air-gap
US6163066A (en) * 1997-02-07 2000-12-19 Micron Technology, Inc. Porous silicon dioxide insulator
US6162723A (en) * 1996-06-27 2000-12-19 Nec Corporation Method of fabricating a semiconductor integrated circuit device having an interlevel dielectric layer with voids between narrowly-spaced wiring lines
US6177329B1 (en) * 1999-04-15 2001-01-23 Kurt Pang Integrated circuit structures having gas pockets and method for forming integrated circuit structures having gas pockets
US6184121B1 (en) * 1997-07-10 2001-02-06 International Business Machines Corporation Chip interconnect wiring structure with low dielectric constant insulator and methods for fabricating the same
US6200900B1 (en) * 1999-10-08 2001-03-13 National Semiconductor Corporation Method for formation of an air gap in an integrated circuit architecture
US6200891B1 (en) * 1998-08-13 2001-03-13 International Business Machines Corporation Removal of dielectric oxides
US6204200B1 (en) * 1997-05-05 2001-03-20 Texas Instruments Incorporated Process scheme to form controlled airgaps between interconnect lines to reduce capacitance
US6204165B1 (en) * 1999-06-24 2001-03-20 International Business Machines Corporation Practical air dielectric interconnections by post-processing standard CMOS wafers
US6208015B1 (en) * 1996-06-05 2001-03-27 Advanced Micro Devices, Inc. Interlevel dielectric with air gaps to lessen capacitive coupling
US6211057B1 (en) * 1999-09-03 2001-04-03 Taiwan Semiconductor Manufacturing Company Method for manufacturing arch air gap in multilevel interconnection
US6211561B1 (en) * 1998-11-16 2001-04-03 Conexant Systems, Inc. Interconnect structure and method employing air gaps between metal lines and between metal layers
US6228770B1 (en) * 2000-03-21 2001-05-08 Chartered Semiconductor Manufacturing Ltd. Method to form self-sealing air gaps between metal interconnects
US6242336B1 (en) * 1997-11-06 2001-06-05 Matsushita Electronics Corporation Semiconductor device having multilevel interconnection structure and method for fabricating the same
US6252290B1 (en) * 1999-10-25 2001-06-26 Chartered Semiconductor Manufacturing Ltd. Method to form, and structure of, a dual damascene interconnect device
US6291030B1 (en) * 1999-12-21 2001-09-18 Promos Technologies, Inc. Method for reducing capacitance in metal lines using air gaps
US6297125B1 (en) * 1998-01-23 2001-10-02 Texas Instruments Incorporated Air-bridge integration scheme for reducing interconnect delay
US20020028575A1 (en) * 2000-09-01 2002-03-07 Koninklijke Philips Electronics N.V. Method of manufacturing a semiconductor device
US6376330B1 (en) * 1996-06-05 2002-04-23 Advanced Micro Devices, Inc. Dielectric having an air gap formed between closely spaced interconnect lines
US6380106B1 (en) * 2000-11-27 2002-04-30 Chartered Semiconductor Manufacturing Inc. Method for fabricating an air gap metallization scheme that reduces inter-metal capacitance of interconnect structures
US6403461B1 (en) * 2001-07-25 2002-06-11 Chartered Semiconductor Manufacturing Ltd. Method to reduce capacitance between metal lines
US20020081787A1 (en) * 2000-08-31 2002-06-27 Kohl Paul Albert Fabrication of semiconductor devices with air gaps for ultra low capacitance interconnections and methods of making same
US20020098677A1 (en) * 2000-05-31 2002-07-25 Micron Technology, Inc. Multilevel copper interconnects with low-k dielectrics and air gaps
US20020106888A1 (en) * 2000-12-05 2002-08-08 Stmicroelectronics S.R.I. Process for manufacturing an electronic semiconductor device with improved insulation by means of air gaps
US6440876B1 (en) * 2000-10-10 2002-08-27 The Boc Group, Inc. Low-K dielectric constant CVD precursors formed of cyclic siloxanes having in-ring SI—O—C, and uses thereof
US20020127844A1 (en) * 2000-08-31 2002-09-12 International Business Machines Corporation Multilevel interconnect structure containing air gaps and method for making
US20020145201A1 (en) * 2001-04-04 2002-10-10 Armbrust Douglas Scott Method and apparatus for making air gap insulation for semiconductor devices
US20020158337A1 (en) * 2000-02-08 2002-10-31 Babich Katherina E. Multilayer interconnect structure containing air gaps and method for making
US20020163082A1 (en) * 1997-07-28 2002-11-07 Ellis Lee Method for forming an interconnect structure with air gap compatible with unlanded vias
US20030109127A1 (en) * 2000-10-13 2003-06-12 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same
US20030176055A1 (en) * 2000-07-24 2003-09-18 United Microelectronics Corp. Method and structure for reducing capacitance between interconnect lines
US6642138B2 (en) * 2001-09-28 2003-11-04 Sharp Laboratories Of America, Inc. Process of making dual damascene structures using a sacrificial polymer
US6727159B2 (en) * 2002-01-28 2004-04-27 Nanya Technology Corporation Method of forming a shallow trench isolation in a semiconductor substrate
US6762120B2 (en) * 2000-11-17 2004-07-13 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same
US6908829B2 (en) * 2002-03-11 2005-06-21 Intel Corporation Method of forming an air gap intermetal layer dielectric (ILD) by utilizing a dielectric material to bridge underlying metal lines

Patent Citations (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4789648A (en) * 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
US4920639A (en) * 1989-08-04 1990-05-01 Microelectronics And Computer Technology Corporation Method of making a multilevel electrical airbridge interconnect
US5354711A (en) * 1990-06-26 1994-10-11 Commissariat A L'energie Atomique Process for etching and depositing integrated circuit interconnections and contacts
US5612254A (en) * 1992-06-29 1997-03-18 Intel Corporation Methods of forming an interconnect on a semiconductor substrate
US5510645A (en) * 1993-06-02 1996-04-23 Motorola, Inc. Semiconductor structure having an air region and method of forming the semiconductor structure
US5324683A (en) * 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
US5407860A (en) * 1994-05-27 1995-04-18 Texas Instruments Incorporated Method of forming air gap dielectric spaces between semiconductor leads
US5708303A (en) * 1994-09-15 1998-01-13 Texas Instruments Incorporated Semiconductor device having damascene interconnects
US5466639A (en) * 1994-10-06 1995-11-14 Micron Semiconductor, Inc. Double mask process for forming trenches and contacts during the formation of a semiconductor memory device
US5635423A (en) * 1994-10-11 1997-06-03 Advanced Micro Devices, Inc. Simplified dual damascene process for multi-level metallization and interconnection structure
US5736457A (en) * 1994-12-09 1998-04-07 Sematech Method of making a damascene metallization
US5599745A (en) * 1995-06-07 1997-02-04 Micron Technology, Inc. Method to provide a void between adjacent conducting lines in a semiconductor device
US5614765A (en) * 1995-06-07 1997-03-25 Advanced Micro Devices, Inc. Self aligned via dual damascene
US5705430A (en) * 1995-06-07 1998-01-06 Advanced Micro Devices, Inc. Dual damascene with a sacrificial via fill
US5847439A (en) * 1995-06-07 1998-12-08 Micron Technology, Inc. Integrated circuit having a void between adjacent conductive lines
US6083821A (en) * 1995-06-07 2000-07-04 Micron Technology, Inc. Integrated circuit having a void between adjacent conductive lines
US5753967A (en) * 1995-09-14 1998-05-19 Advanced Micro Devices, Inc. Damascene process for reduced feature size
US5702982A (en) * 1996-03-28 1997-12-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for making metal contacts and interconnections concurrently on semiconductor integrated circuits
US5744376A (en) * 1996-04-08 1998-04-28 Chartered Semiconductor Manufacturing Pte, Ltd Method of manufacturing copper interconnect with top barrier layer
US5998293A (en) * 1996-06-05 1999-12-07 Advanced Micro Devcies, Inc. Multilevel interconnect structure of an integrated circuit having air gaps and pillars separating levels of interconnect
US6376330B1 (en) * 1996-06-05 2002-04-23 Advanced Micro Devices, Inc. Dielectric having an air gap formed between closely spaced interconnect lines
US5783864A (en) * 1996-06-05 1998-07-21 Advanced Micro Devices, Inc. Multilevel interconnect structure of an integrated circuit having air gaps and pillars separating levels of interconnect
US6208015B1 (en) * 1996-06-05 2001-03-27 Advanced Micro Devices, Inc. Interlevel dielectric with air gaps to lessen capacitive coupling
US6162723A (en) * 1996-06-27 2000-12-19 Nec Corporation Method of fabricating a semiconductor integrated circuit device having an interlevel dielectric layer with voids between narrowly-spaced wiring lines
US5821169A (en) * 1996-08-05 1998-10-13 Sharp Microelectronics Technology,Inc. Hard mask method for transferring a multi-level photoresist pattern
US5880018A (en) * 1996-10-07 1999-03-09 Motorola Inc. Method for manufacturing a low dielectric constant inter-level integrated circuit structure
US5880026A (en) * 1996-12-23 1999-03-09 Texas Instruments Incorporated Method for air gap formation by plasma treatment of aluminum interconnects
US6163066A (en) * 1997-02-07 2000-12-19 Micron Technology, Inc. Porous silicon dioxide insulator
US5801094A (en) * 1997-02-28 1998-09-01 United Microelectronics Corporation Dual damascene process
US6204200B1 (en) * 1997-05-05 2001-03-20 Texas Instruments Incorporated Process scheme to form controlled airgaps between interconnect lines to reduce capacitance
US6054381A (en) * 1997-06-20 2000-04-25 Nec Corporation Semiconductor device, and method of manufacturing same
US6184121B1 (en) * 1997-07-10 2001-02-06 International Business Machines Corporation Chip interconnect wiring structure with low dielectric constant insulator and methods for fabricating the same
US20020163082A1 (en) * 1997-07-28 2002-11-07 Ellis Lee Method for forming an interconnect structure with air gap compatible with unlanded vias
US6001414A (en) * 1997-09-08 1999-12-14 United Microelectronics Corp. Dual damascene processing method
US6242336B1 (en) * 1997-11-06 2001-06-05 Matsushita Electronics Corporation Semiconductor device having multilevel interconnection structure and method for fabricating the same
US5972758A (en) * 1997-12-04 1999-10-26 Intel Corporation Pedestal isolated junction structure and method of manufacture
US6057239A (en) * 1997-12-17 2000-05-02 Advanced Micro Devices, Inc. Dual damascene process using sacrificial spin-on materials
US5990015A (en) * 1997-12-20 1999-11-23 United Microelectronics Corp. Dual damascence process
US6037249A (en) * 1997-12-31 2000-03-14 Intel Corporation Method for forming air gaps for advanced interconnect systems
US5949143A (en) * 1998-01-22 1999-09-07 Advanced Micro Devices, Inc. Semiconductor interconnect structure with air gap for reducing intralayer capacitance in metal layers in damascene metalization process
US6297125B1 (en) * 1998-01-23 2001-10-02 Texas Instruments Incorporated Air-bridge integration scheme for reducing interconnect delay
US6042996A (en) * 1998-02-13 2000-03-28 United Microelectronics Corp. Method of fabricating a dual damascene structure
US5989997A (en) * 1998-02-16 1999-11-23 United Microelectronics Corp. Method for forming dual damascene structure
US6077769A (en) * 1998-02-26 2000-06-20 United Microelectronics Corp. Method of fabricating a daul damascene structure
US6063711A (en) * 1998-04-28 2000-05-16 Taiwan Semiconductor Manufacturing Company High selectivity etching stop layer for damascene process
US6042999A (en) * 1998-05-07 2000-03-28 Taiwan Semiconductor Manufacturing Company Robust dual damascene process
US6027994A (en) * 1998-06-22 2000-02-22 United Microelectronics Corp. Method to fabricate a dual metal-damascene structure in a substrate
US6025259A (en) * 1998-07-02 2000-02-15 Advanced Micro Devices, Inc. Dual damascene process using high selectivity boundary layers
US6200891B1 (en) * 1998-08-13 2001-03-13 International Business Machines Corporation Removal of dielectric oxides
US6004883A (en) * 1998-10-23 1999-12-21 Taiwan Semiconductor Manufacturing Company, Ltd. Dual damascene patterned conductor layer formation method without etch stop layer
US6211561B1 (en) * 1998-11-16 2001-04-03 Conexant Systems, Inc. Interconnect structure and method employing air gaps between metal lines and between metal layers
US6071805A (en) * 1999-01-25 2000-06-06 Chartered Semiconductor Manufacturing, Ltd. Air gap formation for high speed IC processing
US6177329B1 (en) * 1999-04-15 2001-01-23 Kurt Pang Integrated circuit structures having gas pockets and method for forming integrated circuit structures having gas pockets
US6130151A (en) * 1999-05-07 2000-10-10 Taiwan Semiconductor Manufacturing Company Method of manufacturing air gap in multilevel interconnection
US6017817A (en) * 1999-05-10 2000-01-25 United Microelectronics Corp. Method of fabricating dual damascene
US6204165B1 (en) * 1999-06-24 2001-03-20 International Business Machines Corporation Practical air dielectric interconnections by post-processing standard CMOS wafers
US6140249A (en) * 1999-08-27 2000-10-31 Micron Technology, Inc. Low dielectric constant dielectric films and process for making the same
US6211057B1 (en) * 1999-09-03 2001-04-03 Taiwan Semiconductor Manufacturing Company Method for manufacturing arch air gap in multilevel interconnection
US6077767A (en) * 1999-09-03 2000-06-20 United Semiconductor Corp. Modified implementation of air-gap low-K dielectric for unlanded via
US6159845A (en) * 1999-09-11 2000-12-12 United Microelectronics Corp. Method for manufacturing dielectric layer
US6200900B1 (en) * 1999-10-08 2001-03-13 National Semiconductor Corporation Method for formation of an air gap in an integrated circuit architecture
US6252290B1 (en) * 1999-10-25 2001-06-26 Chartered Semiconductor Manufacturing Ltd. Method to form, and structure of, a dual damascene interconnect device
US6159840A (en) * 1999-11-12 2000-12-12 United Semiconductor Corp. Fabrication method for a dual damascene comprising an air-gap
US6291030B1 (en) * 1999-12-21 2001-09-18 Promos Technologies, Inc. Method for reducing capacitance in metal lines using air gaps
US6143641A (en) * 2000-01-26 2000-11-07 National Semiconductor Corporation Structure and method for controlling copper diffusion and for utilizing low K materials for copper interconnects in integrated circuit structures
US20020158337A1 (en) * 2000-02-08 2002-10-31 Babich Katherina E. Multilayer interconnect structure containing air gaps and method for making
US6228770B1 (en) * 2000-03-21 2001-05-08 Chartered Semiconductor Manufacturing Ltd. Method to form self-sealing air gaps between metal interconnects
US20020098677A1 (en) * 2000-05-31 2002-07-25 Micron Technology, Inc. Multilevel copper interconnects with low-k dielectrics and air gaps
US20030176055A1 (en) * 2000-07-24 2003-09-18 United Microelectronics Corp. Method and structure for reducing capacitance between interconnect lines
US20020081787A1 (en) * 2000-08-31 2002-06-27 Kohl Paul Albert Fabrication of semiconductor devices with air gaps for ultra low capacitance interconnections and methods of making same
US20020127844A1 (en) * 2000-08-31 2002-09-12 International Business Machines Corporation Multilevel interconnect structure containing air gaps and method for making
US20020028575A1 (en) * 2000-09-01 2002-03-07 Koninklijke Philips Electronics N.V. Method of manufacturing a semiconductor device
US6440876B1 (en) * 2000-10-10 2002-08-27 The Boc Group, Inc. Low-K dielectric constant CVD precursors formed of cyclic siloxanes having in-ring SI—O—C, and uses thereof
US20030109127A1 (en) * 2000-10-13 2003-06-12 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same
US6762120B2 (en) * 2000-11-17 2004-07-13 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same
US6380106B1 (en) * 2000-11-27 2002-04-30 Chartered Semiconductor Manufacturing Inc. Method for fabricating an air gap metallization scheme that reduces inter-metal capacitance of interconnect structures
US20020106888A1 (en) * 2000-12-05 2002-08-08 Stmicroelectronics S.R.I. Process for manufacturing an electronic semiconductor device with improved insulation by means of air gaps
US20020145201A1 (en) * 2001-04-04 2002-10-10 Armbrust Douglas Scott Method and apparatus for making air gap insulation for semiconductor devices
US6403461B1 (en) * 2001-07-25 2002-06-11 Chartered Semiconductor Manufacturing Ltd. Method to reduce capacitance between metal lines
US6642138B2 (en) * 2001-09-28 2003-11-04 Sharp Laboratories Of America, Inc. Process of making dual damascene structures using a sacrificial polymer
US6727159B2 (en) * 2002-01-28 2004-04-27 Nanya Technology Corporation Method of forming a shallow trench isolation in a semiconductor substrate
US6908829B2 (en) * 2002-03-11 2005-06-21 Intel Corporation Method of forming an air gap intermetal layer dielectric (ILD) by utilizing a dielectric material to bridge underlying metal lines

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110104891A1 (en) * 2007-10-09 2011-05-05 Amir Al-Bayati Methods and apparatus of creating airgap in dielectric layers for the reduction of rc delay
US20120032326A1 (en) * 2010-08-03 2012-02-09 Xilinx, Inc. Air through-silicon via structure
US8519542B2 (en) * 2010-08-03 2013-08-27 Xilinx, Inc. Air through-silicon via structure
US20140001650A1 (en) * 2010-10-05 2014-01-02 Freescale Semiconductor, Inc. Electronic device including interconnects with a cavity therebetween and a process of forming the same
US9099445B2 (en) * 2010-10-05 2015-08-04 Freescale Semiconductor, Inc. Electronic device including interconnects with a cavity therebetween and a process of forming the same
US20130187278A1 (en) * 2010-10-15 2013-07-25 Fudan University Structure for interconnecting copper with low dielectric constant medium and the integration method thereof
US9070689B2 (en) * 2010-10-15 2015-06-30 Fudan University Structure for interconnecting copper with low dielectric constant medium and the integration method thereof
US20120273962A1 (en) * 2011-04-28 2012-11-01 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor device having air gap and method for manufacturing the same
US8575024B2 (en) * 2011-04-28 2013-11-05 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor device having air gap and method for manufacturing the same
US20130069234A1 (en) * 2011-09-19 2013-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for tunable interconnect scheme
US9224643B2 (en) * 2011-09-19 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for tunable interconnect scheme
US8642470B2 (en) * 2011-09-22 2014-02-04 Semiconductor Manufacturing International (Beijing) Corporation Semiconductor device manufacturing method

Also Published As

Publication number Publication date
US7138329B2 (en) 2006-11-21
CN1967799A (en) 2007-05-23
CN100514597C (en) 2009-07-15
US20040097065A1 (en) 2004-05-20

Similar Documents

Publication Publication Date Title
US6917109B2 (en) Air gap structure and formation method for reducing undesired capacitive coupling between interconnects in an integrated circuit device
US7449407B2 (en) Air gap for dual damascene applications
US7138329B2 (en) Air gap for tungsten/aluminum plug applications
KR100389174B1 (en) Buried metal dual damascene plate capacitor
US6787911B1 (en) Interconnect with low dielectric constant insulators for semiconductor integrated circuit manufacturing
US6100184A (en) Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
US6696222B2 (en) Dual damascene process using metal hard mask
US7704876B2 (en) Dual damascene interconnect structures having different materials for line and via conductors
US6893959B2 (en) Method to form selective cap layers on metal features with narrow spaces
JP3672752B2 (en) Dual damascene structure and method for forming the same
US20020171147A1 (en) Structure of a dual damascene via
US7285489B2 (en) Dual damascene process for forming a multi-layer low-k dielectric interconnect
US20060205204A1 (en) Method of making a semiconductor interconnect with a metal cap
JP4084513B2 (en) Method of manufacturing dual damascene
US20040251549A1 (en) Hybrid copper/low k dielectric interconnect integration method and device
US20090140431A1 (en) Hybrid contact structure with low aspect ratio contacts in a semiconductor device
US6875686B2 (en) Method for fabricating a structure of interconnections comprising an electric insulation including air or vacuum gaps
US20050112957A1 (en) Partial inter-locking metal contact structure for semiconductor devices and method of manufacture
US20090108462A1 (en) Dual integration scheme for low resistance metal layers
US6218291B1 (en) Method for forming contact plugs and simultaneously planarizing a substrate surface in integrated circuits
US6472697B2 (en) Assorted aluminum wiring design to enhance chip-level performance for deep sub-micron application
US6403471B1 (en) Method of forming a dual damascene structure including smoothing the top part of a via
WO2023093676A1 (en) Beol top via wirings with dual damascene via and super via redundancy
US20230094757A1 (en) Top via process with damascene metal
JP2000195951A (en) Manufacture of double damask structure in integrated circuit having multiple level mutually connected structures

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION