US20070051471A1 - Methods and apparatus for stripping - Google Patents

Methods and apparatus for stripping Download PDF

Info

Publication number
US20070051471A1
US20070051471A1 US10/264,664 US26466402A US2007051471A1 US 20070051471 A1 US20070051471 A1 US 20070051471A1 US 26466402 A US26466402 A US 26466402A US 2007051471 A1 US2007051471 A1 US 2007051471A1
Authority
US
United States
Prior art keywords
stripping
wafer support
wafer
reactor
stripping reactor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/264,664
Inventor
Mark Kawaguchi
Elizabeth Pavel
James Papanu
Jonathan Mohn
John Yamartino
Christopher Lane
Michael Barnes
Robert Wunar
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US10/264,664 priority Critical patent/US20070051471A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LANE, CHRISTOPHER T., MOHN, JONATHAN D., KAWAGUCHI, MARK N., YAMARTINO, JOHN M., PAPANU, JAMES S., WUNAR, ROBERT, BARNES, MICHAEL S., PAVEL, ELIZABETH G.
Publication of US20070051471A1 publication Critical patent/US20070051471A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3342Resist stripping

Definitions

  • One or more embodiments of the present invention relate to method and apparatus that is useful for stripping applications (as used herein the term stripping includes photoresist removal, and cleaning processes such as, for example, residue removal) used to manufacture integrated circuit (“IC”) devices, including, without limitation, post-implant stripping, post-oxide-etch stripping, post-metal-etch stripping, post-low-k-etch stripping, post-polysilicon-etch stripping, and so forth.
  • IC integrated circuit
  • one or more embodiments of the present invention may also be used in areas of manufacturing that utilize IC manufacturing techniques, such as, for example, and without limitation, processing of organic-based substrates used in plastic light emitting diodes (“LEDs”), microfluidics, and optical devices.
  • LEDs plastic light emitting diodes
  • IC integrated circuit
  • various layers of dielectric, semiconducting, and conducting films are deposited in layers on a wafer or substrate (such as, for example, and without limitation, a silicon substrate or a glass substrate).
  • a wafer or substrate such as, for example, and without limitation, a silicon substrate or a glass substrate.
  • resist for example, and without limitation, a photoresist
  • the resist is patterned, and the pattern is transferred to underlying layers by etching—with the patterned resist layer serving as an etch mask.
  • Many such etch processes leave resist and post-etch residues on the wafer or substrate that must be removed before the next processing step.
  • Some conventional photoresist stripping chambers utilize: (a) a remote plasma source, sometimes referred to as a downstream plasma source (typically, a microwave or an RF energy-based source) to generate a plasma (in an attempt to reduce damage to devices being fabricated on the wafer that might be caused by exposure of the wafer to ions and UV light generated by the plasma); and (b) a gas distribution system that includes: (i) quartz components, (ii) quartz liners to cover chamber surfaces (in an attempt to minimize a reduction of the concentration of radicals generated by the remote, or downstream, plasma source due to heterogeneous recombination on inner chamber surfaces), (iii) a pumping system to maintain a vacuum, and (iv) a high temperature wafer pedestal to generate pedestal temperatures that are typically in a range from about 150 to about 350° C.
  • a remote plasma source sometimes referred to as a downstream plasma source (typically, a microwave or an RF energy-based source) to generate a plasma (in an attempt to reduce damage to devices
  • O 2 As a primary gas precursor with additives such as, for example, N 2 , H 2 O, NH 3 , and a forming gas (for example, N 2 /4% H 2 ) for strip rate enhancement, passivation, and residue removal and softening.
  • the chamber pressure is in a range from about 0.1 to about 10 Torr, and gas flow rates are in a range from about 0.5 to about 10 slm.
  • O 2 chemistries the stripping process is thermally activated, and as a result, relatively high temperatures are required. This is problematic because high temperatures can “harden” etch residues, thereby increasing the difficulty of residue removal in either DI-H 2 O (de-ionized water) or other solvents.
  • fluorine containing gases such as, for example, CF 4 and NF 3
  • O 2 gas precursor chemistries to provide a fluorine chemistry that reduces the thermal activation energy required for stripping processes.
  • fluorine chemistry may: (a) reduce the thermal activation energy from a range from about 6 to about 12 kcal/mole to a range from about 0.5 to about 4 kcal/mole; and (b) enable stripping rates in a range from about 5000 to about 10,000 ⁇ /min at temperatures below about 150° C.
  • the use of a fluorine chemistry can have undesired side effects.
  • F radicals fluorine radicals generated by a remote, or downstream, plasma source can etch quartz components in a stripping chamber.
  • F radicals can attack dielectric, semiconducting, and conducting films on the wafer, thereby reducing their selectivity relative to photoresist.
  • a crust is formed on the surface of the photoresist, which crust is often described in the art as a carbonized layer. As is known, this crust is resistant to conventional stripping processes. To remove the crust, stripping might ordinarily occur at high temperature. However, as is known, this would be problematic since volatile solvents that are trapped beneath the crust layer might rupture the crust, thereby generating particles. Consequently, conventional post-implant stripping processes typically include multiple steps: (a) one or more steps directed to crust removal; (b) one or more steps directed to bulk photoresist stripping; and (c) one or more steps directed to residue removal.
  • the crust is removed at low temperatures accompanied by the use of an RF bias, or by the addition of fluorine containing gases.
  • fluorine containing gases to oxygen based plasmas reduces the thermal activation energy required for stripping (see above), the selectivity of the stripping to oxide can be compromised.
  • the use of CF 4 or NF 3 even at low temperatures, can lead to oxide etching and microloading (i.e., etching differences between densely and more sparsely populated features).
  • U.S. Pat. Nos. 5,795,831, 5,882,489, and 5,908,319 disclose photoresist stripping and cleaning apparatus that utilize reactive ion etching (“RIE”) processes in combination with microwave remote, or downstream, plasma sources, such apparatus having post-implant stripping as a primary application.
  • RIE reactive ion etching
  • these patents disclose stripping rates of about 2000 ⁇ /min for a pure RIE mode with reasonable selectivity to oxide, and stripping rates of about 6000 ⁇ /min for a dual power mode (use of RIE and a downstream plasma source) for 200 mm substrates. As a result, throughput is compromised due to these relatively low stripping rates.
  • U.S. Pat. Nos. 5,534,231, 5,811,022, and 6,143,129 disclose photoresist stripping and etching apparatuses that utilize a non-remote, inductively coupled plasma (“ICP”) source. These patents disclose different methods to reduce the ion density of the plasma above the wafer surface.
  • ICP inductively coupled plasma
  • U.S. Pat. No. 5,198,634 discloses a capacitively coupled, parallel plate reactor (wherein power is delivered to an upper electrode/showerhead) operating at relatively low energy/pressure ratios (E/P of ⁇ 0.150 for E in watts/cm 3 and P in Torr) to minimize plasma damage, and still maintain reasonable stripping rates. The operating pressure disclosed is in a range from about 10 to about 50 Torr.
  • U.S. Pat. No. 6,203,657 B1 discloses an inductively coupled remote, or downstream, plasma source stripping chamber that is designed to maximize dissociation, and reduce the ion density in a remote source only mode.
  • the patent also discloses the use of an RF-biasable chuck.
  • EP0942463A2 discloses an RIE process for stripping ion-implanted photoresist using a chemistry that includes H 2 O, He, and CF 4 , as well as O 2 to minimize oxide loss. However, this patent discloses relatively low stripping rates of about 4400 ⁇ /min at best.
  • U.S. Pat. No. 5,209,803 discloses a parallel plate reactor with power delivery to an upper electrode, and a grounded grid inserted between the powered electrode and wafer to reduce ion bombardment.
  • a number of strip vendors have developed multi-temperature stripping recipes for use in post-implant stripping that entail the use of lamps to heat the substrate, and stripping with conventional O 2 /N 2 chemistries after removing the crust layer with RF-bias at low temperatures.
  • multi-temperature stripping recipes for use in post-implant stripping that entail the use of lamps to heat the substrate, and stripping with conventional O 2 /N 2 chemistries after removing the crust layer with RF-bias at low temperatures.
  • such recipes are problematic because the stripping chamber is complex, and hence, overall system reliability is compromised.
  • throughput is reduced due to the transient heating time between low and high temperature steps.
  • system configurations having chambers dedicated to low temperature process steps, and chambers dedicated to high temperature process steps also suffer from throughput losses because of added overhead due to inter-chamber wafer transfers.
  • one embodiment of the present invention is a stripping reactor that comprises: (a) a remote plasma source disposed to output a gas; (b) a gas distribution plate connected to ground that transmits the gas output from the remote plasma source to a processing chamber; (c) a wafer support disposed in the processing chamber; (d) a wafer support assembly disposed about the wafer pedestal that includes an outer conductive peripheral structure connected to ground; and (e) an RF power supply connected to supply RF power to the wafer support.
  • FIG. 1 shows a pictorial representation of salient features of a stripping process apparatus that is fabricated in accordance with one or more embodiments of the present invention
  • FIG. 2 shows a pictorial representation of a wafer holder and surrounding focus ring that is fabricated in accordance with one or more embodiments of the present invention
  • FIG. 3 shows a pictorial representation of a stripping reactor having an exhaust system that includes a throttle valve and an isolation valve;
  • FIGS. 4 and 5 show pictorial representations of emission lines that can be used to determine a stripping process endpoint in accordance with one or more embodiments of the present invention
  • FIG. 6 shows average stripping rates for a DUV photoresist as a function of RF bias power applied to a wafer support to generate a plasma in the processing chamber of the stripping reactor for different conditions: (a) RF bias power applied alone; (b) RF bias power applied to the wafer support to generate a plasma in the processing chamber, and power applied to the remote plasma source to generate a plasma therein (a dual plasma mode); and (c) the dual plasma mode with higher gas flows than those used for (b);
  • FIG. 7 shows time-varying optical emission spectra for ion-enhanced photoresist stripping of a 1.2 micron DUV blanket resist on a Si substrate in accordance with one or more embodiments of the present invention where an O radical peak is reduced in intensity during photoresist stripping while an O 2 + ion peak remains relatively constant;
  • FIG. 8 shows an endpoint trace for O radical species during a dual plasma mode stripping process on an 80 keV, 5E15 dose, As-implanted, 1.2 micron DUV blanket photoresist wafer;
  • FIG. 9 shows a more detailed pictorial representation of certain aspects of the stripping process apparatus shown in FIG. 1 .
  • one or more embodiments of the present invention enable stripping process applications (as used herein the term stripping includes photoresist removal, and cleaning processes such as, for example, residue removal) such as, for example, and without limitation, post-implant stripping, post-oxide-etch stripping, post-metal-etch stripping, post low-k-etch stripping, post-polysilicon-etch stripping, and so forth.
  • one or more embodiments of the present invention may also be used in areas of manufacturing that utilize integrated circuit (“IC”) manufacturing techniques, such as, for example, and without limitation, processing of organic-based substrates used in plastic light emitting diodes (“LEDs”), microfluidics, and optical devices.
  • IC integrated circuit
  • a stripping reactor fabricated in accordance with one or more embodiments of the present invention includes a remote, or downstream, plasma source (for example, a microwave plasma source or an inductively coupled, toroidal, RF plasma source) to generate radicals for stripping.
  • the stripping reactor further includes an RF power supply that supplies RF bias power to a wafer pedestal to generate a plasma in a processing chamber of the reactor (and produce ion bombardment thereby) to enhance the stripping rates obtained by use of radicals generated by the remote plasma source alone.
  • the stripping reactor further includes one or more of: (a) a gas distribution plate connected to ground (for example, and without limitation, a conductive gas distribution plate); (b) a wafer support assembly (for example, and without limitation, a focus ring assembly) disposed about a perimeter of the wafer support, which wafer support assembly is comprised of an insulating or non-conducting peripheral structure (for example, and without limitation, a ring) and an outer conducting peripheral structure (for example, and without limitation, a ring) that is electrically connected to a grounded chamber body; and (c) an internal chamber configuration having a relatively narrow gap between the gas distribution plate and the wafer pedestal.
  • a gas distribution plate connected to ground for example, and without limitation, a conductive gas distribution plate
  • a wafer support assembly for example, and without limitation, a focus ring assembly disposed about a perimeter of the wafer support, which wafer support assembly is comprised of an insulating or non-conducting peripheral structure (for example, and without limitation, a ring
  • the wafer support assembly, the grounded chamber body, and the grounded gas distribution plate create a capacitively coupled plasma apparatus having a large ratio of anode area to cathode area that provides increased ion bombardment on a wafer for a given amount of applied RF power.
  • this enables the stripping reactor to obtain relatively high stripping rates at relatively low temperatures.
  • the grounded gas distribution plate and the relatively narrow gap produce a substantially uniform plasma in the processing chamber of the stripping reactor.
  • this uniform plasma mitigates device damage on the wafer.
  • the large area ratio also reduces sputtering from the gas distribution plate.
  • this enables the use of, for example, and without limitation, an aluminum gas distribution plate without causing excessive contamination on the wafer.
  • FIG. 1 shows a pictorial representation of salient features of stripping process apparatus or reactor 1000 that is fabricated in accordance with one or more embodiments of the present invention.
  • stripping reactor 1000 comprises remote plasma source 100 which is an RF inductively-coupled, toroidal plasma source.
  • precursor gases are input through entrance orifice 110 into tube 120 having a toroidal geometry.
  • Tube 120 is surrounded by ferrite core 130 , and wires 140 surround at least a portion of ferrite core 130 .
  • RF energy supplied by RF power supply 150 is inductively coupled to precursor gases flowing into and through tube 120 to generate a plasma therein.
  • the toroidal geometry of tube 120 physically confines the plasma, efficiently generates radical species, and enhances dissociation of precursor gases. It is also believed that use of the toroidal geometry lowers the electron temperature of the plasma formed within source 100 .
  • tube 120 is a metal tube that is cooled, for example, by flowing water therethrough (alternatively, tube 120 may be fabricated from a dielectric material such as, for example, and without limitation, a ceramic material).
  • tube 120 includes at least one dielectric region that electrically isolates a portion of tube 120 so that electrical continuity through tube 120 is broken.
  • the inside of tube 120 is lined with a ceramic material or quartz. Appropriate liners can be chosen in accordance with any one of a number of methods that are well known to those of ordinary skill in the art to minimize recombination losses of desired species such as radicals for particular applications.
  • power supply 150 is a high frequency, tunable power supply that enables dissociation of oxidizing, reducing, and/or fluorinating gases
  • power supply 190 has a fixed impedance and variable frequency, for example, a frequency that is variable over a range from about 200 to about 600 kHz).
  • remote plasma source 100 is a remote microwave plasma source.
  • stripping rates obtained utilizing a remote inductively coupled plasma source as a function of power can be up to 1.5 to 2 times higher than stripping rates obtained utilizing a remote microwave plasma source. It is believed that this result is due to a higher efficiency of producing radicals for a remote inductively coupled plasma source when compared to that of a remote microwave plasma source.
  • Plasma species generated in tube 120 flow through exit tube 163 , and enter gas distribution plenum 160 through orifice 165 .
  • remote plasma source 100 is shown in FIG. 1 to be mounted directly above gas distribution plenum 160 , further embodiments of the present invention exist wherein remote plasma source 100 is mounted in other configurations (for example, and without limitation, alongside stripping chamber 190 ), and wherein gas flows from the remote plasma source into a side-feed gas distribution ring, which side-feed gas distribution ring transfers the gas, in turn, into gas distribution plenum 160 .
  • exit tube 163 and gas distribution plenum 160 may include liners.
  • exit tube 163 and gas distribution plenum 160 include liners 170 .
  • Liners 170 are chosen, for example, to reduce recombination of at least desired ones of the plasma species generated by remote plasma source 100 such as, for example, radicals.
  • liners 170 are quartz liners.
  • gas distribution plate 180 forms a bottom portion of gas distribution plenum 160 .
  • gas distribution plate 180 is fabricated from a conductive material such as, for example, and without limitation, aluminum.
  • gas distribution plate 180 is a perforated plate or showerhead, and as such, advantageously provides good flow uniformity of gas into processing chamber 190 .
  • a distance between orifice 165 of remote plasma source 100 and gas distribution plate 180 can be optimized (routinely without undue experimentation by one of ordinary skill in the art) to compensate for competing effects of bulk residence time and surface to volume ratio of gas distribution plenum 160 .
  • specific dimensions utilized for a particular stripping application, or specific dimensions utilized for a set of particular stripping applications may depend on one or more of the following: the chemistry used for the particular stripping application, process conditions, and the chamber materials of gas distribution plenum 160 .
  • typical dimensions for a 300 mm stripping chamber include: (a) a width of gas distribution plenum 160 of about 300 mm, and (b) a distance between orifice 165 and gas distribution plate 180 in a range from about 0.5 to about 2.0 inches, and preferably in a range from about 0.75 to about 1.0 inch.
  • stripping reactor 1000 includes wafer pedestal 200 .
  • various embodiments of stripping reactor 1000 include wafer pedestal 200 being fabricated in accordance with various aspects of the present invention.
  • a first aspect of the present invention utilizes one or more embodiments of wafer pedestal 200 that are useful for biasable, low temperature applications (a “biasable, low-T chuck”).
  • the term low temperature refers to temperatures below about 150° C.
  • a second aspect of the present invention utilizes one or more embodiments of wafer pedestal 200 that are useful for biasable, low temperature, electrostatic chuck (“ESC”) applications (a “biasable, low-T, ESC chuck”).
  • ESC electrostatic chuck
  • a third aspect of the present invention utilizes one or more embodiments of wafer pedestal 200 that are useful for high temperature applications (a “high-T chuck”).
  • high temperature refers to temperatures in a range from about 150° C. to about 450° C.
  • a fourth aspect of the present invention utilizes one or more embodiments of wafer pedestal 200 that are useful for biasable, high-temperature applications (a “biasable, high-T chuck”).
  • biasable, high-T chuck As will be described below, one or more of embodiments of the present invention may be fabricated utilizing one or more embodiments of the various aspects of the present invention to address requirements of particular stripping applications.
  • Wafer pedestal 200 shown in FIG. 1 is fabricated in accordance with an embodiment of a “biasable, low-T chuck.”
  • wafer support 203 is fabricated in accordance with any one of a number of methods that are well known to those of ordinary skill in the art from, for example, and without limitation, aluminum with an Al 2 O 3 top surface (for example, an anodized aluminum top surface) upon which wafer 300 rests.
  • wafer support 203 rests upon support 212 that may be fabricated, for example, and without limitation, from Al 2 O 3 .
  • FIG. 1 wafer support 203 rests upon support 212 that may be fabricated, for example, and without limitation, from Al 2 O 3 .
  • cathode assembly 205 is fabricated from a conductive material such as, for example, and without limitation, from aluminum, and couples power between RF bias power source 210 and wafer support 203 .
  • RF bias power source 210 supplies power at a frequency in a range from about 1 MHz to about 100 MHz, and preferably, for example, and without limitation, at a frequency equal to about 13.56 MHz (in accordance with one embodiment of the present invention, power source 210 outputs power substantially at a fixed frequency, and includes a variable impedance match that may be fabricated in accordance with any one of a number of methods that are well known to those of ordinary skill in the art).
  • Wafer pedestal 203 further includes channels (not shown) through which a heat exchange fluid flows to a heat exchanger that is fabricated in accordance with any one of a number of methods that are well known to those of ordinary skill in the art to control the temperature of wafer support 203 .
  • a self-chucking action is generated which acts to hold wafer 300 to wafer support 203 .
  • wafer pedestal 200 is fabricated in accordance with an embodiment of a “biasable, low-T, ESC,” in addition to the mechanisms described above with respect to the biasable, low-T chuck, wafer pedestal 200 would further include: (a) an electrostatic chucking mechanism that is fabricated in accordance with any one of a number of methods that are well known to those of ordinary skill in the art; and (b) a wafer backside cooling mechanism that is fabricated in accordance with any one of a number of methods that are well known to those of ordinary skill in the art.
  • the ESC may be a monopolar or a bipolar ESC that are well known to those of ordinary skill in the art.
  • the wafer backside cooling mechanism can flow a heat transfer gas, for example, and without limitation, helium, across the backside of wafer 300 .
  • a heat transfer gas for example, and without limitation, helium
  • Such flow of heat transfer gas can take place in accordance with one or methods that are well known to those of ordinary skill in the art in one or more zones at pressures in such one or more zones in a range, for example, and without limitation, from about 2 Torr to about 16 Torr.
  • the ESC may be utilized to provide better control of wafer temperature uniformity, and to avoid temperature runaway due to potentially high thermal loads during particular stripping applications.
  • wafer pedestal 200 is fabricated in accordance with an embodiment of a “biasable, high-T chuck,” in addition to the mechanisms described above with respect to the biasable, low-T chuck, wafer pedestal 200 would further include a heater such as, for example, and without limitation, a resistive heater that is fabricated in accordance with any one of a number of method that are well known to those of ordinary skill in the art.
  • a resistive heating element would be included within wafer support 203 in accordance with any one of a number of methods that are well known to those of ordinary skill in the art, and such element would be powered by a power supply.
  • the high-T chuck would include channels through which a heat exchanger fluid would flow to a heat exchanger to help control the temperature in conjunction with feedback information from temperature measurement devices in a manner that is well known to those of ordinary skill in the art.
  • temperature measurement devices such as thermocouples would be used in accordance with any one of a number of methods that are well known to those of ordinary skill in the art to measure the temperature of a surface of wafer support 203 .
  • the temperature measurement devices could measure the temperature of the heat exchange fluid.
  • wafer support 203 need not be fabricated from a conductive material. However, if wafer support 203 is not fabricated from a conductive material, the material must still have sufficient thermal conductivity to enable the temperature of wafer 300 to be maintained in the desired range.
  • wafer pedestal 200 is fixed in position.
  • pins that can be extended through wafer pedestal 200 are used in a conventional manner to enable wafer 300 to be transferred from a robot arm that is moved into chamber 190 through an opening in chamber wall 195 .
  • wafer 300 is moved into chamber 190 by the robot arm, the pins are extended to lift wafer 300 from the robot arm, the robot arm is withdrawn from chamber 190 , and the pins are retracted so that wafer 300 is brought to rest on wafer support 203 .
  • Wafer 300 is similarly removed from chamber 190 after processing is complete.
  • wafer pedestal 200 may be moved, for example, in a vertical direction, in a conventional manner to change a distance between wafer 300 disposed on wafer pedestal 200 and gas distribution plate 180 .
  • pins may also be used to enable wafer 300 to be transferred from, or to, a robot arm that is inserted into and taken out of chamber 190 .
  • a plasma is generated in chamber 190 to enhance stripping rates over those obtained by use of remote plasma source 100 alone.
  • the plasma is generated by applying RF power to an embodiment of wafer pedestal 200 that is biasable.
  • reactor 1000 provides a substantially uniform plasma by including one or more of the following: (a) a wafer support assembly that includes a conductive peripheral structure (for example, and without limitation, a ring), which conductive peripheral structure is grounded; (b) a DC grounded gas distribution plate (advantageously, a DC grounded gas distribution plate creates a uniform plasma since there is a uniform grounding plane above wafer 300 ); (c) a grounded chamber body 195 ; and (d) a relatively narrow gap, for example, and without limitation, in a range from about 0.5 to about 3 inches between wafer 300 or the top of wafer support 203 and gas distribution plate 180 .
  • the above-described features enable a substantially uniform plasma to be generated in chamber 190 that is effective in enhancing stripping rates over those obtained by use of remote plasma source 100 alone for the following reasons.
  • the wafer support assembly, the grounded chamber body and the grounded gas distribution plate create a capacitively coupled plasma apparatus having a large ratio of anode area to cathode area.
  • a large ratio of anode area to cathode area increases ion bombardment to wafer 300 for a given amount of applied bias power.
  • the relatively narrow gap provides an approximation of the anode as an infinite plane, and as such, leads to a uniform plasma.
  • the gap is too small for a particular application, the plasma becomes unstable, whereas if the gap is too large, there is no stripping rate enhancement over that obtained by use of remote plasma source 100 alone.
  • the size of the gap may be adjusted routinely without undue experimentation by one of ordinary skill in the art to optimize its effect on reactor performance for a particular application.
  • the grounded gas distribution plate provides a uniform grounding plane above the wafer, and thereby, enhances the uniformity of the plasma.
  • embodiments of the present invention that provide a large ratio of anode area to cathode area substantially reduce sputtering from gas distribution plate 180 , and it is believed that this has a doubly beneficial effect.
  • reduced sputtering may reduce the generation of contaminants from gas distribution plate 180
  • reduced sputtering may reduce surface recombination at the orifices of gas distribution plate 180 .
  • embodiments of the present invention enable aluminum to be used to fabricate gas distribution plate 180 without wafer contamination resulting from sputtered aluminum, and without excess recombination.
  • gas distribution plate 180 may be fabricated as a SiC gas distribution plate to produce a gas distribution plate that will produce ultra-low levels of contamination.
  • gas distribution plate 180 may be fabricated as a SiC gas distribution plate having an annulus of a sputtered conductive material such as, for example, and without limitation, aluminum or titanium, to reduce electrical contact resistance to SiC.
  • the use of liners 170 at least in gas distribution plenum 160 , provides that gas species entering chamber 190 through gas distribution plate 180 are substantially all radicals (neutral species), i.e., there are substantially no ions entering chamber 190 .
  • ion concentration uniformity in the plasma is controlled solely by the application of RF bias power to wafer pedestal 200 .
  • such embodiments provide a substantially uniform plasma that minimizes device damage on the wafer (it is believed that device damage is caused by currents flowing through devices on the wafer, and non-uniform charge build-up on a wafer caused by non-uniform plasmas produce such currents).
  • the above-described benefits of embodiments utilizing a grounded gas distribution plate namely, uniform plasma and large ratio of anode area to cathode area
  • are achieved without certain detriments of using aluminum or SiC to fabricate the gas distribution plate as described above, such pitfalls being low strip rates due to high radical surface recombination of aluminum relative to quartz, and aluminum contamination).
  • wafer support 203 is surrounded by wafer support (or pedestal) focus ring assembly 211 that includes: (a) dielectric peripheral structure 207 that is supported by wafer support 203 ; and (b) conductive peripheral structure 209 that is supported by dielectric peripheral structure 207 . Further, as shown in FIG. 1 , conductive peripheral structure 209 is electrically connected to grounded chamber body 195 .
  • FIG. 2 shows a pictorial representation of wafer holder 200 and surrounding focus ring 211 that is fabricated in accordance with one or more embodiments of the present invention.
  • dielectric peripheral structure 207 surrounds wafer support 203 , and provides a dielectric break between wafer support 203 and conductive peripheral structure 209 .
  • structure 207 is fabricated for example, and without limitation, of quartz. Note that structure 207 may be exposed to ion bombardment, and as such (given the proximity of structure 207 to the wafer's edge), ought to be fabricated from materials that, if sputtered, do not contaminate the wafer.
  • structure 209 is fabricated for example, and without limitation, of aluminum or titanium.
  • leg 207 1 of structure 207 rests upon a ledge formed in wafer support 203
  • leg 207 2 of structure 207 is a vertically extending lip that acts to confine and center wafer 300 on wafer pedestal 200
  • leg 207 3 of structure 207 supports conductive structure 209 .
  • wafer 300 is centered on wafer pedestal 203 .
  • an annular space separates an edge of wafer 300 and leg 207 2 of structure 207 . This annular space provides a predetermined tolerance for positioning wafer 300 on wafer pedestal 203 .
  • leg 207 2 must be sufficiently high so that leg 207 2 can contain wafer 300 , while not being so high as to create plasma non-uniformities at the edge of wafer 300 .
  • Appropriate dimensions for structure 207 can be determined routinely without undue experimentation by one of ordinary skill in the art in light of these considerations.
  • leg 209 1 of structure 209 rests upon leg 207 2 of structure 207 , and leg 209 2 of structure 209 extends toward chamber wall 195 .
  • leg 209 2 of structure 209 is electrically connected to chamber wall 195 .
  • a gap between leg 209 2 of structure 209 and chamber wall 195 provides a conduit for gases to be evacuated from chamber 190 by an exhaust pump (not shown).
  • the size of the gap is determined by the following considerations. If the gap is too small, conductance through the gap may be too small for gas flow to be in a reasonably wide range of values, and this in turn, may limit the pressure to be in an undesirably small range of pressures values. On the other hand, if the gap is too large, pumping uniformity may be compromised, and this in turn, may impact plasma uniformity.
  • wafer pedestal focus ring assembly 211 comprises three structures: (a) an inner, electrically conductive peripheral structure that rests on wafer support 203 (fabricated for example, and without limitation, of aluminum), and that acts to extend the effective area of wafer support 203 to provide plasma uniformity at the edge of the wafer; (b) an intermediate, non-conductive ring (fabricated for example, and without limitation, of quartz); and (c) an outer, electrically conductive ring (fabricated for example, and without limitation, of aluminum).
  • the outer, electrically conductive ring is electrically connected to chamber wall 195 .
  • the intermediate non-conductive ring may be fabricated with a vertical leg like that of leg 207 2 of structure 207 to capture and center wafer 300 .
  • the inner electrically conductive peripheral structure may be fabricated as a part of wafer support 203 .
  • conductive peripheral structure 209 which is electrically connected to grounded chamber wall 195 , and which surrounds the outer perimeter of wafer 300 , adds significantly to the effective anode grounded area of the capacitively-coupled plasma reactor fabricated in accordance with one or more embodiments of the present invention.
  • the effective anode grounded area is the sum of the grounded areas that are directly exposed to the plasma.
  • the cathode area is equal to about the area of the wafer.
  • the overall ratio of the anode area to the cathode area is in a range from about 4:1 to about 5:1.
  • the voltage drop of the cathode to the anode i.e., the D.C.
  • the D.C. bias which accelerates ions, or the potential between the plasma and the cathode scales with the ratio of anode area to cathode area to about at least the 2.5 power.
  • the D.C. bias scales in a range from about 32:1 to about 56:1.
  • most of the usable power is used to maximize ion bombardment on the wafer.
  • this maximizes the stripping rate, and minimizes sputtering of grounded material, which in turn, minimizes contamination.
  • processing chamber 190 affects the characteristics of plasmas formed therein such as plasma uniformity at the surface of the wafer, as well as stripping rates.
  • a slit-valve cut-out is an aperture that is cut into chamber wall 195 through which wafers are transferred into, and out of, processing chamber 190 from a transfer chamber) resulted in an image of the slit-valve cut-out being reflected on the wafer.
  • processing chamber 195 includes inner slit-valve door 217 (the chamber also includes a conventional slit valve that is disposed in the transfer chamber side of chamber wall 195 ).
  • inner slit-valve door 217 is moved below the wafer plane by a motor (not shown).
  • inner slit-valve door 217 is raised to enclose the slit-valve cut-out, and thereby, to provide symmetrical chamber walls relative to the wafer plane.
  • this provides a 360° symmetrical plasma environment.
  • wafers are transferred into and out of stripping reactor 1000 at atmospheric pressure.
  • processing chamber 190 has to be vented to atmospheric pressure.
  • a sufficient amount of gas for example, and without limitation, N 2 , has to be pumped into processing chamber 190 so that the pressure rises to atmospheric pressure.
  • this venting operation needs to take place as quickly as possible. It has been discovered that venting is inhibited by the size of the vent line, i.e., a line connecting a gas supply to processing chamber 190 .
  • a standard sized vent line rapidly becomes depleted of gas, and in order for venting to take place in a time that does not impact processing throughput, the vent line is required to be impractically large.
  • a venting mechanism that is fabricated in accordance with one or more embodiments of the present invention.
  • a gas accumulator i.e., a large vessel is located near reactor 1000 , which vessel is of sufficient size to provide a mass of gas that is sufficient to vent processing chamber 190 in a predetermined amount of time. In use, during a venting operation, the gas in the gas accumulator is depleted.
  • the time taken by subsequent processing steps provides a sufficient amount of time to replenish the gas in the gas accumulator without impacting throughput.
  • the volume of the gas accumulator can be made much smaller than the volume of processing chamber 190 by increasing the pressure of the gas contained therein.
  • Appropriate volumes for the gas accumulator, pressures for gas stored therein, and distance of the gas accumulator from processing chamber 190 can be determined routinely by one or ordinary skill in the art without undue experimentation.
  • a gas accumulator having a volume in a range from about 1 L to about 10 L and a back pressure in a range from about 30 psig to about 100 psig is useful for typical stripping applications.
  • FIG. 3 shows a pictorial representation of stripping reactor 1000 having an exhaust system that includes throttle valve 400 and isolation valve 410 (“iso-valve 410 ”).
  • a conventional venting sequence for venting with good particle control is a “dual slow-to-fast” venting sequence.
  • throttle valve 400 and iso-valve 410 are closed, and processing chamber 190 is immediately vented with, for example, N 2 .
  • One problem with this conventional venting sequence is that the largest flow rate for a fast vent with adequate particle control is typically about 1 standard liter per second. However, for a typical 300 mm chamber, the chamber volume is in a range from about 15 to about 40 liters, so that the best case vent times using the conventional dual slow-to-fast method is limited to a range from about 15 to about 40 seconds. This may be unacceptable for typical stripping application throughput requirements.
  • An alternative conventional method for venting utilizes a nickel diffuser that has a vent time of up to about 5 liters per second. However, this is undesirable since nickel is a potentially undesirable contaminant.
  • throttle valve 400 is used to control the effect of flow inertia, which effect is believed to cause particle deposition.
  • iso-valve 410 is open, and throttle valve 400 (which can have a variable open area) is used to control the pressure in processing chamber 190 .
  • control of throttle valve 400 and iso-valve 410 is accomplished by a system controller (not shown) using software that is generated in accordance with any one of a number of methods that are well known to those of ordinary skill in the art.
  • a venting gas for example, and without limitation, N 2
  • N 2 a venting gas
  • throttle valve 400 is gradually shut, and finally, isovalve 430 is shut to maintain a mass barrier between processing chamber 190 and a vacuum pump (not shown). It is believed that by venting in this manner rather than immediately shutting off throttle valve 400 and iso-valve 410 in accordance with the conventional method, flow inertia is minimized. Advantageously, this enables better particle control, and faster vent times.
  • multiple gas injectors into processing chamber 190 can be used to reduce vent times even further.
  • there may be two vent locations a primary one being at a top of remote plasma source 100 shown in FIG. 1 , and a secondary one at a bottom of processing chamber 190 .
  • remote plasma source 100 is used as a natural baffle to establish laminar flow.
  • the flow through the secondary vent is kept much lower than that through the primary vent to avoid excessive upward flow inertia which might deposit particles on the wafer. It is believed that such embodiments might achieve vent times as short as ten (10) seconds.
  • an endpoint detector is utilized to determine a stripping process endpoint.
  • a portion of radiation generated in processing chamber 190 is collected by photodiode assemblies mounted against, for example, and without limitation, sapphire or quartz windows in chamber wall 195 of processing chamber 190 .
  • the photodiode assemblies may comprise bandpass filters for radiation at predetermined wavelengths and photodiodes sensitive to such radiation.
  • a portion of the radiation emitted in processing chamber 190 may be collected by one or more optical fibers, and output from the one or more optical fibers may be directed to, for example, a spectrometer.
  • the spectrometer may include, for example, and without limitation, a grating that separates radiation at various wavelengths and directs such radiation to impinge upon one or more photodetectors that are may be disposed, for example, in a line to spatially resolve radiation at one or more predetermined wavelengths in accordance with any one of a number of methods that are well known to those of ordinary skill in the art (in accordance with such embodiments, the photodiodes may be sensitive to each of the one or more predetermined wavelengths). Output from the photodetectors is examined in accordance with any one of a number of methods that are well known to those of ordinary skill in the art to determine a stripping process endpoint.
  • FIG. 4 and 5 show pictorial representations of emission lines that can be used to determine a stripping process endpoint in accordance with one or more embodiments of the present invention.
  • FIG. 4 shows a representation of the intensity of the 777 nm emission line from oxygen that can be monitored to determine a stripping process endpoint.
  • region 500 corresponds to a portion of the stripping process wherein photoresist is being removed from the wafer.
  • Region 510 shown in FIG. 4 corresponds to a time when the photoresist has been cleared.
  • the regions can be identified by analyzing the curve shown in FIG. 4 , or by analyzing a derivative of this curve in accordance with any one of a number of methods that are well known to those of ordinary skill in the art.
  • FIG. 4 shows a representation of the intensity of the 777 nm emission line from oxygen that can be monitored to determine a stripping process endpoint.
  • region 500 corresponds to a portion of the stripping process wherein photoresist is being removed from the wafer.
  • region 520 corresponds to a portion of the stripping process wherein photoresist is being removed from the wafer.
  • Region 530 shown in FIG. 5 corresponds to a time when the photoresist has been cleared.
  • the regions can be identified by analyzing the curve shown in FIG. 5 , or by analyzing a derivative of this curve in accordance with any one of a number of methods that are well known to those of ordinary skill in the art.
  • FIG. 9 shows a more detailed pictorial representation of certain aspects of stripping process apparatus or reactor 1000 shown in FIG. 1 .
  • stripping reactor 1000 comprises remote plasma source 100 .
  • Plasma species generated in remote plasma source 100 flow through exit tube 163 into gas distribution plenum 160 .
  • gas distribution plenum liner 170 is extended into processing chamber 190 to form chamber liner portions 170 1 and 170 2 .
  • FIG. 9 shows a more detailed pictorial representation of certain aspects of stripping process apparatus or reactor 1000 shown in FIG. 1 .
  • stripping reactor 1000 comprises remote plasma source 100 .
  • Plasma species generated in remote plasma source 100 flow through exit tube 163 into gas distribution plenum 160 .
  • gas distribution plenum liner 170 is extended into processing chamber 190 to form chamber liner portions 170 1 and 170 2 .
  • FIG. 9 shows a more detailed pictorial representation of certain aspects of stripping process apparatus or reactor 1000 shown in FIG. 1 .
  • endpoint detector port 1100 enables radiation to be observed by endpoint detectors disposed outside chamber walls 195 (for example, and without limitation, fabricated from aluminum), and slit-valve cut-out 1110 enables wafers to be transferred into and out of processing chamber 190 from a transfer chamber (not shown).
  • inner slit-valve door 217 (for example, and without limitation, fabricated from aluminum) is moved by motor 1217 to enclose slit-valve cut-out 1110 to provide symmetrical chamber walls relative to the wafer plane.
  • wafer support 203 (for example, and without limitation, fabricated from aluminum) includes channels 1200 to enable flow of heat exchange fluid (for example, and without limitation, water) therethrough.
  • the heat exchange fluid flows into channels 1200 through inlet piping 1210 (outlet piping through which the heat exchange fluid flows out of channels 1200 is not shown).
  • lift pin 1250 (for example, and without limitation, fabricated from alumina) is representative of a multiplicity of lift pins that extend through lift pin guides (for example, and without limitation, fabricated from VespelTM) in wafer support 203 (not shown) in a conventional manner.
  • Lift pin 1250 rides on ring 1260 that is raised and lowered by bellows 1270 in a conventional manner to lift wafers off, and to place wafers onto, a robot arm inserted through slit-valve cut-out 1110 from the transfer chamber.
  • electrical connector 1280 provides electrical contact between wafer support 203 and RF bias power supply 210 .
  • dielectric peripheral structure 207 (for example, and without limitation, fabricated from fused quartz) is supported by wafer support 203
  • conductive peripheral support 209 (for example, and without limitation, fabricated from aluminum) is supported by dielectric peripheral structure 207 .
  • conductive peripheral structure 209 is electrically connected to grounded chamber body 195 by ground structures 1310 and 1320 (for example, and without limitation, fabricated from aluminum).
  • wafer support 203 is surrounded by insulator ring 1340 (for example, and without limitation, fabricated from fused quartz), and wafer support 203 rests on insulator base 1330 (for example, and without limitation, fabricated from alumina).
  • One or more embodiments of the present invention include power being applied to remote plasma source 100 to create a plasma only therein; one or more embodiments of the present invention include RF bias power being applied to wafer support 203 to create a plasma only in processing chamber 190 of stripping reactor 1000 ; and one or more embodiments of the present invention (a dual mode embodiment) include RF bias power being applied to wafer support 203 to create a plasma in processing chamber 190 of stripping reactor 1000 , and power being applied to remote plasma source 100 to generate a plasma therein.
  • stripping uniformity is sensitive to flow rates (and, therefore to the hole pattern in gas distribution plate 180 ), whereas for stripping application that utilize RF bias power alone to generate a plasma in processing chamber 190 , stripping uniformity is less sensitive to flow rates, but it is sensitive to plasma uniformity (and therefore to uniformity of processing chamber geometry).
  • stripping applications can be carried out utilizing an oxidizing precursor gas, for example, and without limitation, O 2 , at a flow rate into remote plasma source 100 in a range from about 100 to about 10,000 sccm, and preferably, in a range from about 500 to about 2000 sccm.
  • power is applied to remote plasma source 100 in a range from about 600 to about 6000 W, and preferably, in a range from about 3000 to about 5000 W.
  • pressure in process chamber 190 is maintained in a range from about 0.3 to about 3 Torr, and preferably, in a range from about 0.4 to about 1 Torr.
  • the temperature of wafer support 203 is maintained in a range from about 15 to about 100° C., and preferably, in a range from about 40 to about 80° C.
  • other useful oxidizing precursor gases include O 3 , N 2 O, H 2 O, CO, CO 2 , alcohols, and any combinations of these gases.
  • RF bias power may be applied to wafer support 203 in a range from about 100 to 2000 W, and preferably, in a range from about 500 to about 1000 W.
  • other useful oxidizing precursor gases include O 3 , N 2 O, H 2 O, CO, CO 2 , alcohols, and any combinations of these gases.
  • optional additional precursor gases include gases such as, for example, and without limitation, N 2 , H 2 O, H 2 , forming gas, NH 3 , CH 4 , C 2 H 6 , and halogenated compounds such as, for example, and without limitation, CF 4 , C 2 F 6 , C 3 F 8 , C 4 F 8 , CH 3 F, CHF 3 , CH 2 F 2 , NF 3 , and any combinations of the above gases in amounts in a range from about 0.1 to about 10%.
  • FIG. 6 shows stripping rates obtained for a DUV photoresist as a function of RF bias power being applied to wafer support 203 to create a plasma in processing chamber 190 of stripping reactor 1000 for different conditions at low temperature: (a) RF bias power applied alone; (b) a dual plasma mode; and (c) a dual plasma mode with higher gas flows than those used for (b).
  • photoresist removal, or stripping, rates as high as 12,000 ⁇ /min have been achieved on 300 mm wafers with RF bias power applied alone, which photoresist removal, or stripping, rate is considerably higher than that achieved using prior art processes.
  • photoresist removal, or stripping rates in excess of 20,000 ⁇ /min have been achieved, which photoresist removal, or stripping, rates are comparable to stripping rate performance of high temperature strip processes (i.e., the additional use of the remote plasma source increases the stripping rate by about 40 to 50%).
  • the process conditions used to obtain the results shown in FIG. 6 include, a flow rate of O 2 into remote plasma source 100 in a range of from about 500 to about 2000 sccm, a pressure in processing chamber 190 in a range from about 0.4 to about 1 Torr, and a temperature of wafer support 203 in a range from about 30 to about 80° C.
  • FIG. 6 is shown to illustrate a trend in differences between stripping rates achieved by use of RF bias power applied alone and by use of a dual plasma mode, stripping rates as high as about 3 ⁇ /min have been achieved by use of a dual plasma mode.
  • a plasma is created in processing chamber 190 to create a flux of ions, and the ions bombard the surface of the photoresist, and in particular, the ions bombard a crust formed on the surface during ion implantation.
  • ion implant processes form a hydrogen-depleted, dense, and relatively inert crust on the surface of the photoresist, and that ion bombardment generates chemically active sites in the crust.
  • ion bombardment by O 2 + , and perhaps other ions excites thermal, vibrational, and/or rotational states of C—H bonds in the crust to form chemically active sites that can react with neutral gas species (for example, radicals) to form volatile products.
  • neutral gas species for example, radicals
  • ion-enhanced stripping enables a stripping process to remove photoresist crust, bulk photoresist, and residue at lower temperatures than are utilized for prior art stripping processes.
  • a plasma is formed in processing chamber 190 by flowing O 2 gas into processing chamber 190 , and by applying RF bias power to wafer support 203 (this creates a plasma of O radicals, O 2 + ions, and other excited state species).
  • the RF bias power causes the ions to bombard the crust formed on the photoresist surface. It is believed that the ion bombardment creates chemically active sites, and it is further believed that the ions do not necessarily participate directly in chemical reactions with the photoresist. As a result, it is believed the O 2 + concentration in the gas phase remains roughly constant.
  • FIG. 7 shows time-varying optical emission spectra for ion-enhanced photoresist stripping of a 1.2 micron DUV blanket resist on a Si substrate in accordance with one or more embodiments of the present invention where an O radical peak is reduced in intensity during photoresist stripping while an O 2 + ion peak remains relatively constant.
  • FIG. 8 shows an endpoint trace for O radical species during a dual plasma mode stripping process on the As-implanted, 1.2 micron DUV blanket photoresist wafer, 80 KeV, 5E15 dose.
  • the crust layer was removed in about 14 sec and the bulk photoresist was removed in about 29 sec.
  • such a dual plasma mode stripping process eliminates photoresist popping, and enables production-worthy throughput in a single chamber without additional complexities of a multi-temperature chamber or two chambers in series, one at low temperature for crust removal, and the other at high temperature for bulk photoresist strip.
  • fluorine and/or reducing chemistries can be added, if desired, after stripping, solely for the purpose of residue removal.
  • quartz liners can be added to the inner surfaces of chamber 190 , and the material of gas distribution plate 180 can be changed from a conductive material to quartz.
  • further embodiments of the present invention include plasma processing chambers for processing wafers to fabricate at least a portion of an integrated circuit which are like the stripping reactors described above without use of the remote plasma source.
  • plasma processing chamber may be utilized for deposition and etching applications.

Abstract

One embodiment of the present invention is a stripping reactor that includes: (a) a remote plasma source disposed to output a gas; (b) a gas distribution plate connected to ground that transmits the gas output from the remote plasma source to a processing chamber; (c) a wafer support disposed in the processing chamber; (d) a wafer support assembly disposed about the wafer pedestal that includes an outer conductive peripheral structure connected to ground; and (e) an RF power supply connected to supply RF power to the wafer support.

Description

    TECHNICAL FIELD OF THE INVENTION
  • One or more embodiments of the present invention relate to method and apparatus that is useful for stripping applications (as used herein the term stripping includes photoresist removal, and cleaning processes such as, for example, residue removal) used to manufacture integrated circuit (“IC”) devices, including, without limitation, post-implant stripping, post-oxide-etch stripping, post-metal-etch stripping, post-low-k-etch stripping, post-polysilicon-etch stripping, and so forth. In addition, it should be understood that one or more embodiments of the present invention may also be used in areas of manufacturing that utilize IC manufacturing techniques, such as, for example, and without limitation, processing of organic-based substrates used in plastic light emitting diodes (“LEDs”), microfluidics, and optical devices.
  • BACKGROUND OF THE INVENTION
  • As a part of a traditional integrated circuit (“IC”) manufacturing process, various layers of dielectric, semiconducting, and conducting films (such as, for example, and without limitation, silicon dioxide, polysilicon, and metals, metal compounds and alloys) are deposited in layers on a wafer or substrate (such as, for example, and without limitation, a silicon substrate or a glass substrate). Features are then defined in these films by lithography and etching. To do this, the wafer is coated with a layer of resist (for example, and without limitation, a photoresist), the resist is patterned, and the pattern is transferred to underlying layers by etching—with the patterned resist layer serving as an etch mask. Many such etch processes leave resist and post-etch residues on the wafer or substrate that must be removed before the next processing step.
  • Some conventional photoresist stripping chambers utilize: (a) a remote plasma source, sometimes referred to as a downstream plasma source (typically, a microwave or an RF energy-based source) to generate a plasma (in an attempt to reduce damage to devices being fabricated on the wafer that might be caused by exposure of the wafer to ions and UV light generated by the plasma); and (b) a gas distribution system that includes: (i) quartz components, (ii) quartz liners to cover chamber surfaces (in an attempt to minimize a reduction of the concentration of radicals generated by the remote, or downstream, plasma source due to heterogeneous recombination on inner chamber surfaces), (iii) a pumping system to maintain a vacuum, and (iv) a high temperature wafer pedestal to generate pedestal temperatures that are typically in a range from about 150 to about 350° C.
  • Conventional photoresist stripping processes use O2 as a primary gas precursor with additives such as, for example, N2, H2O, NH3, and a forming gas (for example, N2/4% H2) for strip rate enhancement, passivation, and residue removal and softening. In a typical stripping process, the chamber pressure is in a range from about 0.1 to about 10 Torr, and gas flow rates are in a range from about 0.5 to about 10 slm. With such O2 chemistries, the stripping process is thermally activated, and as a result, relatively high temperatures are required. This is problematic because high temperatures can “harden” etch residues, thereby increasing the difficulty of residue removal in either DI-H2O (de-ionized water) or other solvents.
  • It is known to add fluorine containing gases such as, for example, CF4 and NF3, to O2 gas precursor chemistries to provide a fluorine chemistry that reduces the thermal activation energy required for stripping processes. For example, the use of such a fluorine chemistry may: (a) reduce the thermal activation energy from a range from about 6 to about 12 kcal/mole to a range from about 0.5 to about 4 kcal/mole; and (b) enable stripping rates in a range from about 5000 to about 10,000 Å/min at temperatures below about 150° C. However, the use of a fluorine chemistry can have undesired side effects. For example, fluorine radicals (“F radicals”) generated by a remote, or downstream, plasma source can etch quartz components in a stripping chamber. In addition, F radicals can attack dielectric, semiconducting, and conducting films on the wafer, thereby reducing their selectivity relative to photoresist.
  • In addition to the above-described issues, during at least one type of implant process, a crust is formed on the surface of the photoresist, which crust is often described in the art as a carbonized layer. As is known, this crust is resistant to conventional stripping processes. To remove the crust, stripping might ordinarily occur at high temperature. However, as is known, this would be problematic since volatile solvents that are trapped beneath the crust layer might rupture the crust, thereby generating particles. Consequently, conventional post-implant stripping processes typically include multiple steps: (a) one or more steps directed to crust removal; (b) one or more steps directed to bulk photoresist stripping; and (c) one or more steps directed to residue removal. In accordance with such conventional post-implant stripping processes, the crust is removed at low temperatures accompanied by the use of an RF bias, or by the addition of fluorine containing gases. Although the addition of fluorine containing gases to oxygen based plasmas reduces the thermal activation energy required for stripping (see above), the selectivity of the stripping to oxide can be compromised. For example, the use of CF4 or NF3, even at low temperatures, can lead to oxide etching and microloading (i.e., etching differences between densely and more sparsely populated features).
  • U.S. Pat. Nos. 5,795,831, 5,882,489, and 5,908,319 disclose photoresist stripping and cleaning apparatus that utilize reactive ion etching (“RIE”) processes in combination with microwave remote, or downstream, plasma sources, such apparatus having post-implant stripping as a primary application. In addition, these patents disclose stripping rates of about 2000 Å/min for a pure RIE mode with reasonable selectivity to oxide, and stripping rates of about 6000 Å/min for a dual power mode (use of RIE and a downstream plasma source) for 200 mm substrates. As a result, throughput is compromised due to these relatively low stripping rates.
  • U.S. Pat. Nos. 5,534,231, 5,811,022, and 6,143,129 disclose photoresist stripping and etching apparatuses that utilize a non-remote, inductively coupled plasma (“ICP”) source. These patents disclose different methods to reduce the ion density of the plasma above the wafer surface. In addition, U.S. Pat. No. 5,198,634 discloses a capacitively coupled, parallel plate reactor (wherein power is delivered to an upper electrode/showerhead) operating at relatively low energy/pressure ratios (E/P of <0.150 for E in watts/cm3 and P in Torr) to minimize plasma damage, and still maintain reasonable stripping rates. The operating pressure disclosed is in a range from about 10 to about 50 Torr.
  • U.S. Pat. No. 6,203,657 B1 discloses an inductively coupled remote, or downstream, plasma source stripping chamber that is designed to maximize dissociation, and reduce the ion density in a remote source only mode. The patent also discloses the use of an RF-biasable chuck.
  • European Patent Number EP0942463A2 discloses an RIE process for stripping ion-implanted photoresist using a chemistry that includes H2O, He, and CF4, as well as O2 to minimize oxide loss. However, this patent discloses relatively low stripping rates of about 4400 Å/min at best. U.S. Pat. No. 5,209,803 discloses a parallel plate reactor with power delivery to an upper electrode, and a grounded grid inserted between the powered electrode and wafer to reduce ion bombardment.
  • A number of strip vendors, have developed multi-temperature stripping recipes for use in post-implant stripping that entail the use of lamps to heat the substrate, and stripping with conventional O2/N2 chemistries after removing the crust layer with RF-bias at low temperatures. However, such recipes are problematic because the stripping chamber is complex, and hence, overall system reliability is compromised. In addition, throughput is reduced due to the transient heating time between low and high temperature steps. In further addition, system configurations having chambers dedicated to low temperature process steps, and chambers dedicated to high temperature process steps also suffer from throughput losses because of added overhead due to inter-chamber wafer transfers.
  • In light of the above, there is a need for method and apparatus that address one or more of the above-described problems.
  • SUMMARY OF THE INVENTION
  • One or more embodiments of the present invention advantageously solve one or more of the above-identified problems. In particular, one embodiment of the present invention is a stripping reactor that comprises: (a) a remote plasma source disposed to output a gas; (b) a gas distribution plate connected to ground that transmits the gas output from the remote plasma source to a processing chamber; (c) a wafer support disposed in the processing chamber; (d) a wafer support assembly disposed about the wafer pedestal that includes an outer conductive peripheral structure connected to ground; and (e) an RF power supply connected to supply RF power to the wafer support.
  • BRIEF DESCRIPTION OF THE FIGURE
  • FIG. 1 shows a pictorial representation of salient features of a stripping process apparatus that is fabricated in accordance with one or more embodiments of the present invention;
  • FIG. 2 shows a pictorial representation of a wafer holder and surrounding focus ring that is fabricated in accordance with one or more embodiments of the present invention;
  • FIG. 3 shows a pictorial representation of a stripping reactor having an exhaust system that includes a throttle valve and an isolation valve;
  • FIGS. 4 and 5 show pictorial representations of emission lines that can be used to determine a stripping process endpoint in accordance with one or more embodiments of the present invention;
  • FIG. 6 shows average stripping rates for a DUV photoresist as a function of RF bias power applied to a wafer support to generate a plasma in the processing chamber of the stripping reactor for different conditions: (a) RF bias power applied alone; (b) RF bias power applied to the wafer support to generate a plasma in the processing chamber, and power applied to the remote plasma source to generate a plasma therein (a dual plasma mode); and (c) the dual plasma mode with higher gas flows than those used for (b);
  • FIG. 7 shows time-varying optical emission spectra for ion-enhanced photoresist stripping of a 1.2 micron DUV blanket resist on a Si substrate in accordance with one or more embodiments of the present invention where an O radical peak is reduced in intensity during photoresist stripping while an O2 + ion peak remains relatively constant;
  • FIG. 8. shows an endpoint trace for O radical species during a dual plasma mode stripping process on an 80 keV, 5E15 dose, As-implanted, 1.2 micron DUV blanket photoresist wafer; and
  • FIG. 9. shows a more detailed pictorial representation of certain aspects of the stripping process apparatus shown in FIG. 1.
  • DETAILED DESCRIPTION
  • Advantageously, one or more embodiments of the present invention enable stripping process applications (as used herein the term stripping includes photoresist removal, and cleaning processes such as, for example, residue removal) such as, for example, and without limitation, post-implant stripping, post-oxide-etch stripping, post-metal-etch stripping, post low-k-etch stripping, post-polysilicon-etch stripping, and so forth. In addition, it should be understood that one or more embodiments of the present invention may also be used in areas of manufacturing that utilize integrated circuit (“IC”) manufacturing techniques, such as, for example, and without limitation, processing of organic-based substrates used in plastic light emitting diodes (“LEDs”), microfluidics, and optical devices.
  • Stripping Reactor
  • A stripping reactor fabricated in accordance with one or more embodiments of the present invention includes a remote, or downstream, plasma source (for example, a microwave plasma source or an inductively coupled, toroidal, RF plasma source) to generate radicals for stripping. In accordance with one or more further embodiments of the present invention, the stripping reactor further includes an RF power supply that supplies RF bias power to a wafer pedestal to generate a plasma in a processing chamber of the reactor (and produce ion bombardment thereby) to enhance the stripping rates obtained by use of radicals generated by the remote plasma source alone. In accordance with one or more still further embodiments of the present invention, the stripping reactor further includes one or more of: (a) a gas distribution plate connected to ground (for example, and without limitation, a conductive gas distribution plate); (b) a wafer support assembly (for example, and without limitation, a focus ring assembly) disposed about a perimeter of the wafer support, which wafer support assembly is comprised of an insulating or non-conducting peripheral structure (for example, and without limitation, a ring) and an outer conducting peripheral structure (for example, and without limitation, a ring) that is electrically connected to a grounded chamber body; and (c) an internal chamber configuration having a relatively narrow gap between the gas distribution plate and the wafer pedestal.
  • As will be described in more detail below, one or more of such embodiments provide several advantages over stripping reactors found in the prior art. First, it is believed that the wafer support assembly, the grounded chamber body, and the grounded gas distribution plate create a capacitively coupled plasma apparatus having a large ratio of anode area to cathode area that provides increased ion bombardment on a wafer for a given amount of applied RF power. Advantageously, this enables the stripping reactor to obtain relatively high stripping rates at relatively low temperatures. Second, it is believed that the grounded gas distribution plate and the relatively narrow gap produce a substantially uniform plasma in the processing chamber of the stripping reactor. Advantageously, this uniform plasma mitigates device damage on the wafer. Third, it is believed that the large area ratio also reduces sputtering from the gas distribution plate. Advantageously, this enables the use of, for example, and without limitation, an aluminum gas distribution plate without causing excessive contamination on the wafer.
  • FIG. 1 shows a pictorial representation of salient features of stripping process apparatus or reactor 1000 that is fabricated in accordance with one or more embodiments of the present invention. As shown in FIG. 1, stripping reactor 1000 comprises remote plasma source 100 which is an RF inductively-coupled, toroidal plasma source. As shown in FIG. 1, precursor gases are input through entrance orifice 110 into tube 120 having a toroidal geometry. Tube 120 is surrounded by ferrite core 130, and wires 140 surround at least a portion of ferrite core 130. RF energy supplied by RF power supply 150 is inductively coupled to precursor gases flowing into and through tube 120 to generate a plasma therein. Advantageously, the toroidal geometry of tube 120 physically confines the plasma, efficiently generates radical species, and enhances dissociation of precursor gases. It is also believed that use of the toroidal geometry lowers the electron temperature of the plasma formed within source 100.
  • In accordance with one or more such embodiments, tube 120 is a metal tube that is cooled, for example, by flowing water therethrough (alternatively, tube 120 may be fabricated from a dielectric material such as, for example, and without limitation, a ceramic material). In accordance with one or more further such embodiments, tube 120 includes at least one dielectric region that electrically isolates a portion of tube 120 so that electrical continuity through tube 120 is broken. In accordance with one or more still further such embodiments, the inside of tube 120 is lined with a ceramic material or quartz. Appropriate liners can be chosen in accordance with any one of a number of methods that are well known to those of ordinary skill in the art to minimize recombination losses of desired species such as radicals for particular applications. In accordance with one or more still further such embodiments, power supply 150 is a high frequency, tunable power supply that enables dissociation of oxidizing, reducing, and/or fluorinating gases (in accordance with one such embodiment power supply 190 has a fixed impedance and variable frequency, for example, a frequency that is variable over a range from about 200 to about 600 kHz). Note that one or more further embodiments of the present invention exist wherein remote plasma source 100 is a remote microwave plasma source. However, it has been discovered that for stripping processes wherein only remote plasma source 100 is utilized, stripping rates obtained utilizing a remote inductively coupled plasma source as a function of power can be up to 1.5 to 2 times higher than stripping rates obtained utilizing a remote microwave plasma source. It is believed that this result is due to a higher efficiency of producing radicals for a remote inductively coupled plasma source when compared to that of a remote microwave plasma source.
  • Plasma species generated in tube 120 flow through exit tube 163, and enter gas distribution plenum 160 through orifice 165. It should be noted that although remote plasma source 100 is shown in FIG. 1 to be mounted directly above gas distribution plenum 160, further embodiments of the present invention exist wherein remote plasma source 100 is mounted in other configurations (for example, and without limitation, alongside stripping chamber 190), and wherein gas flows from the remote plasma source into a side-feed gas distribution ring, which side-feed gas distribution ring transfers the gas, in turn, into gas distribution plenum 160.
  • In accordance with one or more embodiments of the present invention, one or both of exit tube 163 and gas distribution plenum 160 may include liners. For example, as shown in FIG. 1, exit tube 163 and gas distribution plenum 160 include liners 170. Liners 170 are chosen, for example, to reduce recombination of at least desired ones of the plasma species generated by remote plasma source 100 such as, for example, radicals. In accordance with one or more such embodiments of the present invention, liners 170 are quartz liners.
  • As further shown in FIG. 1, gas distribution plate 180 forms a bottom portion of gas distribution plenum 160. In accordance with one or more embodiments of the present invention, gas distribution plate 180 is fabricated from a conductive material such as, for example, and without limitation, aluminum. In accordance with one or further embodiments of the present invention, gas distribution plate 180 is a perforated plate or showerhead, and as such, advantageously provides good flow uniformity of gas into processing chamber 190.
  • In accordance with one or more embodiments of the present invention, a distance between orifice 165 of remote plasma source 100 and gas distribution plate 180 can be optimized (routinely without undue experimentation by one of ordinary skill in the art) to compensate for competing effects of bulk residence time and surface to volume ratio of gas distribution plenum 160. In particular, specific dimensions utilized for a particular stripping application, or specific dimensions utilized for a set of particular stripping applications, may depend on one or more of the following: the chemistry used for the particular stripping application, process conditions, and the chamber materials of gas distribution plenum 160. For example, typical dimensions for a 300 mm stripping chamber include: (a) a width of gas distribution plenum 160 of about 300 mm, and (b) a distance between orifice 165 and gas distribution plate 180 in a range from about 0.5 to about 2.0 inches, and preferably in a range from about 0.75 to about 1.0 inch.
  • As further shown in FIG. 1, stripping reactor 1000 includes wafer pedestal 200. As will described below, various embodiments of stripping reactor 1000 include wafer pedestal 200 being fabricated in accordance with various aspects of the present invention. For example, a first aspect of the present invention utilizes one or more embodiments of wafer pedestal 200 that are useful for biasable, low temperature applications (a “biasable, low-T chuck”). In this context, the term low temperature refers to temperatures below about 150° C. A second aspect of the present invention utilizes one or more embodiments of wafer pedestal 200 that are useful for biasable, low temperature, electrostatic chuck (“ESC”) applications (a “biasable, low-T, ESC chuck”). A third aspect of the present invention utilizes one or more embodiments of wafer pedestal 200 that are useful for high temperature applications (a “high-T chuck”). In this context, the term high temperature refers to temperatures in a range from about 150° C. to about 450° C. A fourth aspect of the present invention utilizes one or more embodiments of wafer pedestal 200 that are useful for biasable, high-temperature applications (a “biasable, high-T chuck”). As will be described below, one or more of embodiments of the present invention may be fabricated utilizing one or more embodiments of the various aspects of the present invention to address requirements of particular stripping applications.
  • Wafer pedestal 200 shown in FIG. 1 is fabricated in accordance with an embodiment of a “biasable, low-T chuck.” As shown in FIG. 1, wafer support 203 is fabricated in accordance with any one of a number of methods that are well known to those of ordinary skill in the art from, for example, and without limitation, aluminum with an Al2O3 top surface (for example, an anodized aluminum top surface) upon which wafer 300 rests. As further shown in FIG. 1, wafer support 203 rests upon support 212 that may be fabricated, for example, and without limitation, from Al2O3. As further shown in FIG. 1, cathode assembly 205 is fabricated from a conductive material such as, for example, and without limitation, from aluminum, and couples power between RF bias power source 210 and wafer support 203. RF bias power source 210 supplies power at a frequency in a range from about 1 MHz to about 100 MHz, and preferably, for example, and without limitation, at a frequency equal to about 13.56 MHz (in accordance with one embodiment of the present invention, power source 210 outputs power substantially at a fixed frequency, and includes a variable impedance match that may be fabricated in accordance with any one of a number of methods that are well known to those of ordinary skill in the art). Wafer pedestal 203 further includes channels (not shown) through which a heat exchange fluid flows to a heat exchanger that is fabricated in accordance with any one of a number of methods that are well known to those of ordinary skill in the art to control the temperature of wafer support 203. In accordance with such embodiments, when RF bias power is supplied to cathode assembly 205 from RF bias power source 210, among other things, a self-chucking action is generated which acts to hold wafer 300 to wafer support 203.
  • Whenever wafer pedestal 200 is fabricated in accordance with an embodiment of a “biasable, low-T, ESC,” in addition to the mechanisms described above with respect to the biasable, low-T chuck, wafer pedestal 200 would further include: (a) an electrostatic chucking mechanism that is fabricated in accordance with any one of a number of methods that are well known to those of ordinary skill in the art; and (b) a wafer backside cooling mechanism that is fabricated in accordance with any one of a number of methods that are well known to those of ordinary skill in the art. In particular, the ESC may be a monopolar or a bipolar ESC that are well known to those of ordinary skill in the art. In further particular, the wafer backside cooling mechanism can flow a heat transfer gas, for example, and without limitation, helium, across the backside of wafer 300. Such flow of heat transfer gas can take place in accordance with one or methods that are well known to those of ordinary skill in the art in one or more zones at pressures in such one or more zones in a range, for example, and without limitation, from about 2 Torr to about 16 Torr. Advantageously, the ESC may be utilized to provide better control of wafer temperature uniformity, and to avoid temperature runaway due to potentially high thermal loads during particular stripping applications.
  • Whenever wafer pedestal 200 is fabricated in accordance with an embodiment of a “biasable, high-T chuck,” in addition to the mechanisms described above with respect to the biasable, low-T chuck, wafer pedestal 200 would further include a heater such as, for example, and without limitation, a resistive heater that is fabricated in accordance with any one of a number of method that are well known to those of ordinary skill in the art. In particular, a resistive heating element would be included within wafer support 203 in accordance with any one of a number of methods that are well known to those of ordinary skill in the art, and such element would be powered by a power supply. Further, as was described above with respect to a biasable, low-T chuck, the high-T chuck would include channels through which a heat exchanger fluid would flow to a heat exchanger to help control the temperature in conjunction with feedback information from temperature measurement devices in a manner that is well known to those of ordinary skill in the art. For example, in accordance with one or more embodiments, temperature measurement devices such as thermocouples would be used in accordance with any one of a number of methods that are well known to those of ordinary skill in the art to measure the temperature of a surface of wafer support 203. Alternatively, the temperature measurement devices could measure the temperature of the heat exchange fluid.
  • Whenever wafer pedestal 200 is fabricated in accordance with an embodiment of a “high-T chuck,” one would utilize an embodiment of a biasable, high-T chuck without RF power supply 210. Accordingly, for such an embodiment, wafer support 203 need not be fabricated from a conductive material. However, if wafer support 203 is not fabricated from a conductive material, the material must still have sufficient thermal conductivity to enable the temperature of wafer 300 to be maintained in the desired range.
  • In accordance with one or more embodiments of the present invention, wafer pedestal 200 is fixed in position. In accordance with one or more such embodiments, pins that can be extended through wafer pedestal 200 are used in a conventional manner to enable wafer 300 to be transferred from a robot arm that is moved into chamber 190 through an opening in chamber wall 195. As is known, wafer 300 is moved into chamber 190 by the robot arm, the pins are extended to lift wafer 300 from the robot arm, the robot arm is withdrawn from chamber 190, and the pins are retracted so that wafer 300 is brought to rest on wafer support 203. Wafer 300 is similarly removed from chamber 190 after processing is complete. In accordance with one or more further embodiments of the present invention, wafer pedestal 200 may be moved, for example, in a vertical direction, in a conventional manner to change a distance between wafer 300 disposed on wafer pedestal 200 and gas distribution plate 180. In accordance with such further embodiments, pins may also be used to enable wafer 300 to be transferred from, or to, a robot arm that is inserted into and taken out of chamber 190.
  • In accordance with one or more embodiments of the present invention, a plasma is generated in chamber 190 to enhance stripping rates over those obtained by use of remote plasma source 100 alone. In particular, the plasma is generated by applying RF power to an embodiment of wafer pedestal 200 that is biasable. In accordance with one or more of such embodiments, reactor 1000 provides a substantially uniform plasma by including one or more of the following: (a) a wafer support assembly that includes a conductive peripheral structure (for example, and without limitation, a ring), which conductive peripheral structure is grounded; (b) a DC grounded gas distribution plate (advantageously, a DC grounded gas distribution plate creates a uniform plasma since there is a uniform grounding plane above wafer 300); (c) a grounded chamber body 195; and (d) a relatively narrow gap, for example, and without limitation, in a range from about 0.5 to about 3 inches between wafer 300 or the top of wafer support 203 and gas distribution plate 180.
  • It is believed that the above-described features enable a substantially uniform plasma to be generated in chamber 190 that is effective in enhancing stripping rates over those obtained by use of remote plasma source 100 alone for the following reasons. First, it is believed that the wafer support assembly, the grounded chamber body and the grounded gas distribution plate create a capacitively coupled plasma apparatus having a large ratio of anode area to cathode area. As is known, a large ratio of anode area to cathode area increases ion bombardment to wafer 300 for a given amount of applied bias power. Second, it is believed that the relatively narrow gap provides an approximation of the anode as an infinite plane, and as such, leads to a uniform plasma. It has been determined that if the gap is too small for a particular application, the plasma becomes unstable, whereas if the gap is too large, there is no stripping rate enhancement over that obtained by use of remote plasma source 100 alone. Thus, the size of the gap may be adjusted routinely without undue experimentation by one of ordinary skill in the art to optimize its effect on reactor performance for a particular application. Third, it is believed that the grounded gas distribution plate provides a uniform grounding plane above the wafer, and thereby, enhances the uniformity of the plasma.
  • In addition to the above-described advantages, it is believed that embodiments of the present invention that provide a large ratio of anode area to cathode area substantially reduce sputtering from gas distribution plate 180, and it is believed that this has a doubly beneficial effect. First, it is believed that such reduced sputtering may reduce the generation of contaminants from gas distribution plate 180, and second, it is believed that such reduced sputtering may reduce surface recombination at the orifices of gas distribution plate 180. Advantageously, as a result, and in contrast to prior art apparatus, such embodiments of the present invention enable aluminum to be used to fabricate gas distribution plate 180 without wafer contamination resulting from sputtered aluminum, and without excess recombination. In addition, in accordance with one or more embodiments of the present invention, gas distribution plate 180 may be fabricated as a SiC gas distribution plate to produce a gas distribution plate that will produce ultra-low levels of contamination. In accordance with one or more of such embodiments, gas distribution plate 180 may be fabricated as a SiC gas distribution plate having an annulus of a sputtered conductive material such as, for example, and without limitation, aluminum or titanium, to reduce electrical contact resistance to SiC.
  • Advantageously, in accordance with one or more embodiments of the present invention, the use of liners 170, at least in gas distribution plenum 160, provides that gas species entering chamber 190 through gas distribution plate 180 are substantially all radicals (neutral species), i.e., there are substantially no ions entering chamber 190. As a result, in accordance with one or more embodiments of the present invention, ion concentration uniformity in the plasma is controlled solely by the application of RF bias power to wafer pedestal 200.
  • As set forth above, such embodiments provide a substantially uniform plasma that minimizes device damage on the wafer (it is believed that device damage is caused by currents flowing through devices on the wafer, and non-uniform charge build-up on a wafer caused by non-uniform plasmas produce such currents). In addition, the above-described benefits of embodiments utilizing a grounded gas distribution plate (namely, uniform plasma and large ratio of anode area to cathode area) are achieved without certain detriments of using aluminum or SiC to fabricate the gas distribution plate (as described above, such pitfalls being low strip rates due to high radical surface recombination of aluminum relative to quartz, and aluminum contamination).
  • Referring back to FIG. 1, wafer support 203 is surrounded by wafer support (or pedestal) focus ring assembly 211 that includes: (a) dielectric peripheral structure 207 that is supported by wafer support 203; and (b) conductive peripheral structure 209 that is supported by dielectric peripheral structure 207. Further, as shown in FIG. 1, conductive peripheral structure 209 is electrically connected to grounded chamber body 195. FIG. 2 shows a pictorial representation of wafer holder 200 and surrounding focus ring 211 that is fabricated in accordance with one or more embodiments of the present invention.
  • As shown in FIG. 2, dielectric peripheral structure 207 surrounds wafer support 203, and provides a dielectric break between wafer support 203 and conductive peripheral structure 209. In accordance with one such embodiment, structure 207 is fabricated for example, and without limitation, of quartz. Note that structure 207 may be exposed to ion bombardment, and as such (given the proximity of structure 207 to the wafer's edge), ought to be fabricated from materials that, if sputtered, do not contaminate the wafer. Further, in accordance with one such embodiment, structure 209 is fabricated for example, and without limitation, of aluminum or titanium.
  • As further shown in FIG. 2, when structure 207 is installed for use, leg 207 1 of structure 207 rests upon a ledge formed in wafer support 203, leg 207 2 of structure 207 is a vertically extending lip that acts to confine and center wafer 300 on wafer pedestal 200, and leg 207 3 of structure 207 supports conductive structure 209. For purposes of understanding the design of structure 207, assume that wafer 300 is centered on wafer pedestal 203. In this configuration, an annular space separates an edge of wafer 300 and leg 207 2 of structure 207. This annular space provides a predetermined tolerance for positioning wafer 300 on wafer pedestal 203. If the annular space is too small, or if the annular space is too large, the plasma may not be uniform at the edge of wafer 300. Further, leg 207 2 must be sufficiently high so that leg 207 2 can contain wafer 300, while not being so high as to create plasma non-uniformities at the edge of wafer 300. Appropriate dimensions for structure 207 can be determined routinely without undue experimentation by one of ordinary skill in the art in light of these considerations.
  • As further shown in FIG. 2, when structure 209 is installed for use, leg 209 1 of structure 209 rests upon leg 207 2 of structure 207, and leg 209 2 of structure 209 extends toward chamber wall 195. In addition, in use, leg 209 2 of structure 209 is electrically connected to chamber wall 195. A gap between leg 209 2 of structure 209 and chamber wall 195 provides a conduit for gases to be evacuated from chamber 190 by an exhaust pump (not shown). As such, the size of the gap is determined by the following considerations. If the gap is too small, conductance through the gap may be too small for gas flow to be in a reasonably wide range of values, and this in turn, may limit the pressure to be in an undesirably small range of pressures values. On the other hand, if the gap is too large, pumping uniformity may be compromised, and this in turn, may impact plasma uniformity.
  • In accordance with one or more further embodiments of the present invention, wafer pedestal focus ring assembly 211 comprises three structures: (a) an inner, electrically conductive peripheral structure that rests on wafer support 203 (fabricated for example, and without limitation, of aluminum), and that acts to extend the effective area of wafer support 203 to provide plasma uniformity at the edge of the wafer; (b) an intermediate, non-conductive ring (fabricated for example, and without limitation, of quartz); and (c) an outer, electrically conductive ring (fabricated for example, and without limitation, of aluminum). In use, the outer, electrically conductive ring is electrically connected to chamber wall 195. In one or more of such further embodiments, the intermediate non-conductive ring may be fabricated with a vertical leg like that of leg 207 2 of structure 207 to capture and center wafer 300. In a further alternative embodiment of the present invention, the inner electrically conductive peripheral structure may be fabricated as a part of wafer support 203.
  • Advantageously, in accordance with one or more embodiments of the present invention, conductive peripheral structure 209 which is electrically connected to grounded chamber wall 195, and which surrounds the outer perimeter of wafer 300, adds significantly to the effective anode grounded area of the capacitively-coupled plasma reactor fabricated in accordance with one or more embodiments of the present invention. In particular, the effective anode grounded area is the sum of the grounded areas that are directly exposed to the plasma. These areas include: (a) gas distribution plate 180 (having an area equal to about 1 to about 2 times the area of a wafer); (b) grounded conductive peripheral structure 209 (having an area equal to about the area of the wafer); and (c) chamber wall 195 between wafer 300 and gas distribution plate 180 (having an area equal to about the area of the wafer). The cathode area is equal to about the area of the wafer. Thus, the overall ratio of the anode area to the cathode area is in a range from about 4:1 to about 5:1. As is known, experimentally, the voltage drop of the cathode to the anode (i.e., the D.C. bias which accelerates ions, or the potential between the plasma and the cathode) scales with the ratio of anode area to cathode area to about at least the 2.5 power. Thus, for one or more embodiments of the present invention, the D.C. bias scales in a range from about 32:1 to about 56:1. As a result, for a given applied RF bias power, most of the usable power is used to maximize ion bombardment on the wafer. Advantageously, this maximizes the stripping rate, and minimizes sputtering of grounded material, which in turn, minimizes contamination.
  • It has been discovered that the geometry of processing chamber 190 affects the characteristics of plasmas formed therein such as plasma uniformity at the surface of the wafer, as well as stripping rates. In particular, among other things, it has been discovered that use of a conventional slit-valve cut-out (as is well known, a slit-valve cut-out is an aperture that is cut into chamber wall 195 through which wafers are transferred into, and out of, processing chamber 190 from a transfer chamber) resulted in an image of the slit-valve cut-out being reflected on the wafer. In accordance with one or more embodiments of the present invention, this issue is addressed by use of an inner slit-valve door that minimizes plasma and flow non-uniformity induced by asymmetries of chamber body 190 resulting from the use of a slit valve cut-out. In accordance with one or more such embodiments of the present invention, processing chamber 195 includes inner slit-valve door 217 (the chamber also includes a conventional slit valve that is disposed in the transfer chamber side of chamber wall 195). In operation, whenever a wafer is to be inserted into processing chamber 190 through a slit-valve cut-out in chamber wall 195, inner slit-valve door 217 is moved below the wafer plane by a motor (not shown). After the transfer robot arm is removed from processing chamber 190, and the wafer is positioned on wafer pedestal 203, inner slit-valve door 217 is raised to enclose the slit-valve cut-out, and thereby, to provide symmetrical chamber walls relative to the wafer plane. Advantageously, this provides a 360° symmetrical plasma environment.
  • In accordance with one or more embodiments of the present invention, wafers are transferred into and out of stripping reactor 1000 at atmospheric pressure. As a result, after a wafer has been processed, processing chamber 190 has to be vented to atmospheric pressure. This means that a sufficient amount of gas, for example, and without limitation, N2, has to be pumped into processing chamber 190 so that the pressure rises to atmospheric pressure. In order that throughput not be impacted adversely, this venting operation needs to take place as quickly as possible. It has been discovered that venting is inhibited by the size of the vent line, i.e., a line connecting a gas supply to processing chamber 190. The inhibition is caused by the fact that a standard sized vent line rapidly becomes depleted of gas, and in order for venting to take place in a time that does not impact processing throughput, the vent line is required to be impractically large. This problem has been solved by a venting mechanism that is fabricated in accordance with one or more embodiments of the present invention. In particular, a gas accumulator, i.e., a large vessel is located near reactor 1000, which vessel is of sufficient size to provide a mass of gas that is sufficient to vent processing chamber 190 in a predetermined amount of time. In use, during a venting operation, the gas in the gas accumulator is depleted. However, the time taken by subsequent processing steps (i.e., transfer of the processed wafer out of processing chamber 190, transfer of a new wafer into processing chamber 190, pumping processing chamber 190 down to a processing pressure, and processing the new wafer) provides a sufficient amount of time to replenish the gas in the gas accumulator without impacting throughput. In accordance with one or more further such embodiments of the present invention, the volume of the gas accumulator can be made much smaller than the volume of processing chamber 190 by increasing the pressure of the gas contained therein. Appropriate volumes for the gas accumulator, pressures for gas stored therein, and distance of the gas accumulator from processing chamber 190 can be determined routinely by one or ordinary skill in the art without undue experimentation. In particular, it has been determined that a gas accumulator having a volume in a range from about 1 L to about 10 L and a back pressure in a range from about 30 psig to about 100 psig is useful for typical stripping applications.
  • In addition to above-described venting issue caused by the need to accommodate an atmospheric wafer transfer system, another issue arises because pumping and venting must be controlled to avoid particle deposition on the wafer. In particular, venting to atmosphere to remove a wafer from processing chamber 190 must be controlled to avoid particle deposition on the wafer. FIG. 3 shows a pictorial representation of stripping reactor 1000 having an exhaust system that includes throttle valve 400 and isolation valve 410 (“iso-valve 410”). A conventional venting sequence for venting with good particle control is a “dual slow-to-fast” venting sequence. In accordance with this conventional venting sequence, throttle valve 400 and iso-valve 410 are closed, and processing chamber 190 is immediately vented with, for example, N2. One problem with this conventional venting sequence is that the largest flow rate for a fast vent with adequate particle control is typically about 1 standard liter per second. However, for a typical 300 mm chamber, the chamber volume is in a range from about 15 to about 40 liters, so that the best case vent times using the conventional dual slow-to-fast method is limited to a range from about 15 to about 40 seconds. This may be unacceptable for typical stripping application throughput requirements. An alternative conventional method for venting utilizes a nickel diffuser that has a vent time of up to about 5 liters per second. However, this is undesirable since nickel is a potentially undesirable contaminant. Thus, in accordance with one or more embodiments of the present invention, throttle valve 400 is used to control the effect of flow inertia, which effect is believed to cause particle deposition. In accordance with one or more such embodiments of the present invention, during wafer processing, iso-valve 410 is open, and throttle valve 400 (which can have a variable open area) is used to control the pressure in processing chamber 190. It should be understood that control of throttle valve 400 and iso-valve 410 is accomplished by a system controller (not shown) using software that is generated in accordance with any one of a number of methods that are well known to those of ordinary skill in the art. At the end of the wafer processing sequence of steps, a venting gas, for example, and without limitation, N2, is injected into processing chamber 190 from a gas accumulator 400 with throttle valve 400 fully open to establish a pseudo-steady state. Then, in accordance with this embodiment, throttle valve 400 is gradually shut, and finally, isovalve 430 is shut to maintain a mass barrier between processing chamber 190 and a vacuum pump (not shown). It is believed that by venting in this manner rather than immediately shutting off throttle valve 400 and iso-valve 410 in accordance with the conventional method, flow inertia is minimized. Advantageously, this enables better particle control, and faster vent times. In addition, in accordance with one or more further embodiments of the present invention, multiple gas injectors into processing chamber 190 can be used to reduce vent times even further. In accordance with one or more such further embodiments of the present invention, there may be two vent locations, a primary one being at a top of remote plasma source 100 shown in FIG. 1, and a secondary one at a bottom of processing chamber 190. Advantageously, in accordance with these embodiments, remote plasma source 100 is used as a natural baffle to establish laminar flow. In accordance with further such embodiments, the flow through the secondary vent is kept much lower than that through the primary vent to avoid excessive upward flow inertia which might deposit particles on the wafer. It is believed that such embodiments might achieve vent times as short as ten (10) seconds.
  • In accordance with one or more embodiments of the present invention, an endpoint detector is utilized to determine a stripping process endpoint. In accordance with one or more such embodiments, a portion of radiation generated in processing chamber 190 is collected by photodiode assemblies mounted against, for example, and without limitation, sapphire or quartz windows in chamber wall 195 of processing chamber 190. The photodiode assemblies may comprise bandpass filters for radiation at predetermined wavelengths and photodiodes sensitive to such radiation. In accordance with one or more alternative embodiments of the present invention, a portion of the radiation emitted in processing chamber 190 may be collected by one or more optical fibers, and output from the one or more optical fibers may be directed to, for example, a spectrometer. In accordance with one or more embodiments of the present invention, the spectrometer may include, for example, and without limitation, a grating that separates radiation at various wavelengths and directs such radiation to impinge upon one or more photodetectors that are may be disposed, for example, in a line to spatially resolve radiation at one or more predetermined wavelengths in accordance with any one of a number of methods that are well known to those of ordinary skill in the art (in accordance with such embodiments, the photodiodes may be sensitive to each of the one or more predetermined wavelengths). Output from the photodetectors is examined in accordance with any one of a number of methods that are well known to those of ordinary skill in the art to determine a stripping process endpoint. FIGS. 4 and 5 show pictorial representations of emission lines that can be used to determine a stripping process endpoint in accordance with one or more embodiments of the present invention. FIG. 4 shows a representation of the intensity of the 777 nm emission line from oxygen that can be monitored to determine a stripping process endpoint. As shown in FIG. 4, region 500 corresponds to a portion of the stripping process wherein photoresist is being removed from the wafer. Region 510 shown in FIG. 4 corresponds to a time when the photoresist has been cleared. The regions can be identified by analyzing the curve shown in FIG. 4, or by analyzing a derivative of this curve in accordance with any one of a number of methods that are well known to those of ordinary skill in the art. FIG. 5 shows a representation of the intensity of the 656 nm emission line from hydrogen that can be monitored to determine a stripping process endpoint. As shown in FIG. 5, region 520 corresponds to a portion of the stripping process wherein photoresist is being removed from the wafer. Region 530 shown in FIG. 5 corresponds to a time when the photoresist has been cleared. The regions can be identified by analyzing the curve shown in FIG. 5, or by analyzing a derivative of this curve in accordance with any one of a number of methods that are well known to those of ordinary skill in the art.
  • FIG. 9. shows a more detailed pictorial representation of certain aspects of stripping process apparatus or reactor 1000 shown in FIG. 1. As shown in FIG. 9, stripping reactor 1000 comprises remote plasma source 100. Plasma species generated in remote plasma source 100 flow through exit tube 163 into gas distribution plenum 160. As further shown in FIG. 9, gas distribution plenum liner 170 is extended into processing chamber 190 to form chamber liner portions 170 1 and 170 2. As further shown in FIG. 9, endpoint detector port 1100 enables radiation to be observed by endpoint detectors disposed outside chamber walls 195 (for example, and without limitation, fabricated from aluminum), and slit-valve cut-out 1110 enables wafers to be transferred into and out of processing chamber 190 from a transfer chamber (not shown). As further shown in FIG. 9, inner slit-valve door 217 (for example, and without limitation, fabricated from aluminum) is moved by motor 1217 to enclose slit-valve cut-out 1110 to provide symmetrical chamber walls relative to the wafer plane.
  • As further shown in FIG. 9, wafer support 203 (for example, and without limitation, fabricated from aluminum) includes channels 1200 to enable flow of heat exchange fluid (for example, and without limitation, water) therethrough. The heat exchange fluid flows into channels 1200 through inlet piping 1210 (outlet piping through which the heat exchange fluid flows out of channels 1200 is not shown). As further shown in FIG. 9, lift pin 1250 (for example, and without limitation, fabricated from alumina) is representative of a multiplicity of lift pins that extend through lift pin guides (for example, and without limitation, fabricated from Vespel™) in wafer support 203 (not shown) in a conventional manner. Lift pin 1250 rides on ring 1260 that is raised and lowered by bellows 1270 in a conventional manner to lift wafers off, and to place wafers onto, a robot arm inserted through slit-valve cut-out 1110 from the transfer chamber. As further shown in FIG. 9, electrical connector 1280 provides electrical contact between wafer support 203 and RF bias power supply 210.
  • As further shown in FIG. 9, dielectric peripheral structure 207 (for example, and without limitation, fabricated from fused quartz) is supported by wafer support 203, and conductive peripheral support 209 (for example, and without limitation, fabricated from aluminum) is supported by dielectric peripheral structure 207. As further shown in FIG. 9, conductive peripheral structure 209 is electrically connected to grounded chamber body 195 by ground structures 1310 and 1320 (for example, and without limitation, fabricated from aluminum). As further shown in FIG. 9, wafer support 203 is surrounded by insulator ring 1340 (for example, and without limitation, fabricated from fused quartz), and wafer support 203 rests on insulator base 1330 (for example, and without limitation, fabricated from alumina).
  • As those of ordinary skill in the art can readily appreciate, various conventional components have not been described to enable one to better understand the present invention. For example, as those of ordinary skill in the art can readily appreciation, various O-rings are provided to maintain chamber vacuum against atmospheric pressure caused by various of the above-described connections to processing chamber 190. In addition, various assembly guides are provided in accordance with any one of a number of methods that are well known to those of ordinary skill in the art to enable assembly of the components for manufacture and for repair.
  • Low Temperature Stripping Process Conditions
  • As one can readily appreciate, the above-described stripping reactor can be used in many stripping applications. One or more embodiments of the present invention include power being applied to remote plasma source 100 to create a plasma only therein; one or more embodiments of the present invention include RF bias power being applied to wafer support 203 to create a plasma only in processing chamber 190 of stripping reactor 1000; and one or more embodiments of the present invention (a dual mode embodiment) include RF bias power being applied to wafer support 203 to create a plasma in processing chamber 190 of stripping reactor 1000, and power being applied to remote plasma source 100 to generate a plasma therein. It has been determined that for stripping applications that utilize remote plasma source 100 alone, stripping uniformity is sensitive to flow rates (and, therefore to the hole pattern in gas distribution plate 180), whereas for stripping application that utilize RF bias power alone to generate a plasma in processing chamber 190, stripping uniformity is less sensitive to flow rates, but it is sensitive to plasma uniformity (and therefore to uniformity of processing chamber geometry).
  • In accordance with one or more embodiments of the present invention, stripping applications can be carried out utilizing an oxidizing precursor gas, for example, and without limitation, O2, at a flow rate into remote plasma source 100 in a range from about 100 to about 10,000 sccm, and preferably, in a range from about 500 to about 2000 sccm. In accordance with one or more such embodiments, power is applied to remote plasma source 100 in a range from about 600 to about 6000 W, and preferably, in a range from about 3000 to about 5000 W. In accordance with one or more such embodiments, pressure in process chamber 190 is maintained in a range from about 0.3 to about 3 Torr, and preferably, in a range from about 0.4 to about 1 Torr. In accordance with one or more such embodiments, for low temperature stripping applications, the temperature of wafer support 203 is maintained in a range from about 15 to about 100° C., and preferably, in a range from about 40 to about 80° C. In accordance with one or more further such embodiments, other useful oxidizing precursor gases include O3, N2O, H2O, CO, CO2, alcohols, and any combinations of these gases. In accordance with one or more such embodiments, to generate a plasma in processing chamber 190, RF bias power may be applied to wafer support 203 in a range from about 100 to 2000 W, and preferably, in a range from about 500 to about 1000 W. In accordance with one or more further such embodiments, other useful oxidizing precursor gases include O3, N2O, H2O, CO, CO2, alcohols, and any combinations of these gases. In accordance with one or more yet further such embodiments, optional additional precursor gases include gases such as, for example, and without limitation, N2, H2O, H2, forming gas, NH3, CH4, C2H6, and halogenated compounds such as, for example, and without limitation, CF4, C2F6, C3F8, C4F8, CH3F, CHF3, CH2F2, NF3, and any combinations of the above gases in amounts in a range from about 0.1 to about 10%.
  • FIG. 6 shows stripping rates obtained for a DUV photoresist as a function of RF bias power being applied to wafer support 203 to create a plasma in processing chamber 190 of stripping reactor 1000 for different conditions at low temperature: (a) RF bias power applied alone; (b) a dual plasma mode; and (c) a dual plasma mode with higher gas flows than those used for (b). As shown in FIG. 6, photoresist removal, or stripping, rates, as high as 12,000 Å/min have been achieved on 300 mm wafers with RF bias power applied alone, which photoresist removal, or stripping, rate is considerably higher than that achieved using prior art processes. Further, in accordance with one or more embodiments of the present invention, in a dual plasma mode, photoresist removal, or stripping, rates in excess of 20,000 Å/min have been achieved, which photoresist removal, or stripping, rates are comparable to stripping rate performance of high temperature strip processes (i.e., the additional use of the remote plasma source increases the stripping rate by about 40 to 50%). The process conditions used to obtain the results shown in FIG. 6 include, a flow rate of O2 into remote plasma source 100 in a range of from about 500 to about 2000 sccm, a pressure in processing chamber 190 in a range from about 0.4 to about 1 Torr, and a temperature of wafer support 203 in a range from about 30 to about 80° C. Advantageously, as one can readily appreciate from FIG. 6, increased gas flow enhances the stripping rates even further, and provides a margin to optimize other parameters of the stripping reactor and the stripping processes. Although FIG. 6 is shown to illustrate a trend in differences between stripping rates achieved by use of RF bias power applied alone and by use of a dual plasma mode, stripping rates as high as about 3 μ/min have been achieved by use of a dual plasma mode.
  • Stripping Process After Ion Implantation
  • In accordance with one or more embodiments of the present invention, a plasma is created in processing chamber 190 to create a flux of ions, and the ions bombard the surface of the photoresist, and in particular, the ions bombard a crust formed on the surface during ion implantation. It is believed that ion implant processes form a hydrogen-depleted, dense, and relatively inert crust on the surface of the photoresist, and that ion bombardment generates chemically active sites in the crust. In particular, it is believed that ion bombardment by O2 +, and perhaps other ions, excites thermal, vibrational, and/or rotational states of C—H bonds in the crust to form chemically active sites that can react with neutral gas species (for example, radicals) to form volatile products. Advantageously, in accordance with one or more such embodiments of the present invention, ion-enhanced stripping enables a stripping process to remove photoresist crust, bulk photoresist, and residue at lower temperatures than are utilized for prior art stripping processes.
  • In accordance with one or more embodiments of the present invention, a plasma is formed in processing chamber 190 by flowing O2 gas into processing chamber 190, and by applying RF bias power to wafer support 203 (this creates a plasma of O radicals, O2 + ions, and other excited state species). In addition, the RF bias power causes the ions to bombard the crust formed on the photoresist surface. It is believed that the ion bombardment creates chemically active sites, and it is further believed that the ions do not necessarily participate directly in chemical reactions with the photoresist. As a result, it is believed the O2 + concentration in the gas phase remains roughly constant. On the other hand, it is believed that the O radicals do react with the photoresist, and in particular; that they react at the chemically active sites created by the ion bombardment. As a result, it is believed that the O radical concentration in the gas phase will be suppressed. Evidence to support these beliefs is provided in FIG. 7. In particular, FIG. 7 shows time-varying optical emission spectra for ion-enhanced photoresist stripping of a 1.2 micron DUV blanket resist on a Si substrate in accordance with one or more embodiments of the present invention where an O radical peak is reduced in intensity during photoresist stripping while an O2 + ion peak remains relatively constant.
  • In accordance with one embodiment of a dual plasma mode stripping process, low temperature, post-implant stripping has been used to remove both a crust layer and bulk photoresist with process times as short as 30 to 35 sec on an 80 KeV, 5E15 dose, As-implanted, 1.2 micron DUV blanket photoresist wafer. FIG. 8 shows an endpoint trace for O radical species during a dual plasma mode stripping process on the As-implanted, 1.2 micron DUV blanket photoresist wafer, 80 KeV, 5E15 dose. As shown in FIG. 8, the crust layer was removed in about 14 sec and the bulk photoresist was removed in about 29 sec. Advantageously, such a dual plasma mode stripping process eliminates photoresist popping, and enables production-worthy throughput in a single chamber without additional complexities of a multi-temperature chamber or two chambers in series, one at low temperature for crust removal, and the other at high temperature for bulk photoresist strip. Thus, because use of one or more embodiments of the present invention can be used to achieve high throughput and selectivity without photoresist popping and without the use of fluorine, fluorine and/or reducing chemistries can be added, if desired, after stripping, solely for the purpose of residue removal.
  • Another advantage provided by low temperature stripping processes carried out in accordance with one or more embodiments of the present invention is that they do not cause hardening of stripping residues. Yet another advantage provided by one or more embodiments of the present invention is that the use of a plasma generated by the application of RF bias power enables ion bombardment which helps break up stripping process residues. Yet another advantage provided by one or more embodiments of the present invention is that high strip rates can be achieved without the need for quartz liners in processing chamber 190. Consequently, with only a minor sacrifice in throughput (<10%), fluorine-rich processes can be run in processing chamber 190 without consuming chamber materials.
  • Those skilled in the art will recognize that the foregoing description has been presented for the sake of illustration and description only. As such, it is not intended to be exhaustive or to limit the invention to the precise form disclosed. For example, although certain dimensions were discussed above, they are merely illustrative since various designs may be fabricated using the embodiments described above, and the actual dimensions for such designs will be determined in accordance with circuit requirements. For example, in accordance with one or more embodiments of the present invention, to minimize recombination losses for particular applications, quartz liners can be added to the inner surfaces of chamber 190, and the material of gas distribution plate 180 can be changed from a conductive material to quartz. In addition, further embodiments of the present invention include plasma processing chambers for processing wafers to fabricate at least a portion of an integrated circuit which are like the stripping reactors described above without use of the remote plasma source. Advantageously, such plasma processing chamber may be utilized for deposition and etching applications.

Claims (34)

1. A stripping reactor that comprises:
a remote plasma source disposed to output a gas;
a gas distribution plate connected to ground that transmits the gas output from the remote plasma source to a processing chamber;
a wafer support disposed in the processing chamber;
a wafer support assembly disposed about the wafer support that includes an outer conductive peripheral structure connected to ground; and
an RF power supply connected to supply RF power to the wafer support.
2. The stripping reactor of claim 1 wherein the gas distribution plate is conductive.
3. The stripping reactor of claim 1 wherein the remote plasma source is an inductively coupled plasma source.
4. The stripping reactor of claim 1 wherein the remote plasma source is a microwave coupled plasma source.
5. The stripping reactor of claim 1 wherein the processing chamber comprises a grounded chamber body.
6. The stripping reactor of claim 1 wherein the wafer support assembly further comprises a non-conductive peripheral structure situated between the wafer support and the conductive peripheral structure.
7. The stripping reactor of claim 6 wherein the non-conductive peripheral structure comprises quartz.
8. The stripping reactor of claim 6 wherein the non-conductive peripheral structure includes a vertical lip.
9. The stripping reactor of claim 6 wherein the non-conductive peripheral structure rests on the wafer support.
10. The stripping reactor of claim 6 wherein the wafer support assembly further comprises a second conductive peripheral structure that rests on the wafer support inside the non-conductive peripheral structure.
11. The stripping reactor of claim 6 wherein the wafer support comprises a conductive structure disposed about its periphery.
12. The stripping reactor of claim 2 wherein the gas distribution plate is a showerhead comprised of aluminum.
13. The stripping reactor of claim 1 wherein the gas distribution plate is a showerhead comprised of SiC.
14. The stripping reactor of claim 13 wherein the gas distribution plate is surrounded by a conductor.
15. The stripping reactor of claim 14 wherein the conductor is aluminum or titanium.
16. The stripping reactor of claim 1 which further comprises a gas distribution plenum that receives the gas output from the remote plasma source, and wherein one side of the gas distribution plenum is comprised of the gas distribution plate.
17. The stripping reactor of claim 16 wherein the gas distribution plenum is lined with quartz.
18. The stripping reactor of claim 16 wherein the distance between a gas inlet and the gas distribution plate is in a range from about 0.5 to about 2.0 inches.
19. The stripping reactor of claim 1 wherein a distance between a top of the wafer support and the gas distribution plate is in a range from about 0.5 to about 3 inches.
20. The stripping reactor of claim 1 that further includes a gas accumulator that stores gas used to vent the processing chamber.
21. The stripping reactor of claim 15 wherein the gas accumulator has a volume in a range from about 1 L to about 10 L.
22. The stripping reactor of claim 1 which further comprises a slit-valve cut-out, and a slit-valve door disposed within the processing chamber adapted to be moved to close the slit-valve cut-out.
23. The stripping reactor of claim 1 wherein the wafer support comprises aluminum.
24. The stripping reactor of claim 23 wherein the wafer support is surrounded by an insulator ring.
25. The stripping reactor of claim 24 wherein the wafer support rests in an insulator base.
26. The stripping reactor of claim 25 wherein the insulator ring comprises quartz and the insulator base comprises alumina.
27. The stripping reactor of claim 1 wherein the gas distribution plate comprises quartz.
28. The stripping reactor of claim 1 wherein inner surfaces of the processing chamber comprise a conductive material.
29. The stripping reactor of claim 1 where the wafer support includes channels and the stripping reactor further includes a heat exchanger to pump heat exchange fluid through the channels.
30. The stripping reactor of claim 1 which further comprises an endpoint detector sensitive to radiation at one or more of the following wavelengths: about 777 nm and about 656 nm.
31. A plasma processing reactor for processing a wafer to fabricate at least a portion of an integrated circuit that comprises:
a grounded gas distribution plate that transmits gas into a processing chamber;
a wafer support to support the wafer;
a wafer support assembly disposed about the wafer support that includes an outer conductive peripheral structure connected to ground; and
an RF power supply that supplies RF power to the wafer support.
32. The plasma processing reactor of claim 31 wherein the gas distribution plate is conductive.
33. The plasma processing reactor of claim 32 wherein the processing chamber comprises a grounded chamber body.
34. The plasma processing reactor of claim of claim 32 wherein the gas distribution plate is a showerhead.
US10/264,664 2002-10-04 2002-10-04 Methods and apparatus for stripping Abandoned US20070051471A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/264,664 US20070051471A1 (en) 2002-10-04 2002-10-04 Methods and apparatus for stripping

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/264,664 US20070051471A1 (en) 2002-10-04 2002-10-04 Methods and apparatus for stripping

Publications (1)

Publication Number Publication Date
US20070051471A1 true US20070051471A1 (en) 2007-03-08

Family

ID=37828977

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/264,664 Abandoned US20070051471A1 (en) 2002-10-04 2002-10-04 Methods and apparatus for stripping

Country Status (1)

Country Link
US (1) US20070051471A1 (en)

Cited By (332)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050106876A1 (en) * 2003-10-09 2005-05-19 Taylor Charles A.Ii Apparatus and method for real time measurement of substrate temperatures for use in semiconductor growth and wafer processing
US20060201911A1 (en) * 2003-06-17 2006-09-14 Lam Research Corporation Methods of etching photoresist on substrates
US20070231992A1 (en) * 2006-03-28 2007-10-04 Tokyo Electron Limited Method of removing residue from a substrate
US20070243700A1 (en) * 2006-04-14 2007-10-18 Shu Qin Method of photoresist strip for plasma doping process of semiconductor manufacturing
US20080146036A1 (en) * 2006-12-18 2008-06-19 Yu-Tsung Lai Semiconductor manufacturing process
US20080153306A1 (en) * 2006-12-11 2008-06-26 Applied Materials, Inc. Dry photoresist stripping process and apparatus
US20090022905A1 (en) * 2007-07-20 2009-01-22 Jozef Kudela Rf choke for gas delivery to an rf driven electrode in a plasma processing apparatus
US20090176355A1 (en) * 2005-03-30 2009-07-09 Panasonic Corporation Plasma Doping Method and Plasma Processing Device
US20090223449A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited Cover part, process gas diffusing and supplying unit, and substrate processing apparatus
US20100048022A1 (en) * 2008-08-25 2010-02-25 Kabushiki Kaisha Toshiba Semiconductor manufacturing apparatus and method of manufacturing semiconductor device
US20100089533A1 (en) * 2007-08-16 2010-04-15 Ulvac, Inc. Ashing apparatus
EP2208221A1 (en) * 2007-11-01 2010-07-21 Eugene Technology Co., Ltd. Apparatus for surface-treating wafer using high-frequency inductively-coupled plasma
US20110262315A1 (en) * 2003-06-30 2011-10-27 Lam Research Corporation Substrate support having dynamic temperature control
US20120006492A1 (en) * 2001-07-10 2012-01-12 Tokyo Electron Limited Plasma processor and plasma processing method
CN103632916A (en) * 2012-08-27 2014-03-12 朗姆研究公司 Symmetric return liner for modulating azimuthal non-uniformity in a plasma processing system
US20150167160A1 (en) * 2013-12-16 2015-06-18 Applied Materials, Inc. Enabling radical-based deposition of dielectric films
US20150332941A1 (en) * 2012-10-09 2015-11-19 Applied Materials, Inc. Methods and apparatus for processing substrates using an ion shield
US20160126090A1 (en) * 2010-06-04 2016-05-05 Texas Instruments Incorporated Method for Processing a Semiconductor Wafer Using a Thin Edge Carrier Ring
US9484213B2 (en) 2008-03-06 2016-11-01 Tokyo Electron Limited Processing gas diffusing and supplying unit and substrate processing apparatus
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US20180347045A1 (en) * 2017-05-31 2018-12-06 Applied Materials, Inc. Remote plasma oxidation chamber
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US20190214228A1 (en) * 2014-11-19 2019-07-11 Applied Materials, Inc. Radical assisted cure of dielectric films
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US20200063260A1 (en) * 2018-08-22 2020-02-27 The Japan Steel Works, Ltd. Atomic layer deposition apparatus and film-forming method
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
EP2863411B1 (en) * 2013-10-15 2020-12-02 SPTS Technologies Limited Plasma etching apparatus
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US20220293395A1 (en) * 2021-03-12 2022-09-15 Applied Materials, Inc. Ash rate recovery method in plasma strip chamber
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11462413B2 (en) * 2019-07-17 2022-10-04 Beijing E-town Semiconductor Technology Co., Ltd. Processing of workpieces using deposition process and etch process
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11959171B2 (en) 2022-07-18 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process

Citations (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4431898A (en) * 1981-09-01 1984-02-14 The Perkin-Elmer Corporation Inductively coupled discharge for plasma etching and resist stripping
US5198634A (en) * 1990-05-21 1993-03-30 Mattson Brad S Plasma contamination removal process
US5209803A (en) * 1988-08-30 1993-05-11 Matrix Integrated Systems, Inc. Parallel plate reactor and method of use
US5275683A (en) * 1991-10-24 1994-01-04 Tokyo Electron Limited Mount for supporting substrates and plasma processing apparatus using the same
US5449411A (en) * 1992-10-20 1995-09-12 Hitachi, Ltd. Microwave plasma processing apparatus
US5529657A (en) * 1993-10-04 1996-06-25 Tokyo Electron Limited Plasma processing apparatus
US5534231A (en) * 1990-01-04 1996-07-09 Mattson Technology, Inc. Low frequency inductive RF plasma reactor
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5556500A (en) * 1994-03-03 1996-09-17 Tokyo Electron Limited Plasma etching apparatus
US5567243A (en) * 1994-06-03 1996-10-22 Sony Corporation Apparatus for producing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5595627A (en) * 1995-02-07 1997-01-21 Tokyo Electron Limited Plasma etching method
US5795831A (en) * 1996-10-16 1998-08-18 Ulvac Technologies, Inc. Cold processes for cleaning and stripping photoresist from surfaces of semiconductor wafers
US5811022A (en) * 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
US5882489A (en) * 1996-04-26 1999-03-16 Ulvac Technologies, Inc. Processes for cleaning and stripping photoresist from surfaces of semiconductor wafers
US5891350A (en) * 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
US5908319A (en) * 1996-04-24 1999-06-01 Ulvac Technologies, Inc. Cleaning and stripping of photoresist from surfaces of semiconductor wafers
US5942042A (en) * 1997-05-23 1999-08-24 Applied Materials, Inc. Apparatus for improved power coupling through a workpiece in a semiconductor wafer processing system
US6010603A (en) * 1997-07-09 2000-01-04 Applied Materials, Inc. Patterned copper etch for micron and submicron features, using enhanced physical bombardment
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6150628A (en) * 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US20010006070A1 (en) * 1998-07-13 2001-07-05 Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6284093B1 (en) * 1996-11-29 2001-09-04 Applied Materials, Inc. Shield or ring surrounding semiconductor workpiece in plasma chamber
US6333268B1 (en) * 1999-09-17 2001-12-25 Novellus Systems, Inc. Method and apparatus for removing post-etch residues and other adherent matrices
US20020038691A1 (en) * 2000-09-29 2002-04-04 Tokyo Electron Limited Plasma processing system
US20020052114A1 (en) * 2000-03-30 2002-05-02 Lam Research Corporation Enhanced resist strip in a dielectric etcher using downstream plasma
US20020139478A1 (en) * 2001-04-03 2002-10-03 Shawming Ma Conductive collar surrounding semiconductor workpiece in plasma chamber
US6586262B1 (en) * 1999-05-18 2003-07-01 Tokyo Electron Limited Etching end-point detecting method
US6597964B1 (en) * 2002-05-08 2003-07-22 Taiwan Semiconductor Manufacturing Co., Ltd Thermocoupled lift pin system for etching chamber
US6620736B2 (en) * 2001-07-24 2003-09-16 Tokyo Electron Limited Electrostatic control of deposition of, and etching by, ionized materials in semiconductor processing
US6623597B1 (en) * 1999-09-29 2003-09-23 Samsung Electronics Co., Ltd. Focus ring and apparatus for processing a semiconductor wafer comprising the same
US6733621B2 (en) * 2002-05-08 2004-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Venting apparatus and method for vacuum system
US6794299B1 (en) * 2002-06-03 2004-09-21 Advanced Micro Devices Inc. Various methods of controlling conformal film deposition processes, and a system for accomplishing same
US6852168B2 (en) * 2000-06-24 2005-02-08 Ips Ltd. Reactor for depositing thin film on wafer
US6851384B2 (en) * 2000-06-29 2005-02-08 Nec Corporation Remote plasma apparatus for processing substrate with two types of gases

Patent Citations (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4431898A (en) * 1981-09-01 1984-02-14 The Perkin-Elmer Corporation Inductively coupled discharge for plasma etching and resist stripping
US5209803A (en) * 1988-08-30 1993-05-11 Matrix Integrated Systems, Inc. Parallel plate reactor and method of use
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5534231A (en) * 1990-01-04 1996-07-09 Mattson Technology, Inc. Low frequency inductive RF plasma reactor
US5198634A (en) * 1990-05-21 1993-03-30 Mattson Brad S Plasma contamination removal process
US5275683A (en) * 1991-10-24 1994-01-04 Tokyo Electron Limited Mount for supporting substrates and plasma processing apparatus using the same
US5449411A (en) * 1992-10-20 1995-09-12 Hitachi, Ltd. Microwave plasma processing apparatus
US5529657A (en) * 1993-10-04 1996-06-25 Tokyo Electron Limited Plasma processing apparatus
US5556500A (en) * 1994-03-03 1996-09-17 Tokyo Electron Limited Plasma etching apparatus
US5567243A (en) * 1994-06-03 1996-10-22 Sony Corporation Apparatus for producing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US6143129A (en) * 1994-11-15 2000-11-07 Mattson Technology, Inc. Inductive plasma reactor
US5811022A (en) * 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
US5891350A (en) * 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
US5595627A (en) * 1995-02-07 1997-01-21 Tokyo Electron Limited Plasma etching method
US5908319A (en) * 1996-04-24 1999-06-01 Ulvac Technologies, Inc. Cleaning and stripping of photoresist from surfaces of semiconductor wafers
US5882489A (en) * 1996-04-26 1999-03-16 Ulvac Technologies, Inc. Processes for cleaning and stripping photoresist from surfaces of semiconductor wafers
US5795831A (en) * 1996-10-16 1998-08-18 Ulvac Technologies, Inc. Cold processes for cleaning and stripping photoresist from surfaces of semiconductor wafers
US6284093B1 (en) * 1996-11-29 2001-09-04 Applied Materials, Inc. Shield or ring surrounding semiconductor workpiece in plasma chamber
US5942042A (en) * 1997-05-23 1999-08-24 Applied Materials, Inc. Apparatus for improved power coupling through a workpiece in a semiconductor wafer processing system
US6150628A (en) * 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6010603A (en) * 1997-07-09 2000-01-04 Applied Materials, Inc. Patterned copper etch for micron and submicron features, using enhanced physical bombardment
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US20010006070A1 (en) * 1998-07-13 2001-07-05 Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6586262B1 (en) * 1999-05-18 2003-07-01 Tokyo Electron Limited Etching end-point detecting method
US6333268B1 (en) * 1999-09-17 2001-12-25 Novellus Systems, Inc. Method and apparatus for removing post-etch residues and other adherent matrices
US6623597B1 (en) * 1999-09-29 2003-09-23 Samsung Electronics Co., Ltd. Focus ring and apparatus for processing a semiconductor wafer comprising the same
US20020052114A1 (en) * 2000-03-30 2002-05-02 Lam Research Corporation Enhanced resist strip in a dielectric etcher using downstream plasma
US6852168B2 (en) * 2000-06-24 2005-02-08 Ips Ltd. Reactor for depositing thin film on wafer
US6851384B2 (en) * 2000-06-29 2005-02-08 Nec Corporation Remote plasma apparatus for processing substrate with two types of gases
US20020038691A1 (en) * 2000-09-29 2002-04-04 Tokyo Electron Limited Plasma processing system
US20020139478A1 (en) * 2001-04-03 2002-10-03 Shawming Ma Conductive collar surrounding semiconductor workpiece in plasma chamber
US6620736B2 (en) * 2001-07-24 2003-09-16 Tokyo Electron Limited Electrostatic control of deposition of, and etching by, ionized materials in semiconductor processing
US6597964B1 (en) * 2002-05-08 2003-07-22 Taiwan Semiconductor Manufacturing Co., Ltd Thermocoupled lift pin system for etching chamber
US6733621B2 (en) * 2002-05-08 2004-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Venting apparatus and method for vacuum system
US6794299B1 (en) * 2002-06-03 2004-09-21 Advanced Micro Devices Inc. Various methods of controlling conformal film deposition processes, and a system for accomplishing same

Cited By (425)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9437402B2 (en) 2001-07-10 2016-09-06 Tokyo Electron Limited Plasma processor and plasma processing method
US8904957B2 (en) * 2001-07-10 2014-12-09 Tokyo Electron Limited Plasma processor and plasma processing method
US20130174983A1 (en) * 2001-07-10 2013-07-11 Tokyo Electron Limited Plasma processor and plasma processing method
US8387562B2 (en) * 2001-07-10 2013-03-05 Tokyo Electron Limited Plasma processor and plasma processing method
US20150083332A1 (en) * 2001-07-10 2015-03-26 Tokyo Electron Limited Plasma processor and plasma processing method
US20120006492A1 (en) * 2001-07-10 2012-01-12 Tokyo Electron Limited Plasma processor and plasma processing method
US9728381B2 (en) * 2001-07-10 2017-08-08 Tokyo Electron Limited Plasma processor and plasma processing method
US20060201911A1 (en) * 2003-06-17 2006-09-14 Lam Research Corporation Methods of etching photoresist on substrates
US8747559B2 (en) * 2003-06-30 2014-06-10 Lam Research Corporation Substrate support having dynamic temperature control
US20110262315A1 (en) * 2003-06-30 2011-10-27 Lam Research Corporation Substrate support having dynamic temperature control
US9239265B2 (en) 2003-10-09 2016-01-19 K-Space Associates, Inc. Apparatus and method for real time measurement of substrate temperatures for use in semiconductor growth and wafer processing
US20050106876A1 (en) * 2003-10-09 2005-05-19 Taylor Charles A.Ii Apparatus and method for real time measurement of substrate temperatures for use in semiconductor growth and wafer processing
US20100274523A1 (en) * 2003-10-09 2010-10-28 K-Space Associates, Inc. Apparatus and method for real time measurement of substrate temperatures for use in semiconductor growth and wafer processing
US7837383B2 (en) 2003-10-09 2010-11-23 K-Space Associates, Inc. Apparatus and method for real time measurement of substrate temperatures for use in semiconductor growth and wafer processing
US8257501B2 (en) * 2005-03-30 2012-09-04 Panasonic Corporation Plasma doping device with gate shutter
US8652953B2 (en) 2005-03-30 2014-02-18 Panasonic Corporation Plasma doping method with gate shutter
US20090176355A1 (en) * 2005-03-30 2009-07-09 Panasonic Corporation Plasma Doping Method and Plasma Processing Device
US7759249B2 (en) * 2006-03-28 2010-07-20 Tokyo Electron Limited Method of removing residue from a substrate
US20070231992A1 (en) * 2006-03-28 2007-10-04 Tokyo Electron Limited Method of removing residue from a substrate
US7737010B2 (en) * 2006-04-14 2010-06-15 Micron Technology, Inc. Method of photoresist strip for plasma doping process of semiconductor manufacturing
US20070243700A1 (en) * 2006-04-14 2007-10-18 Shu Qin Method of photoresist strip for plasma doping process of semiconductor manufacturing
US20080153306A1 (en) * 2006-12-11 2008-06-26 Applied Materials, Inc. Dry photoresist stripping process and apparatus
US7977244B2 (en) * 2006-12-18 2011-07-12 United Microelectronics Corp. Semiconductor manufacturing process
US20080146036A1 (en) * 2006-12-18 2008-06-19 Yu-Tsung Lai Semiconductor manufacturing process
US9761365B2 (en) 2007-07-20 2017-09-12 Applied Materials, Inc. RF choke for gas delivery to an RF driven electrode in a plasma processing apparatus
US20090022905A1 (en) * 2007-07-20 2009-01-22 Jozef Kudela Rf choke for gas delivery to an rf driven electrode in a plasma processing apparatus
US8728586B2 (en) * 2007-07-20 2014-05-20 Applied Materials, Inc. RF choke for gas delivery to an RF driven electrode in a plasma processing apparatus
US10886053B2 (en) 2007-07-20 2021-01-05 Applied Materials, Inc. RF choke for gas delivery to an RF driven electrode in a plasma processing apparatus
US10304607B2 (en) 2007-07-20 2019-05-28 Applied Materials, Inc. RF choke for gas delivery to an RF driven electrode in a plasma processing apparatus
US11532418B2 (en) 2007-07-20 2022-12-20 Applied Materials, Inc. RF choke for gas delivery to an RF driven electrode in a plasma processing apparatus
US9059105B2 (en) * 2007-08-16 2015-06-16 Ulvac, Inc. Ashing apparatus
US20100089533A1 (en) * 2007-08-16 2010-04-15 Ulvac, Inc. Ashing apparatus
EP2208221A4 (en) * 2007-11-01 2010-12-15 Eugene Technology Co Ltd Apparatus for surface-treating wafer using high-frequency inductively-coupled plasma
US20100243165A1 (en) * 2007-11-01 2010-09-30 Pyung-Yong Um Apparatus for surface-treating wafer using high-frequency inductively-coupled plasma
EP2208221A1 (en) * 2007-11-01 2010-07-21 Eugene Technology Co., Ltd. Apparatus for surface-treating wafer using high-frequency inductively-coupled plasma
US9484213B2 (en) 2008-03-06 2016-11-01 Tokyo Electron Limited Processing gas diffusing and supplying unit and substrate processing apparatus
US20090223449A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited Cover part, process gas diffusing and supplying unit, and substrate processing apparatus
US9177839B2 (en) * 2008-03-06 2015-11-03 Tokyo Electron Limited Cover part, process gas diffusing and supplying unit, and substrate processing apparatus
US20100048022A1 (en) * 2008-08-25 2010-02-25 Kabushiki Kaisha Toshiba Semiconductor manufacturing apparatus and method of manufacturing semiconductor device
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10720323B2 (en) * 2010-06-04 2020-07-21 Texas Instruments Incorporated Method for processing a semiconductor wafer using a thin edge carrier ring
US20160126090A1 (en) * 2010-06-04 2016-05-05 Texas Instruments Incorporated Method for Processing a Semiconductor Wafer Using a Thin Edge Carrier Ring
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
CN103632916A (en) * 2012-08-27 2014-03-12 朗姆研究公司 Symmetric return liner for modulating azimuthal non-uniformity in a plasma processing system
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US20150332941A1 (en) * 2012-10-09 2015-11-19 Applied Materials, Inc. Methods and apparatus for processing substrates using an ion shield
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
EP2863411B1 (en) * 2013-10-15 2020-12-02 SPTS Technologies Limited Plasma etching apparatus
US20150167160A1 (en) * 2013-12-16 2015-06-18 Applied Materials, Inc. Enabling radical-based deposition of dielectric films
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US20190214228A1 (en) * 2014-11-19 2019-07-11 Applied Materials, Inc. Radical assisted cure of dielectric films
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US11615944B2 (en) * 2017-05-31 2023-03-28 Applied Materials, Inc. Remote plasma oxidation chamber
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US20180347045A1 (en) * 2017-05-31 2018-12-06 Applied Materials, Inc. Remote plasma oxidation chamber
TWI798210B (en) * 2017-05-31 2023-04-11 美商應用材料股份有限公司 Remote plasma oxidation chamber
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US20200063260A1 (en) * 2018-08-22 2020-02-27 The Japan Steel Works, Ltd. Atomic layer deposition apparatus and film-forming method
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11462413B2 (en) * 2019-07-17 2022-10-04 Beijing E-town Semiconductor Technology Co., Ltd. Processing of workpieces using deposition process and etch process
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11961741B2 (en) 2021-03-04 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US20220293395A1 (en) * 2021-03-12 2022-09-15 Applied Materials, Inc. Ash rate recovery method in plasma strip chamber
US11955318B2 (en) * 2021-03-12 2024-04-09 Applied Materials, Inc. Ash rate recovery method in plasma strip chamber
US11959168B2 (en) 2021-04-26 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11959171B2 (en) 2022-07-18 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process

Similar Documents

Publication Publication Date Title
US20070051471A1 (en) Methods and apparatus for stripping
US7504040B2 (en) Plasma processing apparatus and plasma processing method
US8012305B2 (en) Exhaust assembly for a plasma processing system
KR101164829B1 (en) Methods and apparatus for tuning a set of plasma processing steps
JP5086083B2 (en) Method for processing a substrate
KR100929279B1 (en) Hardware development to reduce slope deposition
US6624082B2 (en) Systems and methods for two-sided etch of a semiconductor substrate
US6617257B2 (en) Method of plasma etching organic antireflective coating
KR101445153B1 (en) Methods and apparatus for in-situ chamber dry clean during photomask plasma etching
US6767698B2 (en) High speed stripping for damaged photoresist
US20090277874A1 (en) Method and apparatus for removing polymer from a substrate
US20100108262A1 (en) Apparatus for in-situ substrate processing
KR20210042939A (en) Equipment and process for electron beam mediated plasma etching and deposition process
US20090151870A1 (en) Silicon carbide focus ring for plasma etching system
JPH1171680A (en) Device for improved remote microwave plasma source used together with substrate treating apparatus
JP2001514800A (en) Method and apparatus for removing photoresist mask defects in a plasma reactor
KR20160041764A (en) Workpiece processing method
US20120108072A1 (en) Showerhead configurations for plasma reactors
WO2009111344A2 (en) Method and apparatus for removing polymer from a substrate
US7578945B2 (en) Method and apparatus for tuning a set of plasma processing steps
JP2006522480A (en) Method and apparatus for dry development of multilayer photoresist
WO2021033612A1 (en) Cleaning method and microwave plasma treatment device
JPH10134995A (en) Plasma processing device and processing method for plasma
US20010009177A1 (en) Systems and methods for two-sided etch of a semiconductor substrate
Pu Plasma Etch Equipment

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:KAWAGUCHI, MARK N.;PAVEL, ELIZABETH G.;PAPANU, JAMES S.;AND OTHERS;REEL/FRAME:013374/0282;SIGNING DATES FROM 20020926 TO 20021004

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION