US20070051299A1 - Non-contact etch annealing of strained layers - Google Patents

Non-contact etch annealing of strained layers Download PDF

Info

Publication number
US20070051299A1
US20070051299A1 US11/594,536 US59453606A US2007051299A1 US 20070051299 A1 US20070051299 A1 US 20070051299A1 US 59453606 A US59453606 A US 59453606A US 2007051299 A1 US2007051299 A1 US 2007051299A1
Authority
US
United States
Prior art keywords
semiconductor layer
strained
lattice constant
sacrificial
etch
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/594,536
Inventor
Philip Ong
Francois Henley
Igor Malik
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Silicon Genesis Corp
Original Assignee
Silicon Genesis Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/701,723 external-priority patent/US7147709B1/en
Application filed by Silicon Genesis Corp filed Critical Silicon Genesis Corp
Priority to US11/594,536 priority Critical patent/US20070051299A1/en
Publication of US20070051299A1 publication Critical patent/US20070051299A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B33/00After-treatment of single crystals or homogeneous polycrystalline material with defined structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/02428Structure
    • H01L21/0243Surface structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02505Layer structure consisting of more than two layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/0251Graded layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments

Definitions

  • Embodiments of the present invention relate to controlling the growth and morphology of surface roughness during an annealing and relaxation process of strained films, and more particularly to decreasing surface crystalline dislocations during a relaxation process.
  • a silicon layer is used as the active device medium upon which semiconductor devices are fabricated.
  • Single-crystal silicon has a specific carrier mobility value that is fundamental to the material.
  • the mobility value is a key parameter in many active semiconductor devices. Often, it is desired to enhance or increase the device carrier mobility value to increase the switching speed and therefore the performance of the fabricated devices such as transistors. Because of the many fundamental and specific advantages in utilizing silicon as the semiconductor material, it is highly desirable to adopt methods to enhance silicon mobility instead of utilizing higher mobility materials that are harder to process such as Germanium or Gallium Arsenide.
  • One practical method of enhancing silicon mobility is by straining the silicon layer. By placing the active silicon under tension, significantly higher mobility resulting in higher device switching speed and drive currents can be achieved.
  • a method of generating such tensile strained silicon involves growing the silicon layer epitaxially above a relaxed silicon germanium film of a specific composition. This effect occurs because the silicon lattice constant, about 5.43 Angstroms, is smaller than the lattice constant of a fully relaxed silicon germanium alloy film.
  • Such alloys can be engineered to have a lattice spacing linearly varying from 5.43 Angstroms (100% silicon) to 5.65 Angstroms (100% germanium).
  • the lattice spacing is about 4% larger than pure silicon.
  • a Si 0.75 Ge 0.25 alloy (25% germanium content) would have a lattice constant about 1% larger than silicon.
  • the strained silicon film could therefore be advantageously fabricated by epitaxially growing the device silicon film on a relaxed silicon Germanium (SiGe) alloy film of the requisite composition.
  • a fundamental complication of this mobility enhancement approach is the requirement of a relaxed SiGe film. If the SiGe film is grown onto a base silicon wafer, the film will first grow in a lattice-matched manner as a compressive layer. This means that the SiGe alloy will be compressed to the natural silicon lattice spacing and will be strained. Since the function of the alloy film requires a relaxation of the compressive strain, there must be a step where the SiGe alloy is relaxed to its unstrained state. Such a step necessarily introduces numerous dislocations in the SiGe layer to accommodate the lattice spacing and volume increase. The film also usually “buckles” and roughens significantly during this relaxation process.
  • the major parameters characterizing a practical relaxed SiGe alloy film include the amount that the film has been relaxed from its strained state (i.e. 50% relaxation would mean that the film has relaxed half of its strain), the roughness of the film, and the dislocation defect density that would be affecting the subsequent growth of the strained silicon device film.
  • Dislocations can comprise insertion of an extra half-plane of atoms into a regular crystal structure, displacement of whole rows of atoms from their regular lattice position, and/or displacement of one portion of the crystal relative to another portion of the crystal.
  • Dislocations present on the device layer can tend to short-circuit p-n junctions and also scatter electrons in a uniform n-type crystal, impeding their motion and reducing their mobility. Dislocations also cause highly localized distortion of the crystal lattice leading to the formation of “trapping” sites where the recombination of positive (holes) and negative (electrons) carriers is enhanced.
  • This electron “lifetime” may be significantly reduced by recombination when as few as one out of 10 11 atoms/cm3 of silicon are removed from their normal lattice sites.
  • some dislocations can be removed from a semiconductor material by thermal annealing, many dislocations are permanent and thermally stable. Many of the relaxation approaches are therefore tuned to minimize the defect density of the type that can be translated to the device layer and cause device performance degradation, failure and yield losses.
  • the SiGe alloy is grown with a slowly varying grade from 0% germanium to the required alloy composition at a sufficiently low temperature to grow a dislocation free initial film and through subsequent annealing, the slow gradient helps to accommodate film relaxation through the generation of dislocations that are buried within the SiGe layer.
  • This technology is explained in Legoues & al. (U.S. Pat. No. 5,659,187 “Low defect density/arbitrary lattice constant heteroepitaxial layers”).
  • the SiGe grade is usually less than 2% composition increase per 1000 Angstroms of SiGe film growth. This shallow gradient approach is lower in productivity due to its relatively thick SiGe layer composition and may require numerous growth/anneal cycles to achieve roughness and dislocation goals.
  • the surface roughness or the SiGe alloy layer can be reduced using a chemical mechanical polishing (CMP) process such as taught by Fitzgerald (U.S. Pat. No. 6,291,321 “Controlling threading dislocation densities in Ge on Si using graded GeSi layers and planarization” and U.S. Pat. No. 6,107,653, “Controlling threading dislocation densities in Ge on Si using graded GeSi layers and planarization”).
  • CMP utilizes a combination of vertical force between a wafer and an abrasive pad as well as a chemical action of a slurry, to polish the surface of the wafer to a highly planar state.
  • the roughness of the resulting semiconductor surface can typically be reduced to approximately 1 Angstrom RMS when measured by an Atomic Force Microscope (AFM).
  • AFM Atomic Force Microscope
  • CMP is relatively costly as a result of the slurry and the amount of time it takes to perform the process.
  • the CMP process does not generally reduce the dislocation density in the wafer.
  • this linear growth/anneal/CMP sequence is costly as it requires numerous sequential process and wafer handling steps.
  • Another method uses miscut wafers to help the grown film to relax as much as possible and accommodate the lattice mismatches. See for example Fitzgerald & al. (U.S. Pat. No. 6,039,803, “Utilization of miscut substrates to improve relaxed graded silicon-germanium and germanium layers on silicon”) that teaches the improvement of using base wafers having 1 to about 8 degrees of miscut from a true [100] orientation to help grow a less defective, relaxed layer of a second semiconductor material. Although the base substrate miscut can improve the relaxed defect density to some extent, the improvements are generally considered insufficient for leading edge applications.
  • FIG. 1 a flow diagram of a process according to the conventional art is shown.
  • This process produces a relaxed film of SiGe alloy material by first growing a strained film on a base wafer 110 , subjecting the strained film to an anneal step to relax the film and concurrent generation of surface roughening (buckling) and dislocations 120 , followed by a planarization smoothing step such as CMP 130 .
  • a planarization smoothing step such as CMP 130 .
  • the use of an epitaxial step such as CVD (Chemical Vapor Deposition) or MBE (Molecular Beam Epitaxy) followed by a planarization step such as CMP significantly complicates the film relaxation preparation process since multiple equipment, cleans, and wafer handling are required. This in turn would increase the manufacturing cost of the relaxed film fabrication process.
  • FIGS. 2A-2C various sectional views of a semiconductor layer are shown to illustrate the anneal/CMP conventional art such as disclosed by Fitzgerald in more detail.
  • a single crystalline semiconductor surface formed by an epitaxial process wherein a strained SiGe film 210 is grown onto a base silicon wafer 220 .
  • the semiconductor layer is comprised of single crystalline silicon-germanium having a surface roughness 230 of approximately 1-2 Angstrom RMS.
  • the silicon-germanium layer typically was grown at a sufficiently low temperature where the film is supercritically stressed but no relaxation has taken place.
  • the dislocation defect density 240 is therefore very low, on the order of 1 dislocations/cm 2 or less.
  • an anneal is performed on the substrate to relax the SiGe alloy film which generates substantial surface roughening 250 and dislocation defects 260 .
  • the resulting surface may have a buckled roughening 250 exceeding 200-300 Angstroms RMS and a dislocation defect density 260 exceeding approximately 10 7 dislocations/cm 2 .
  • a separate CMP process generally reduces surface roughness 270 to approximately 1-5 Angstroms RMS.
  • the CMP process generally does not decrease dislocations 260 in the silicon-germanium layer 310 and must be accompanied by comprehensive clean processes.
  • the conventional art is disadvantageous in that planarizing processes are relatively costly and time-consuming processes.
  • the conventional art also suffers from relatively high levels of dislocations.
  • a better and less costly approach that can fully relax strained SiGe alloy films while controlling surface roughness and dislocation defect levels is highly desirable.
  • a method for etch annealing a semiconductor layer is disclosed.
  • the method has the unexpected benefit of substantially reducing dislocations.
  • the reduced dislocation density is advantageous in that carrier mobility and yield are increased.
  • a method of forming a strained semiconductor layer comprises growing a strained first semiconductor layer, having a graded dopant profile, on a wafer, having a first lattice constant. The dopant imparts a second lattice constant to the first semiconductor layer. The method further comprises growing a strained boxed second semiconductor layer having the second lattice constant on the first semiconductor layer and growing a sacrificial third semiconductor layer having the first lattice constant on the second semiconductor layer. The method further comprises etch annealing the third and second semiconductor layer, wherein the third semiconductor layer is removed and the second semiconductor layer is relaxed.
  • the method may further comprises growing a fourth semiconductor layer having the second lattice constant on the now relaxed second semiconductor layer, wherein the fourth semiconductor layer is relaxed, and growing a strained fifth semiconductor layer having the first semiconductor lattice constant on the fourth semiconductor layer.
  • the method controls the surface roughness of the semiconductor layers.
  • the method also has the added benefit of reducing dislocations in the semiconductor layers.
  • FIG. 1 shows a flow diagram of a conventional art process for controlling the surface roughness and dislocations of a semiconductor material.
  • FIG. 2A shows a sectional view of a strained semiconductor layer having an initial surface roughness, according to the conventional art.
  • FIG. 2B shows a sectional view of a relaxed semiconductor layer having a substantially higher surface roughness and dislocation density after an annealing step, according to the conventional art.
  • FIG. 2C shows a sectional view of a semiconductor layer having a planar surface after a CMP process is performed on the conventionally annealed surface, according to the convention art.
  • FIG. 3 shows a flow diagram of a process for controlling the surface roughness and reducing dislocations of a strained semiconductor layer, in accordance with one embodiment of the present invention.
  • FIGS. 4A-4B show sectional views of a strained semiconductor structure having reduced surface roughness and reduced dislocations, in accordance with one embodiment of the present invention.
  • FIG. 3 a flow diagram of a process for controlling the surface roughness and reducing dislocations of a strained semiconductor layer, in accordance with one embodiment of the present invention, is shown.
  • the process begins with growing a strained graded first semiconductor layer, on a wafer, at 310 .
  • the wafer comprises a semiconductor having a first lattice constant.
  • the strained graded first semiconductor layer comprises a semiconductor having a graded dopant profile, wherein the dopant imparts a second lattice constant.
  • the first lattice constant is less than the second lattice constant.
  • the term “lattice constant” is intended to mean the lattice structure in a normally relaxed single crystalline state.
  • the strained graded first semiconductor layer comprises a strained SiGe alloy having a graded doping profile.
  • the stained graded SiGe layer of approximately 4000-20,000 angstroms ( ⁇ ) is formed by an epitaxial deposition process.
  • the doping profile of the germanium (Ge) increases from approximately 0% at the wafer to 25% at the surface of the strained SiGe layer.
  • the epitaxial deposition process is performed in a hydrogen-chloride (HCl) ambient.
  • the as-grown strained graded SiGe layer has a roughness of approximately 2 Angstroms root-mean-square (RMS), and less than approximately 1 dislocations/cm 2 .
  • a strained boxed second semiconductor layer is grown on the strained graded first semiconductor layer.
  • the strained boxed second semiconductor layer is grown having the second lattice constant.
  • the term “boxed” is intended to indicate that the doping profile of the layer is substantially constant.
  • the roughness of the strained boxed second semiconductor layer is low because the as-grown semiconductor is close to being or is fully strained and has not been subjected to thermal cycles that would have started the relaxation process.
  • the strained boxed second semiconductor layer comprises a strained SiGe layer having a constant Ge doping profile.
  • the strained boxed SiGe layer of approximately 500-5000 ⁇ is formed by an epitaxial deposition process.
  • the doping profile of the Ge is approximately 25% throughout the first strained boxed SiGe layer.
  • the epitaxial process if performed in an HCl ambient.
  • a sacrificial third semiconductor layer is grown on the strained boxed second semiconductor layer.
  • the sacrificial third semiconductor layer is grown having the first lattice constant.
  • the sacrificial third semiconductor layer comprises a silicon (Si) layer.
  • the sacrificial Si layer of approximately 100-300 ⁇ is formed by an epitaxial deposition process. It is also appreciated that the growth of the sacrificial third semiconductor layer may be omitted.
  • an etch anneal is performed upon the sacrificial third semiconductor layer and the boxed second semiconductor layer.
  • the surface is “etch annealed” utilizing, for example, an epitaxial chamber subjecting the wafer to a high temperature anneal in an etching ambient.
  • the etch anneal etches away the sacrificial third semiconductor layer, formed at 330 , and relaxes the boxed strained second semiconductor layer, formed at 320 .
  • the etch anneal may also relax the graded strained first semiconductor layer, formed at 310 . This etch anneal produces a smoother surface than a simple anneal performed in a non-etching ambient.
  • the etch annealing process comprises subjecting the sacrificial Si layer to an etchant including a halogen bearing compound such as HCl, HF, HI, HBr, SF 6 , CF 4 , NF 3 , CCl 2 F 2 , or the like.
  • a halogen bearing compound such as HCl, HF, HI, HBr, SF 6 , CF 4 , NF 3 , CCl 2 F 2 , or the like.
  • the etch annealing process is performed at an elevated temperature of 700-1200° C., or greater.
  • the surface of the sacrificial Si layer and the strained boxed SiGe layer is exposed to a HCl containing gas, at an elevated temperature of 700-1200° C., in an etch annealing process, such that: SiGe(solid)+4HCl(gas) ⁇ SiCl 4 (gas)+2H 2 (gas)+Ge
  • a HCl containing gas at an elevated temperature of 700-1200° C.
  • the etch annealing process removes silicon and silicon-germanium concurrently with the strain relaxation process and has been shown to help mitigate the undesirable emergence of dislocations and surface roughening.
  • the etch annealing process acts to control surface roughening and lower dislocation density while achieving substantially complete film relaxation.
  • the etchant removes the sacrificial Si layer. Furthermore, the concurrent use of the etchant and a temperature sufficient to relax the strained boxed SiGe or the strained boxed SiGe and strained graded SiGe layers has been found to help reduce or eliminate the generation of dislocations with a concurrent reduction in relaxation roughening of the surface. This favorable effect is believed linked to a reduction of the stress inducing cycloidic cusp tips present during a non-etch anneal (H. Gao & W. D. Nix, “Surface Roughening of Heteroepitaxial Thin Films”, Annu. Rev. Mater. Sci. 1999, 29, pg. 173-209).
  • the strain caused by the lattice mismatch drives the generation of an undulating profile on the surface that has periodic sharp cusp tips that favor the creation of dislocations at these highly stressed locations.
  • the concurrent etching process during lattice relaxation is believed to significantly blunt or round the cusp tips that reduce the stress concentration and thus reduces the surface dislocation density by affecting its creation kinetics.
  • the surface roughening is also disfavored by the etch ambient.
  • the etch annealing process may be performed at a range of elevated temperatures that would favor the concentration of the dislocations away from the surface to relax the lattice structure of the boxed SiGe layer.
  • the thermal treatment may be from a resistance heater, RF heater, high intensity lamps, or the like.
  • the thermal treatment means should be capable of heating the semiconductor material at a rate of approximately 10-20° C./sec, or more.
  • the strained graded SiGe layer, strained boxed SiGe layer, and the sacrificial Si layer are performed within an epitaxial reactor and the etch annealing is also performed within the same system, repetition of these steps is straightforward and the general economy of the process can be fully appreciated since no cleans, external anneals or CMP planarization steps are needed.
  • the etch annealing process removes strained semiconductor material in a manner that blunts sharpening (roughening) features that form on the surface upon film relaxation.
  • the rate of etching is a function of time, temperature, and the etchant type and concentration. Therefore, controlling these parameters during the etch annealing process controls the amount of etching.
  • the etch annealing process is performed until the process reduces surface roughness by approximately fifty percent or more compared to an anneal without the etchant.
  • the etch annealing process acts to control surface roughening during film relaxation sufficient for subsequent semiconductor device fabrication processes.
  • the method also provides the added benefit of reducing dislocations by up to two orders of magnitudes or more.
  • the etch annealing process can be used to remove as much as a few hundreds of nano-meters or more of semiconductor material.
  • the etch anneal may be performed partway through the growth of the strain graded first semiconductor layer formed at 310 . Furthermore, the etch anneal may be performed more than once at during various processes, such as 310 , 320 and/or 330 .
  • a boxed fourth semiconductor layer is grown on the relaxed boxed second semiconductor layer.
  • the boxed fourth semiconductor layer is grown having the second lattice constant and has a relaxed structure.
  • the boxed fourth semiconductor layer comprises a relaxed SiGe layer having a constant Ge doping profile.
  • the boxed SiGe layer of approximately 1000-10,000 ⁇ is formed by an epitaxial deposition process.
  • the doping profile of the Ge is approximately 25% throughout the boxed SiGe layer.
  • the epitixial process if performed in an HCl ambient.
  • a fifth semiconductor layer is grown on the boxed fourth semiconductor layer.
  • the fifth semiconductor layer is grown having the first lattice constant. Accordingly, the fifth semiconductor layer is formed as a strained semiconductor layer.
  • the fifth semiconductor layer comprises a silicon (Si) layer.
  • the second Si layer of approximately 100-200 ⁇ is formed by an epitaxial deposition process.
  • the fifth semiconductor layer may be utilized for fabricating additional layers thereupon or device regions therein.
  • the reduced dislocation density of the resulting strained fifth semiconductor layer advantageously results in higher carrier mobility.
  • the higher carrier mobility improves characteristics of devices, such as field effect transistors, bipolar transistors, and the like.
  • an intermediate semiconductor structure comprises a wafer 410 .
  • a strained graded first semiconductor layer 420 is formed upon the wafer 410 .
  • a strained boxed second semiconductor layer 430 is formed upon the strained graded first semiconductor layer 420 .
  • a sacrificial third semiconductor layer 440 is formed upon the strained boxed second semiconductor layer 430 .
  • the strained semiconductor structure after an etch anneal process is shown.
  • the graded first semiconductor layer 420 and the boxed second semiconductor layer have been relaxed by the etch anneal process.
  • the sacrificial third semiconductor layer 440 has been removed.
  • a boxed fourth semiconductor layer 450 is formed upon the relaxed boxed second semiconductor layer 430 and is relaxed.
  • a fifth semiconductor layer 460 is formed upon the relaxed boxed fourth semiconductor layer 450 .
  • the fifth semiconductor layer 460 has a first lattice constant, and the relaxed boxed fourth semiconductor layer 450 has a second lattice constant. Therefore, the fifth semiconductor layer 460 comprises a strained semiconductor layer.
  • the etch annealing process yields a less costly alternative than the conventional art by allowing for a usable strained silicon device layer without costly external planarization steps. Furthermore, one skilled in the art would expect that etch annealing would not affect the generation of the dislocation process. However, the etch annealing process results in the unexpected benefit of decreasing the dislocation density to approximately 10 5 dislocations/cm 2 , as compared to an anneal made without an etch ambient of approximately 10 7 dislocations/cm 2 . The etch annealing process is also highly effective in fully relaxing the film, rendering it suitable as a base to grow a strained silicon device film.
  • the resulting strained fifth semiconductor layer 460 can be utilized for fabricating semiconductor layers thereupon or device regions therein.
  • the reduced dislocation nature of the semiconductor layer advantageously results in higher carrier mobility.
  • the high carrier mobility in the fifth semiconductor layer 460 improves characteristics of the devices formed therein.

Abstract

The present invention provides a method of forming a strained semiconductor layer. The method comprises growing a strained first semiconductor layer, having a graded dopant profile, on a wafer, having a first lattice constant. The dopant imparts a second lattice constant to the first semiconductor layer. The method further comprises growing a strained boxed second semiconductor layer having the second lattice constant on the first semiconductor layer and growing a sacrificial third semiconductor layer having the first lattice constant on the second semiconductor layer. The method further comprises etch annealing the third and second semiconductor layer, wherein the third semiconductor layer is removed and the second semiconductor layer is relaxed. The method may further comprises growing a fourth semiconductor layer having the second lattice constant on the second semiconductor layer, wherein the fourth semiconductor layer is relaxed, and growing a strained fifth semiconductor layer having the first semiconductor lattice constant on the fourth semiconductor layer. The method controls the surface roughness of the semiconductor layers. The method also has the unexpected benefit of reducing dislocations in the semiconductor layers.

Description

    RELATED APPLICATIONS
  • This application claims the benefit of U.S. Provisional Application No. 60/484,181; filed Jun. 30, 2003, and is a continuation-in-part of U.S. application Ser. No. 10/264,393, filed Oct. 4, 2002.
  • FIELD OF THE INVENTION
  • Embodiments of the present invention relate to controlling the growth and morphology of surface roughness during an annealing and relaxation process of strained films, and more particularly to decreasing surface crystalline dislocations during a relaxation process.
  • BACKGROUND OF THE INVENTION
  • In the conventional art, many semiconductor device fabrication processes utilize planar surfaces. Furthermore, as semiconductor fabrication technology progresses, increasing carrier mobility and decreasing lattice dislocation density become increasingly critical. Improving device yields by reducing dislocations provides for improved manufacturing efficiencies and cost.
  • In the conventional art, a silicon layer is used as the active device medium upon which semiconductor devices are fabricated. Single-crystal silicon has a specific carrier mobility value that is fundamental to the material. The mobility value is a key parameter in many active semiconductor devices. Often, it is desired to enhance or increase the device carrier mobility value to increase the switching speed and therefore the performance of the fabricated devices such as transistors. Because of the many fundamental and specific advantages in utilizing silicon as the semiconductor material, it is highly desirable to adopt methods to enhance silicon mobility instead of utilizing higher mobility materials that are harder to process such as Germanium or Gallium Arsenide.
  • One practical method of enhancing silicon mobility is by straining the silicon layer. By placing the active silicon under tension, significantly higher mobility resulting in higher device switching speed and drive currents can be achieved.
  • A method of generating such tensile strained silicon involves growing the silicon layer epitaxially above a relaxed silicon germanium film of a specific composition. This effect occurs because the silicon lattice constant, about 5.43 Angstroms, is smaller than the lattice constant of a fully relaxed silicon germanium alloy film. Such alloys can be engineered to have a lattice spacing linearly varying from 5.43 Angstroms (100% silicon) to 5.65 Angstroms (100% germanium). For the pure germanium film, the lattice spacing is about 4% larger than pure silicon. Thus for example, a Si0.75Ge0.25 alloy (25% germanium content) would have a lattice constant about 1% larger than silicon.
  • The strained silicon film could therefore be advantageously fabricated by epitaxially growing the device silicon film on a relaxed silicon Germanium (SiGe) alloy film of the requisite composition.
  • A fundamental complication of this mobility enhancement approach is the requirement of a relaxed SiGe film. If the SiGe film is grown onto a base silicon wafer, the film will first grow in a lattice-matched manner as a compressive layer. This means that the SiGe alloy will be compressed to the natural silicon lattice spacing and will be strained. Since the function of the alloy film requires a relaxation of the compressive strain, there must be a step where the SiGe alloy is relaxed to its unstrained state. Such a step necessarily introduces numerous dislocations in the SiGe layer to accommodate the lattice spacing and volume increase. The film also usually “buckles” and roughens significantly during this relaxation process.
  • The major parameters characterizing a practical relaxed SiGe alloy film include the amount that the film has been relaxed from its strained state (i.e. 50% relaxation would mean that the film has relaxed half of its strain), the roughness of the film, and the dislocation defect density that would be affecting the subsequent growth of the strained silicon device film.
  • The surface dislocation density is a critical parameter affecting the electrical properties of semiconductor materials since they are highly dependent upon crystalline defects. Dislocations can comprise insertion of an extra half-plane of atoms into a regular crystal structure, displacement of whole rows of atoms from their regular lattice position, and/or displacement of one portion of the crystal relative to another portion of the crystal. Dislocations present on the device layer can tend to short-circuit p-n junctions and also scatter electrons in a uniform n-type crystal, impeding their motion and reducing their mobility. Dislocations also cause highly localized distortion of the crystal lattice leading to the formation of “trapping” sites where the recombination of positive (holes) and negative (electrons) carriers is enhanced. This may cause, for example, the electrons from the n-p-n transistor emitter to recombine with holes in the p-type base regions before they can be collected at the n-type collector region, reducing the transistor current gain. This electron “lifetime” may be significantly reduced by recombination when as few as one out of 1011 atoms/cm3 of silicon are removed from their normal lattice sites. Although some dislocations can be removed from a semiconductor material by thermal annealing, many dislocations are permanent and thermally stable. Many of the relaxation approaches are therefore tuned to minimize the defect density of the type that can be translated to the device layer and cause device performance degradation, failure and yield losses.
  • In one method according to the conventional art, the SiGe alloy is grown with a slowly varying grade from 0% germanium to the required alloy composition at a sufficiently low temperature to grow a dislocation free initial film and through subsequent annealing, the slow gradient helps to accommodate film relaxation through the generation of dislocations that are buried within the SiGe layer. This technology is explained in Legoues & al. (U.S. Pat. No. 5,659,187 “Low defect density/arbitrary lattice constant heteroepitaxial layers”). To limit the production of dislocations threading to the surface, the SiGe grade is usually less than 2% composition increase per 1000 Angstroms of SiGe film growth. This shallow gradient approach is lower in productivity due to its relatively thick SiGe layer composition and may require numerous growth/anneal cycles to achieve roughness and dislocation goals.
  • In yet another method according to the conventional art, the surface roughness or the SiGe alloy layer can be reduced using a chemical mechanical polishing (CMP) process such as taught by Fitzgerald (U.S. Pat. No. 6,291,321 “Controlling threading dislocation densities in Ge on Si using graded GeSi layers and planarization” and U.S. Pat. No. 6,107,653, “Controlling threading dislocation densities in Ge on Si using graded GeSi layers and planarization”). CMP utilizes a combination of vertical force between a wafer and an abrasive pad as well as a chemical action of a slurry, to polish the surface of the wafer to a highly planar state. The roughness of the resulting semiconductor surface can typically be reduced to approximately 1 Angstrom RMS when measured by an Atomic Force Microscope (AFM). However, CMP is relatively costly as a result of the slurry and the amount of time it takes to perform the process. Furthermore, the CMP process does not generally reduce the dislocation density in the wafer. Finally, this linear growth/anneal/CMP sequence is costly as it requires numerous sequential process and wafer handling steps.
  • Another method uses miscut wafers to help the grown film to relax as much as possible and accommodate the lattice mismatches. See for example Fitzgerald & al. (U.S. Pat. No. 6,039,803, “Utilization of miscut substrates to improve relaxed graded silicon-germanium and germanium layers on silicon”) that teaches the improvement of using base wafers having 1 to about 8 degrees of miscut from a true [100] orientation to help grow a less defective, relaxed layer of a second semiconductor material. Although the base substrate miscut can improve the relaxed defect density to some extent, the improvements are generally considered insufficient for leading edge applications.
  • Referring to FIG. 1, a flow diagram of a process according to the conventional art is shown. This process produces a relaxed film of SiGe alloy material by first growing a strained film on a base wafer 110, subjecting the strained film to an anneal step to relax the film and concurrent generation of surface roughening (buckling) and dislocations 120, followed by a planarization smoothing step such as CMP 130. The use of an epitaxial step such as CVD (Chemical Vapor Deposition) or MBE (Molecular Beam Epitaxy) followed by a planarization step such as CMP significantly complicates the film relaxation preparation process since multiple equipment, cleans, and wafer handling are required. This in turn would increase the manufacturing cost of the relaxed film fabrication process.
  • Referring now to FIGS. 2A-2C, various sectional views of a semiconductor layer are shown to illustrate the anneal/CMP conventional art such as disclosed by Fitzgerald in more detail. As depicted in FIG. 2A, a single crystalline semiconductor surface formed by an epitaxial process wherein a strained SiGe film 210 is grown onto a base silicon wafer 220. The semiconductor layer is comprised of single crystalline silicon-germanium having a surface roughness 230 of approximately 1-2 Angstrom RMS. The silicon-germanium layer typically was grown at a sufficiently low temperature where the film is supercritically stressed but no relaxation has taken place. The dislocation defect density 240 is therefore very low, on the order of 1 dislocations/cm2 or less.
  • As depicted in FIG. 2B, an anneal is performed on the substrate to relax the SiGe alloy film which generates substantial surface roughening 250 and dislocation defects 260. The resulting surface may have a buckled roughening 250 exceeding 200-300 Angstroms RMS and a dislocation defect density 260 exceeding approximately 107 dislocations/cm2.
  • As depicted in FIG. 2C, a separate CMP process generally reduces surface roughness 270 to approximately 1-5 Angstroms RMS. However, the CMP process generally does not decrease dislocations 260 in the silicon-germanium layer 310 and must be accompanied by comprehensive clean processes.
  • Thus, the conventional art is disadvantageous in that planarizing processes are relatively costly and time-consuming processes. The conventional art also suffers from relatively high levels of dislocations. A better and less costly approach that can fully relax strained SiGe alloy films while controlling surface roughness and dislocation defect levels is highly desirable.
  • SUMMARY OF THE INVENTION
  • A method for etch annealing a semiconductor layer is disclosed. In addition to its significant effect in controlling the roughness increases during the relaxation process, the method has the unexpected benefit of substantially reducing dislocations. The reduced dislocation density is advantageous in that carrier mobility and yield are increased.
  • In one embodiment, a method of forming a strained semiconductor layer is provided. The method comprises growing a strained first semiconductor layer, having a graded dopant profile, on a wafer, having a first lattice constant. The dopant imparts a second lattice constant to the first semiconductor layer. The method further comprises growing a strained boxed second semiconductor layer having the second lattice constant on the first semiconductor layer and growing a sacrificial third semiconductor layer having the first lattice constant on the second semiconductor layer. The method further comprises etch annealing the third and second semiconductor layer, wherein the third semiconductor layer is removed and the second semiconductor layer is relaxed. The method may further comprises growing a fourth semiconductor layer having the second lattice constant on the now relaxed second semiconductor layer, wherein the fourth semiconductor layer is relaxed, and growing a strained fifth semiconductor layer having the first semiconductor lattice constant on the fourth semiconductor layer. The method controls the surface roughness of the semiconductor layers. The method also has the added benefit of reducing dislocations in the semiconductor layers.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The present invention is illustrated by way of example and not by way of limitation, in the figures of the accompanying drawings and in which like reference numerals refer to similar elements and in which:
  • FIG. 1 shows a flow diagram of a conventional art process for controlling the surface roughness and dislocations of a semiconductor material.
  • FIG. 2A shows a sectional view of a strained semiconductor layer having an initial surface roughness, according to the conventional art.
  • FIG. 2B shows a sectional view of a relaxed semiconductor layer having a substantially higher surface roughness and dislocation density after an annealing step, according to the conventional art.
  • FIG. 2C shows a sectional view of a semiconductor layer having a planar surface after a CMP process is performed on the conventionally annealed surface, according to the convention art.
  • FIG. 3 shows a flow diagram of a process for controlling the surface roughness and reducing dislocations of a strained semiconductor layer, in accordance with one embodiment of the present invention.
  • FIGS. 4A-4B show sectional views of a strained semiconductor structure having reduced surface roughness and reduced dislocations, in accordance with one embodiment of the present invention.
  • DETAILED DESCRIPTION OF THE INVENTION
  • Reference will now be made in detail to the embodiments of the invention, examples of which are illustrated in the accompanying drawings. While the invention will be described in conjunction with the preferred embodiments, it will be understood that they are not intended to limit the invention to these embodiments. On the contrary, the invention is intended to cover alternatives, modifications and equivalents, which may be included within the spirit and scope of the invention as defined by the appended claims. Furthermore, in the following detailed description of the present invention, numerous specific details are set forth in order to provide a thorough understanding of the present invention. However, it will be obvious to one of ordinary skill in the art that the present invention may be practiced without these specific details. In other instances, well-known methods, procedures, components, and circuits have not been described in detail as not to unnecessarily obscure aspects of the present invention.
  • Referring to FIG. 3, a flow diagram of a process for controlling the surface roughness and reducing dislocations of a strained semiconductor layer, in accordance with one embodiment of the present invention, is shown. As depicted in FIG. 3, the process begins with growing a strained graded first semiconductor layer, on a wafer, at 310. The wafer comprises a semiconductor having a first lattice constant. The strained graded first semiconductor layer comprises a semiconductor having a graded dopant profile, wherein the dopant imparts a second lattice constant. The first lattice constant is less than the second lattice constant. The term “lattice constant” is intended to mean the lattice structure in a normally relaxed single crystalline state.
  • In one implementation, the strained graded first semiconductor layer comprises a strained SiGe alloy having a graded doping profile. The stained graded SiGe layer of approximately 4000-20,000 angstroms (Å) is formed by an epitaxial deposition process. The doping profile of the germanium (Ge) increases from approximately 0% at the wafer to 25% at the surface of the strained SiGe layer. In one implementation, the epitaxial deposition process is performed in a hydrogen-chloride (HCl) ambient. The as-grown strained graded SiGe layer has a roughness of approximately 2 Angstroms root-mean-square (RMS), and less than approximately 1 dislocations/cm2.
  • At 320, a strained boxed second semiconductor layer is grown on the strained graded first semiconductor layer. The strained boxed second semiconductor layer is grown having the second lattice constant. The term “boxed” is intended to indicate that the doping profile of the layer is substantially constant. The roughness of the strained boxed second semiconductor layer is low because the as-grown semiconductor is close to being or is fully strained and has not been subjected to thermal cycles that would have started the relaxation process. In one implementation, the strained boxed second semiconductor layer comprises a strained SiGe layer having a constant Ge doping profile. The strained boxed SiGe layer of approximately 500-5000 Å is formed by an epitaxial deposition process. The doping profile of the Ge is approximately 25% throughout the first strained boxed SiGe layer. In one implementation, the epitaxial process if performed in an HCl ambient.
  • At 330, a sacrificial third semiconductor layer is grown on the strained boxed second semiconductor layer. The sacrificial third semiconductor layer is grown having the first lattice constant. In one implementation, the sacrificial third semiconductor layer comprises a silicon (Si) layer. The sacrificial Si layer of approximately 100-300 Å is formed by an epitaxial deposition process. It is also appreciated that the growth of the sacrificial third semiconductor layer may be omitted.
  • At 340, an etch anneal is performed upon the sacrificial third semiconductor layer and the boxed second semiconductor layer. The surface is “etch annealed” utilizing, for example, an epitaxial chamber subjecting the wafer to a high temperature anneal in an etching ambient. The etch anneal etches away the sacrificial third semiconductor layer, formed at 330, and relaxes the boxed strained second semiconductor layer, formed at 320. The etch anneal may also relax the graded strained first semiconductor layer, formed at 310. This etch anneal produces a smoother surface than a simple anneal performed in a non-etching ambient.
  • A similar process has been shown to smooth unstrained films in a process referred to as an “epi-smoothing” process. The epi-smoothing process is disclosed in U.S. Pat. No. 6,287,941, granted Sep. 11, 2001, entitled “Surface Finishing of SOI Substrates Using an EPI Process,” which is incorporated by reference herein. Since the etching process applied to relaxing strained films controls the overall roughness rather than smooths the surface, it will hereinafter called “etch annealing”.
  • In one implementation, the etch annealing process comprises subjecting the sacrificial Si layer to an etchant including a halogen bearing compound such as HCl, HF, HI, HBr, SF6, CF4, NF3, CCl2F2, or the like. The etch annealing process is performed at an elevated temperature of 700-1200° C., or greater. For example, the surface of the sacrificial Si layer and the strained boxed SiGe layer is exposed to a HCl containing gas, at an elevated temperature of 700-1200° C., in an etch annealing process, such that:
    SiGe(solid)+4HCl(gas)→SiCl4(gas)+2H2(gas)+Ge
    This process is substantially the reversal of an epitaxial deposition process for growing a silicon-germanium layer. The difference being that if the concentration of hydrogen chloride is too high, the surface is etched instead of silicon-germanium being deposited. The etch annealing process removes silicon and silicon-germanium concurrently with the strain relaxation process and has been shown to help mitigate the undesirable emergence of dislocations and surface roughening. Thus, the etch annealing process acts to control surface roughening and lower dislocation density while achieving substantially complete film relaxation.
  • Accordingly, the etchant removes the sacrificial Si layer. Furthermore, the concurrent use of the etchant and a temperature sufficient to relax the strained boxed SiGe or the strained boxed SiGe and strained graded SiGe layers has been found to help reduce or eliminate the generation of dislocations with a concurrent reduction in relaxation roughening of the surface. This favorable effect is believed linked to a reduction of the stress inducing cycloidic cusp tips present during a non-etch anneal (H. Gao & W. D. Nix, “Surface Roughening of Heteroepitaxial Thin Films”, Annu. Rev. Mater. Sci. 1999, 29, pg. 173-209). In the work by Gao and Nix, it is explained that the strain caused by the lattice mismatch drives the generation of an undulating profile on the surface that has periodic sharp cusp tips that favor the creation of dislocations at these highly stressed locations. The concurrent etching process during lattice relaxation is believed to significantly blunt or round the cusp tips that reduce the stress concentration and thus reduces the surface dislocation density by affecting its creation kinetics. The surface roughening is also disfavored by the etch ambient.
  • The etch annealing process may be performed at a range of elevated temperatures that would favor the concentration of the dislocations away from the surface to relax the lattice structure of the boxed SiGe layer. The thermal treatment may be from a resistance heater, RF heater, high intensity lamps, or the like. The thermal treatment means should be capable of heating the semiconductor material at a rate of approximately 10-20° C./sec, or more.
  • Because the strained graded SiGe layer, strained boxed SiGe layer, and the sacrificial Si layer are performed within an epitaxial reactor and the etch annealing is also performed within the same system, repetition of these steps is straightforward and the general economy of the process can be fully appreciated since no cleans, external anneals or CMP planarization steps are needed.
  • The etch annealing process removes strained semiconductor material in a manner that blunts sharpening (roughening) features that form on the surface upon film relaxation. The rate of etching is a function of time, temperature, and the etchant type and concentration. Therefore, controlling these parameters during the etch annealing process controls the amount of etching. The etch annealing process is performed until the process reduces surface roughness by approximately fifty percent or more compared to an anneal without the etchant. Thus, the etch annealing process acts to control surface roughening during film relaxation sufficient for subsequent semiconductor device fabrication processes. The method also provides the added benefit of reducing dislocations by up to two orders of magnitudes or more.
  • Furthermore, unlike convention CMP processes that are limited to removing a few tens of nano-meters or less, the etch annealing process can be used to remove as much as a few hundreds of nano-meters or more of semiconductor material.
  • It is also appreciated that the etch anneal may be performed partway through the growth of the strain graded first semiconductor layer formed at 310. Furthermore, the etch anneal may be performed more than once at during various processes, such as 310, 320 and/or 330.
  • At 350, a boxed fourth semiconductor layer is grown on the relaxed boxed second semiconductor layer. The boxed fourth semiconductor layer is grown having the second lattice constant and has a relaxed structure. In one implementation, the boxed fourth semiconductor layer comprises a relaxed SiGe layer having a constant Ge doping profile. The boxed SiGe layer of approximately 1000-10,000 Å is formed by an epitaxial deposition process. The doping profile of the Ge is approximately 25% throughout the boxed SiGe layer. In one implementation, the epitixial process if performed in an HCl ambient.
  • At 360, a fifth semiconductor layer is grown on the boxed fourth semiconductor layer. The fifth semiconductor layer is grown having the first lattice constant. Accordingly, the fifth semiconductor layer is formed as a strained semiconductor layer. In one implementation, the fifth semiconductor layer comprises a silicon (Si) layer. The second Si layer of approximately 100-200 Å is formed by an epitaxial deposition process.
  • The fifth semiconductor layer may be utilized for fabricating additional layers thereupon or device regions therein. The reduced dislocation density of the resulting strained fifth semiconductor layer advantageously results in higher carrier mobility. The higher carrier mobility improves characteristics of devices, such as field effect transistors, bipolar transistors, and the like.
  • Referring now to FIGS. 4A-4B, sectional views of a strained semiconductor structure having reduced surface roughness and reduced dislocations, in accordance with one embodiment of the present invention, is shown. As depicted in FIG. 4A, an intermediate semiconductor structure comprises a wafer 410. A strained graded first semiconductor layer 420 is formed upon the wafer 410. A strained boxed second semiconductor layer 430 is formed upon the strained graded first semiconductor layer 420. A sacrificial third semiconductor layer 440 is formed upon the strained boxed second semiconductor layer 430.
  • As depicted in FIG. 4B, the strained semiconductor structure after an etch anneal process is shown. The graded first semiconductor layer 420 and the boxed second semiconductor layer have been relaxed by the etch anneal process. The sacrificial third semiconductor layer 440 has been removed. A boxed fourth semiconductor layer 450 is formed upon the relaxed boxed second semiconductor layer 430 and is relaxed. A fifth semiconductor layer 460 is formed upon the relaxed boxed fourth semiconductor layer 450. The fifth semiconductor layer 460 has a first lattice constant, and the relaxed boxed fourth semiconductor layer 450 has a second lattice constant. Therefore, the fifth semiconductor layer 460 comprises a strained semiconductor layer.
  • The etch annealing process yields a less costly alternative than the conventional art by allowing for a usable strained silicon device layer without costly external planarization steps. Furthermore, one skilled in the art would expect that etch annealing would not affect the generation of the dislocation process. However, the etch annealing process results in the unexpected benefit of decreasing the dislocation density to approximately 105 dislocations/cm2, as compared to an anneal made without an etch ambient of approximately 107 dislocations/cm2. The etch annealing process is also highly effective in fully relaxing the film, rendering it suitable as a base to grow a strained silicon device film.
  • The resulting strained fifth semiconductor layer 460 can be utilized for fabricating semiconductor layers thereupon or device regions therein. The reduced dislocation nature of the semiconductor layer advantageously results in higher carrier mobility. The high carrier mobility in the fifth semiconductor layer 460 improves characteristics of the devices formed therein.
  • The foregoing descriptions of specific embodiments of the present invention have been presented for purposes of illustration and description. They are not intended to be exhaustive or to limit the invention to the precise forms disclosed, and obviously many modifications and variations are possible in light of the above teaching. The embodiments were chosen and described in order to best explain the principles of the invention and its practical application, to thereby enable others skilled in the art to best utilize the invention and various embodiments with various modifications as are suited to the particular use contemplated. It is intended that the scope of the invention be defined by the Claims appended hereto and their equivalents.

Claims (24)

1-15. (canceled)
16. A method comprising:
forming over a strained semiconductor layer having a lattice constant, a second strained semiconductor layer also having the lattice constant;
forming a sacrificial semiconductor layer having a second lattice constant on said second semiconductor layer;
annealing the sacrificial semiconductor layer and the second strained semiconductor layer in an etching ambient to remove the sacrificial semiconductor layer and reduce strain in a second semiconductor layer;
forming a relaxed semiconductor layer having the lattice constant on the second semiconductor layer; and
forming a third strained semiconductor layer having the lattice constant on the relaxed semiconductor layer.
17. The method of claim 17 wherein at least one of the strained semiconductor layer, the second strained semiconductor layer, the sacrificial semiconductor layer, the relaxed semiconductor layer, and the third strained semiconductor layer are formed by epitaxial deposition of graded silicon germanium.
18. The method of claim 17 wherein the epitaxial deposition is performed in a hydrogen-chloride ambient.
19. The method of claim 16 further comprising:
providing a substrate having the second lattice constant; and
forming the strained semiconductor layer with a graded dopant profile on the substrate.
20. The method of claim 19 wherein the graded dopant profile imparts the lattice constant to the strained semiconductor layer.
21. The method of claim 16 wherein the etching ambient comprises a halogen bearing etchant.
22. The method of claim 21 wherein said halogen bearing etchant is hydrogen chloride.
23. The method of claim 21 wherein said halogen bearing etchant is hydrogen fluoride.
24. The method of claim 21 wherein etching ambient further comprises hydrogen.
25. The method of claim 21 wherein the annealing increases a temperature of the sacrificial semiconductor layer. to between about 700-1200° C.
26. A method of controlling the surface roughness of a strained semiconductor material comprising:
forming a first strained semiconductor layer having a graded dopant profile on a wafer having a first lattice constant, the dopant imparting a second lattice constant to the first semiconductor layer;
forming a second strained semiconductor layer having said second lattice constant on the first semiconductor layer;
forming a sacrificial semiconductor layer having the first lattice constant on the second semiconductor layer; and
etch annealing the third and second semiconductor layer, wherein said third semiconductor layer is removed and said second semiconductor layer is relaxed.
27. The method of claim 26 wherein the etch annealing comprises exposing the third semiconductor layer to an etch ambient comprising a halogen bearing etchant.
28. The method of claim 27 wherein said halogen bearing etchant is hydrogen chloride.
29. The method of claim 27 wherein said halogen bearing etchant is hydrogen fluoride.
30. The method of claim 26 wherein etch annealing said surface of the third semiconductor layer further comprises exposing the third semiconductor layer to hydrogen.
31. The method of claim 26, wherein etch annealing said third semiconductor layer further comprises increasing a temperature of said third semiconductor layer. to between 700 and 1200 degrees Celsius.
32. The method of claim 26 wherein at least one of the first strained semiconductor layer, the second strained semiconductor layer, and the sacrificial semiconductor layer are formed by epitaxial deposition of graded silicon germanium.
33. The method of claim 32 wherein the epitaxial deposition is performed in a hydrogen-chloride ambient.
34. A method of reducing dislocations in a semiconductor material comprising relaxing a strained semiconductor layer having a first lattice constant by etch annealing to remove an overlying sacrificial semiconductor layer having a second lattice constant, the strained semiconductor layer formed over a second strained second semiconductor layer having a second lattice constant imparted by a graded dopant profile.
35. The method of claim 34 wherein the etch annealing comprises exposing the strained semiconductor layer and the sacrificial semiconductor layer to an etch ambient comprising a halogen bearing etchant.
36. The method of claim 34 wherein said halogen bearing etchant is hydrogen chloride.
37. The method of claim 34 wherein said halogen bearing etchant is hydrogen fluoride.
38. The method of claim 34 wherein the etch annealing further comprises exposing the strained semiconductor layer and the sacrificial semiconductor layer to hydrogen.
US11/594,536 2002-10-04 2006-11-07 Non-contact etch annealing of strained layers Abandoned US20070051299A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/594,536 US20070051299A1 (en) 2002-10-04 2006-11-07 Non-contact etch annealing of strained layers

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/264,393 US8187377B2 (en) 2002-10-04 2002-10-04 Non-contact etch annealing of strained layers
US10/701,723 US7147709B1 (en) 2002-10-04 2003-11-04 Non-contact etch annealing of strained layers
US11/594,536 US20070051299A1 (en) 2002-10-04 2006-11-07 Non-contact etch annealing of strained layers

Related Parent Applications (2)

Application Number Title Priority Date Filing Date
US10/264,393 Continuation-In-Part US8187377B2 (en) 2002-10-04 2002-10-04 Non-contact etch annealing of strained layers
US10/701,723 Continuation US7147709B1 (en) 2002-10-04 2003-11-04 Non-contact etch annealing of strained layers

Publications (1)

Publication Number Publication Date
US20070051299A1 true US20070051299A1 (en) 2007-03-08

Family

ID=32042210

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/264,393 Expired - Fee Related US8187377B2 (en) 2002-10-04 2002-10-04 Non-contact etch annealing of strained layers
US11/594,536 Abandoned US20070051299A1 (en) 2002-10-04 2006-11-07 Non-contact etch annealing of strained layers

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/264,393 Expired - Fee Related US8187377B2 (en) 2002-10-04 2002-10-04 Non-contact etch annealing of strained layers

Country Status (2)

Country Link
US (2) US8187377B2 (en)
CN (1) CN1732557B (en)

Cited By (261)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050118754A1 (en) * 2003-11-18 2005-06-02 Silicon Genesis Corporation Method for fabricating semiconductor devices using strained silicon bearing material
US20050227425A1 (en) * 2003-11-18 2005-10-13 Silicon Genesis Corporation Method for fabricating semiconductor devices using strained silicon bearing material
US20100012976A1 (en) * 2008-07-15 2010-01-21 Amberwave Systems Corporation Polishing of small composite semiconductor materials
US7927975B2 (en) 2009-02-04 2011-04-19 Micron Technology, Inc. Semiconductor material manufacture
US20120032234A1 (en) * 2010-08-05 2012-02-09 Katholieke Universiteit Leuven, K.U. Leuven R&D Antiphase Domain Boundary-Free III-V Compound Semiconductor Material on Semiconductor Substrate and Method for Manufacturing Thereof
US9704835B2 (en) 2015-01-09 2017-07-11 Silicon Genesis Corporation Three dimensional integrated circuit
US9779996B2 (en) 2015-07-30 2017-10-03 Samsung Electronics Co., Ltd. Integrated circuit devices and methods of manufacturing the same
US10049915B2 (en) 2015-01-09 2018-08-14 Silicon Genesis Corporation Three dimensional integrated circuit
US20190237327A1 (en) * 2018-02-01 2019-08-01 Asm Ip Holding B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10573627B2 (en) 2015-01-09 2020-02-25 Silicon Genesis Corporation Three dimensional integrated circuit
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804252B2 (en) 2015-01-09 2020-10-13 Silicon Genesis Corporation Three dimensional integrated circuit
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11410984B1 (en) 2021-10-08 2022-08-09 Silicon Genesis Corporation Three dimensional integrated circuit with lateral connection layer
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11967488B2 (en) 2022-05-16 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6946373B2 (en) * 2002-11-20 2005-09-20 International Business Machines Corporation Relaxed, low-defect SGOI for strained Si CMOS applications
US7332417B2 (en) * 2003-01-27 2008-02-19 Amberwave Systems Corporation Semiconductor structures with structural homogeneity
US6858503B1 (en) * 2003-02-05 2005-02-22 Advanced Micro Devices, Inc. Depletion to avoid cross contamination
US7157379B2 (en) * 2003-09-23 2007-01-02 Intel Corporation Strained semiconductor structures
US7202145B2 (en) * 2004-06-03 2007-04-10 Taiwan Semiconductor Manufacturing Company Strained Si formed by anneal
US20060211210A1 (en) * 2004-08-27 2006-09-21 Rensselaer Polytechnic Institute Material for selective deposition and etching
DE102004053307B4 (en) 2004-11-04 2010-01-07 Siltronic Ag A multilayer structure comprising a substrate and a heteroepitaxially deposited layer of silicon and germanium thereon, and a method of making the same
GB2420222A (en) * 2004-11-13 2006-05-17 Iqe Silicon Compounds Ltd Enhanced carrier mobility in strained semiconductor layers through smoothing surface treatment
JP2006270000A (en) * 2005-03-25 2006-10-05 Sumco Corp PROCESS FOR PRODUCING STRAINED Si-SOI SUBSTRATE AND STRAINED Si-SOI SUBSTRATE PRODUCED BY THAT METHOD
KR20100050510A (en) * 2007-07-20 2010-05-13 비피 코포레이션 노쓰 아메리카 인코포레이티드 Methods for manufacturing cast silicon from seed crystals
US8871619B2 (en) * 2008-06-11 2014-10-28 Intevac, Inc. Application specific implant system and method for use in solar cell fabrications
US20110162703A1 (en) * 2009-03-20 2011-07-07 Solar Implant Technologies, Inc. Advanced high efficientcy crystalline solar cell fabrication method
US8749053B2 (en) 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
EP2534674B1 (en) * 2010-02-09 2016-04-06 Intevac, Inc. An adjustable shadow mask assembly for use in solar cell fabrications
CN102779753B (en) * 2011-05-12 2015-05-06 中芯国际集成电路制造(上海)有限公司 Manufacture method of semiconductor device
TWI506719B (en) 2011-11-08 2015-11-01 Intevac Inc Substrate processing system and method
KR101932230B1 (en) * 2012-08-28 2018-12-26 에스케이하이닉스 주식회사 Semiconductor device having buried bitline and method for fabricating the same
CN103835000A (en) * 2012-11-20 2014-06-04 上海华虹宏力半导体制造有限公司 Method for high temperature improvement of polysilicon surface roughness
TWI570745B (en) 2012-12-19 2017-02-11 因特瓦克公司 Grid for plasma ion implant
CN105977145B (en) * 2016-06-22 2018-07-24 中国科学院上海微系统与信息技术研究所 A kind of preparation method and strained quantum point of strained quantum point

Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3392069A (en) * 1963-07-17 1968-07-09 Siemens Ag Method for producing pure polished surfaces on semiconductor bodies
US5374564A (en) * 1991-09-18 1994-12-20 Commissariat A L'energie Atomique Process for the production of thin semiconductor material films
US5793913A (en) * 1996-07-10 1998-08-11 Northern Telecom Limited Method for the hybrid integration of discrete elements on a semiconductor substrate
US6013563A (en) * 1997-05-12 2000-01-11 Silicon Genesis Corporation Controlled cleaning process
US6020052A (en) * 1996-07-30 2000-02-01 Ysi Incorporated Laminated membrane structure for polarographic measurement and methods of making said structures
US6107653A (en) * 1997-06-24 2000-08-22 Massachusetts Institute Of Technology Controlling threading dislocation densities in Ge on Si using graded GeSi layers and planarization
US6287941B1 (en) * 1999-04-21 2001-09-11 Silicon Genesis Corporation Surface finishing of SOI substrates using an EPI process
US20010039095A1 (en) * 2000-01-21 2001-11-08 Michel Marty Process for producing a bipolar transistor with self-aligned emitter and extrinsic base
US6455397B1 (en) * 1999-11-16 2002-09-24 Rona E. Belford Method of producing strained microelectronic and/or optical integrated and discrete devices
US20020174828A1 (en) * 2001-03-30 2002-11-28 Memc Electronic Materials, Inc. Thermal annealing process for producing silicon wafers with improved surface characteristics
US6503773B2 (en) * 2000-01-20 2003-01-07 Amberwave Systems Corporation Low threading dislocation density relaxed mismatched epilayers without high temperature growth
US6514836B2 (en) * 2001-06-04 2003-02-04 Rona Elizabeth Belford Methods of producing strained microelectronic and/or optical integrated and discrete devices
US6563152B2 (en) * 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US20030140844A1 (en) * 2002-01-31 2003-07-31 Maa Jer-Shen Method to form thick relaxed SiGe Layer with trench structure
US6723661B2 (en) * 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6809009B2 (en) * 1996-05-15 2004-10-26 Commissariat A L'energie Atomique Method of producing a thin layer of semiconductor material
US20050118754A1 (en) * 2003-11-18 2005-06-02 Silicon Genesis Corporation Method for fabricating semiconductor devices using strained silicon bearing material
US7147709B1 (en) * 2002-10-04 2006-12-12 Silicon Genesis Corporation Non-contact etch annealing of strained layers

Family Cites Families (176)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2614055A (en) 1947-05-12 1952-10-14 Samica Corp Method of treating mica
US3117002A (en) 1960-02-16 1964-01-07 Ampco Metal Inc Aluminum bronze alloy having improved wear resistance by the addition of cobalt, chromium, and manganese
US3225820A (en) 1962-11-01 1965-12-28 Gen Precision Inc Device for controlling temperature by heat conduction
US3390033A (en) 1964-08-13 1968-06-25 Rca Corp Method of separating frit sealed parts of an electron tube
US5082793A (en) 1965-09-28 1992-01-21 Li Chou H Method for making solid state device utilizing ion implantation techniques
US3516855A (en) 1967-05-29 1970-06-23 Ibm Method of depositing conductive ions by utilizing electron beam
US3551213A (en) 1968-09-04 1970-12-29 Bell Telephone Labor Inc Geometrically selective ion bombardment by means of the photoelectric effect
US3786359A (en) 1969-03-28 1974-01-15 Alpha Ind Inc Ion accelerator and ion species selector
US3900636A (en) 1971-01-21 1975-08-19 Gillette Co Method of treating cutting edges
US3806380A (en) 1971-03-05 1974-04-23 Hitachi Ltd Method for hardening treatment of aluminum or aluminum-base alloy
GB1392811A (en) 1971-04-07 1975-04-30 Atomic Energy Authority Uk Methods for treating steel to modify the structure thereof
US3770499A (en) 1972-02-28 1973-11-06 Motorola Inc Liquid phase deposition of thin insulating and refractory film on a substrate
US3915757A (en) 1972-08-09 1975-10-28 Niels N Engel Ion plating method and product therefrom
US3913520A (en) 1972-08-14 1975-10-21 Precision Thin Film Corp High vacuum deposition apparatus
US3993909A (en) 1973-03-16 1976-11-23 U.S. Philips Corporation Substrate holder for etching thin films
US3914655A (en) 1973-06-28 1975-10-21 Ibm High brightness ion source
FR2245779B1 (en) 1973-09-28 1978-02-10 Cit Alcatel
US3946334A (en) 1973-11-14 1976-03-23 Nippon Electric Company, Limited Injection semiconductor laser device
US3901423A (en) 1973-11-26 1975-08-26 Purdue Research Foundation Method for fracturing crystalline materials
US3964957A (en) 1973-12-19 1976-06-22 Monsanto Company Apparatus for processing semiconductor wafers
FR2261802B1 (en) 1974-02-21 1978-01-06 Devienne Fernand
NL7404364A (en) 1974-04-01 1975-10-03 Philips Nv METHOD AND DEVICE FOR PROCESSING FLAT OBJECTS.
US4170662A (en) 1974-11-05 1979-10-09 Eastman Kodak Company Plasma plating
US4121334A (en) 1974-12-17 1978-10-24 P. R. Mallory & Co. Inc. Application of field-assisted bonding to the mass production of silicon type pressure transducers
FR2298880A1 (en) 1975-01-22 1976-08-20 Commissariat Energie Atomique IONIC IMPLANTATION METHOD AND DEVICE
US3957107A (en) 1975-02-27 1976-05-18 The United States Of America As Represented By The Secretary Of The Air Force Thermal switch
US4039416A (en) 1975-04-21 1977-08-02 White Gerald W Gasless ion plating
US4116751A (en) 1975-10-08 1978-09-26 Solomon Zaromb Methods and apparatus for producing unsupported monocrystalline films of silicon and of other materials
GB1542299A (en) 1976-03-23 1979-03-14 Warner Lambert Co Blade shields
US4216906A (en) 1976-06-21 1980-08-12 Flow Research, Inc. Method of making high velocity liquid jet
US4074139A (en) 1976-12-27 1978-02-14 Rca Corporation Apparatus and method for maskless ion implantation
US4108751A (en) 1977-06-06 1978-08-22 King William J Ion beam implantation-sputtering
US4237601A (en) 1978-10-13 1980-12-09 Exxon Research & Engineering Co. Method of cleaving semiconductor diode laser wafers
JPS55104057A (en) 1979-02-02 1980-08-09 Hitachi Ltd Ion implantation device
US4255208A (en) 1979-05-25 1981-03-10 Ramot University Authority For Applied Research And Industrial Development Ltd. Method of producing monocrystalline semiconductor films utilizing an intermediate water dissolvable salt layer
CH640886A5 (en) 1979-08-02 1984-01-31 Balzers Hochvakuum METHOD FOR APPLYING HARD WEAR-RESISTANT COATS ON DOCKS.
US4244348A (en) 1979-09-10 1981-01-13 Atlantic Richfield Company Process for cleaving crystalline materials
FR2506344B2 (en) 1980-02-01 1986-07-11 Commissariat Energie Atomique SEMICONDUCTOR DOPING PROCESS
FR2475068B1 (en) 1980-02-01 1986-05-16 Commissariat Energie Atomique SEMICONDUCTOR DOPING PROCESS
EP0192280A3 (en) 1980-04-10 1986-09-10 Massachusetts Institute Of Technology Method of producing sheets of crystalline material
US4342631A (en) 1980-06-16 1982-08-03 Illinois Tool Works Inc. Gasless ion plating process and apparatus
US4471003A (en) 1980-11-25 1984-09-11 Cann Gordon L Magnetoplasmadynamic apparatus and process for the separation and deposition of materials
FR2501727A1 (en) 1981-03-13 1982-09-17 Vide Traitement PROCESS FOR THE THERMOCHEMICAL TREATMENT OF METALS BY ION BOMBING
JPS5861763A (en) 1981-10-09 1983-04-12 武笠 均 Feel sensor fire fighting apparatus
US4361600A (en) 1981-11-12 1982-11-30 General Electric Company Method of making integrated circuits
US4412868A (en) 1981-12-23 1983-11-01 General Electric Company Method of making integrated circuits utilizing ion implantation and selective epitaxial growth
FR2519437A1 (en) 1982-01-04 1983-07-08 Commissariat Energie Atomique DEVICE FOR LIMITING AND CONTROLLING THE TEMPERATURE OF A TARGET BASED ON A SUPPORT IN A VACUUM ENCLOSURE AND RECEIVING AN ENERGY BEAM AND ITS APPLICATION TO THERMAL MEASUREMENTS
US4486247A (en) 1982-06-21 1984-12-04 Westinghouse Electric Corp. Wear resistant steel articles with carbon, oxygen and nitrogen implanted in the surface thereof
US4554570A (en) 1982-06-24 1985-11-19 Rca Corporation Vertically integrated IGFET device
FR2529383A1 (en) 1982-06-24 1983-12-30 Commissariat Energie Atomique MECHANICAL SCANNING TARGET HOLDER USABLE IN PARTICULAR FOR THE IMPLANTATION OF IORIS
FR2537768A1 (en) 1982-12-08 1984-06-15 Commissariat Energie Atomique METHOD AND DEVICE FOR OBTAINING SPATIALLY MODULATED DENSITY PARTICLE BEAMS, APPLICATION TO ION ETCHING AND IMPLANTATION
FR2537777A1 (en) 1982-12-10 1984-06-15 Commissariat Energie Atomique METHOD AND DEVICE FOR IMPLANTATION OF PARTICLES IN A SOLID
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
DE3246480A1 (en) 1982-12-15 1984-06-20 Wacker-Chemitronic Gesellschaft für Elektronik-Grundstoffe mbH, 8263 Burghausen METHOD FOR THE PRODUCTION OF SEMICONDUCTOR DISC WITH CUTTING DISC REAR SIDE
US4468309A (en) 1983-04-22 1984-08-28 White Engineering Corporation Method for resisting galling
GB2144343A (en) 1983-08-02 1985-03-06 Standard Telephones Cables Ltd Optical fibre manufacture
US4567505A (en) 1983-10-27 1986-01-28 The Board Of Trustees Of The Leland Stanford Junior University Heat sink and method of attaching heat sink to a semiconductor integrated circuit and the like
FR2560426A1 (en) 1984-02-28 1985-08-30 Commissariat Energie Atomique DEVICE FOR PRODUCING IONS OF A SPECIFIED SPECIES, USING FOR SEPARATION FROM OTHER IONS, ENERGY SELECTION, APPLICATION TO ION IMPLANTATION
GB2155024A (en) 1984-03-03 1985-09-18 Standard Telephones Cables Ltd Surface treatment of plastics materials
FR2563377B1 (en) 1984-04-19 1987-01-23 Commissariat Energie Atomique METHOD FOR MANUFACTURING AN INSULATING LAYER BURIED IN A SEMICONDUCTOR SUBSTRATE, BY ION IMPLANTATION
FR2575601B1 (en) 1984-12-27 1987-01-30 Commissariat Energie Atomique METHOD AND DEVICE FOR DETERMINING ELECTRICAL PARAMETERS OF A SEMICONDUCTOR LAYER AS A FUNCTION OF THE DEPTH
US4566403A (en) 1985-01-30 1986-01-28 Sovonics Solar Systems Apparatus for microwave glow discharge deposition
NL8501773A (en) 1985-06-20 1987-01-16 Philips Nv METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICES
US4837172A (en) 1986-07-18 1989-06-06 Matsushita Electric Industrial Co., Ltd. Method for removing impurities existing in semiconductor substrate
US4717683A (en) 1986-09-23 1988-01-05 Motorola Inc. CMOS process
US4764394A (en) 1987-01-20 1988-08-16 Wisconsin Alumni Research Foundation Method and apparatus for plasma source ion implantation
US4847792A (en) 1987-05-04 1989-07-11 Texas Instruments Incorporated Process and apparatus for detecting aberrations in production process operations
US4906594A (en) 1987-06-12 1990-03-06 Agency Of Industrial Science And Technology Surface smoothing method and method of forming SOI substrate using the surface smoothing method
US4846928A (en) 1987-08-04 1989-07-11 Texas Instruments, Incorporated Process and apparatus for detecting aberrations in production process operations
US4887005A (en) 1987-09-15 1989-12-12 Rough J Kirkwood H Multiple electrode plasma reactor power distribution system
US5015353A (en) 1987-09-30 1991-05-14 The United States Of America As Represented By The Secretary Of The Navy Method for producing substoichiometric silicon nitride of preselected proportions
GB8725497D0 (en) 1987-10-30 1987-12-02 Atomic Energy Authority Uk Isolation of silicon
DE3803424C2 (en) 1988-02-05 1995-05-18 Gsf Forschungszentrum Umwelt Method for the quantitative, depth-differential analysis of solid samples
JP2666945B2 (en) 1988-02-08 1997-10-22 株式会社東芝 Method for manufacturing semiconductor device
US4894709A (en) 1988-03-09 1990-01-16 Massachusetts Institute Of Technology Forced-convection, liquid-cooled, microchannel heat sinks
US4883561A (en) 1988-03-29 1989-11-28 Bell Communications Research, Inc. Lift-off and subsequent bonding of epitaxial films
US4853250A (en) 1988-05-11 1989-08-01 Universite De Sherbrooke Process of depositing particulate material on a substrate
JP2670623B2 (en) 1988-09-19 1997-10-29 アネルバ株式会社 Microwave plasma processing equipment
US4952273A (en) 1988-09-21 1990-08-28 Microscience, Inc. Plasma generation in electron cyclotron resonance
US4996077A (en) 1988-10-07 1991-02-26 Texas Instruments Incorporated Distributed ECR remote plasma processing and apparatus
US4891329A (en) 1988-11-29 1990-01-02 University Of North Carolina Method of forming a nonsilicon semiconductor on insulator structure
US5202095A (en) 1988-12-27 1993-04-13 Matsushita Electric Industrial Co., Ltd. Microwave plasma processor
DE19538634C2 (en) 1995-10-17 1997-09-04 Itt Ind Gmbh Deutsche Method for separating electronic elements from a semiconductor wafer
GB8905075D0 (en) 1989-03-06 1989-04-19 Nordiko Ltd Electrode assembly and apparatus
US5370765A (en) 1989-03-09 1994-12-06 Applied Microwave Plasma Concepts, Inc. Electron cyclotron resonance plasma source and method of operation
US5203960A (en) 1989-03-09 1993-04-20 Applied Microwave Plasma Concepts, Inc. Method of operation of electron cyclotron resonance plasma source
US5133826A (en) 1989-03-09 1992-07-28 Applied Microwave Plasma Concepts, Inc. Electron cyclotron resonance plasma source
US5196355A (en) 1989-04-24 1993-03-23 Ibis Technology Corporation Simox materials through energy variation
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5387555A (en) 1992-09-03 1995-02-07 Harris Corporation Bonded wafer processing with metal silicidation
US5034343A (en) 1990-03-08 1991-07-23 Harris Corporation Manufacturing ultra-thin wafer using a handle wafer
US5070040A (en) 1990-03-09 1991-12-03 University Of Colorado Foundation, Inc. Method and apparatus for semiconductor circuit chip cooling
DE4114108C1 (en) 1991-04-30 1991-12-19 Schott Glaswerke, 6500 Mainz, De
US5198371A (en) 1990-09-24 1993-03-30 Biota Corp. Method of making silicon material with enhanced surface mobility by hydrogen ion implantation
US5102821A (en) 1990-12-20 1992-04-07 Texas Instruments Incorporated SOI/semiconductor heterostructure fabrication by wafer bonding of polysilicon to titanium
US5206749A (en) 1990-12-31 1993-04-27 Kopin Corporation Liquid crystal display having essentially single crystal transistors pixels and driving circuits
US5528397A (en) 1991-12-03 1996-06-18 Kopin Corporation Single crystal silicon transistors for display panels
US5444557A (en) 1990-12-31 1995-08-22 Kopin Corporation Single crystal silicon arrayed devices for projection displays
US5258325A (en) 1990-12-31 1993-11-02 Kopin Corporation Method for manufacturing a semiconductor device using a circuit transfer film
US5256562A (en) 1990-12-31 1993-10-26 Kopin Corporation Method for manufacturing a semiconductor device using a circuit transfer film
US5258320A (en) 1990-12-31 1993-11-02 Kopin Corporation Single crystal silicon arrayed devices for display panels
US5317436A (en) 1990-12-31 1994-05-31 Kopin Corporation A slide assembly for projector with active matrix moveably mounted to housing
US5362671A (en) 1990-12-31 1994-11-08 Kopin Corporation Method of fabricating single crystal silicon arrayed devices for display panels
US5475514A (en) 1990-12-31 1995-12-12 Kopin Corporation Transferred single crystal arrayed devices including a light shield for projection displays
DE4100526A1 (en) 1991-01-10 1992-07-16 Wacker Chemitronic DEVICE AND METHOD FOR AUTOMATICALLY SEPARATING STACKED DISCS
IL100910A (en) 1991-02-12 1994-10-07 Hughes Aircraft Co Evaluation of the extent of wear of articles
JPH04266047A (en) 1991-02-20 1992-09-22 Fujitsu Ltd Soi type semiconductor device and preparation thereof equivalent to production of a buried layer
US5110748A (en) 1991-03-28 1992-05-05 Honeywell Inc. Method for fabricating high mobility thin film transistors as integrated drivers for active matrix display
CA2062134C (en) 1991-05-31 1997-03-25 Ibm Low Defect Densiry/Arbitrary Lattice Constant Heteroepitaxial Layers
JPH04359518A (en) 1991-06-06 1992-12-11 Nec Corp Manufacture of semiconductor device
JPH04365377A (en) 1991-06-13 1992-12-17 Agency Of Ind Science & Technol Semiconductor device
JPH05308107A (en) 1991-07-01 1993-11-19 Sumitomo Electric Ind Ltd Semiconductor device and its manufacture
KR0156011B1 (en) 1991-08-12 1998-12-01 이노우에 아키라 Plasma treating apparatus and method thereof
US5234529A (en) 1991-10-10 1993-08-10 Johnson Wayne L Plasma generating apparatus employing capacitive shielding and process for using such apparatus
JP2726583B2 (en) 1991-11-18 1998-03-11 三菱マテリアルシリコン株式会社 Semiconductor substrate
US5827751A (en) 1991-12-06 1998-10-27 Picogiga Societe Anonyme Method of making semiconductor components, in particular on GaAs of InP, with the substrate being recovered chemically
JP2910001B2 (en) 1992-01-30 1999-06-23 キヤノン株式会社 Semiconductor substrate and method of manufacturing the same
JP2901031B2 (en) 1992-01-30 1999-06-02 キヤノン株式会社 Semiconductor substrate and method of manufacturing the same
EP1251556B1 (en) 1992-01-30 2010-03-24 Canon Kabushiki Kaisha Process for producing semiconductor substrate
JP3261685B2 (en) 1992-01-31 2002-03-04 キヤノン株式会社 Semiconductor element substrate and method of manufacturing the same
US5372952A (en) 1992-04-03 1994-12-13 National Semiconductor Corporation Method for forming isolated semiconductor structures
US5269880A (en) 1992-04-03 1993-12-14 Northern Telecom Limited Tapering sidewalls of via holes
US5226967A (en) 1992-05-14 1993-07-13 Lam Research Corporation Plasma apparatus including dielectric window for inducing a uniform electric field in a plasma chamber
US5363603A (en) 1992-06-22 1994-11-15 Alliant Techsystems, Inc. Abrasive fluid jet cutting compositon and method
US5273610A (en) 1992-06-23 1993-12-28 Association Institutions For Material Sciences, Inc. Apparatus and method for determining power in plasma processing
US5252178A (en) 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
US5404079A (en) 1992-08-13 1995-04-04 Matsushita Electric Industrial Co., Ltd. Plasma generating apparatus
US5304509A (en) 1992-08-24 1994-04-19 Midwest Research Institute Back-side hydrogenation technique for defect passivation in silicon solar cells
JP3192000B2 (en) 1992-08-25 2001-07-23 キヤノン株式会社 Semiconductor substrate and manufacturing method thereof
JP3266163B2 (en) 1992-10-14 2002-03-18 東京応化工業株式会社 Plasma processing equipment
US5346578A (en) 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
US5234535A (en) 1992-12-10 1993-08-10 International Business Machines Corporation Method of producing a thin silicon-on-insulator layer
US5487785A (en) 1993-03-26 1996-01-30 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
US5354381A (en) 1993-05-07 1994-10-11 Varian Associates, Inc. Plasma immersion ion implantation (PI3) apparatus
US5413679A (en) 1993-06-30 1995-05-09 The United States Of America As Represented By The Secretary Of The Navy Method of producing a silicon membrane using a silicon alloy etch stop layer
US5344524A (en) 1993-06-30 1994-09-06 Honeywell Inc. SOI substrate fabrication
US5443431A (en) 1993-10-13 1995-08-22 Zexel-Gleason Usa, Inc. Differential with friction-enhancing wedge
US5459016A (en) 1993-12-16 1995-10-17 Minnesota Mining And Manufacturing Company Nanostructured thermal transfer donor element
FR2714524B1 (en) 1993-12-23 1996-01-26 Commissariat Energie Atomique PROCESS FOR MAKING A RELIEF STRUCTURE ON A SUPPORT IN SEMICONDUCTOR MATERIAL
US5476691A (en) 1994-01-21 1995-12-19 International Business Machines, Inc. Surface treatment of magnetic recording heads
FR2715502B1 (en) 1994-01-26 1996-04-05 Commissariat Energie Atomique Structure having cavities and method for producing such a structure.
FR2715501B1 (en) 1994-01-26 1996-04-05 Commissariat Energie Atomique Method for depositing semiconductor wafers on a support.
FR2715503B1 (en) 1994-01-26 1996-04-05 Commissariat Energie Atomique Substrate for integrated components comprising a thin layer and its production method.
US5558718A (en) 1994-04-08 1996-09-24 The Regents, University Of California Pulsed source ion implantation apparatus and method
US5480842A (en) 1994-04-11 1996-01-02 At&T Corp. Method for fabricating thin, strong, and flexible die for smart cards
FR2720189B1 (en) 1994-05-18 1996-08-30 Commissariat Energie Atomique Method for producing a structure with a low dislocation rate comprising an oxide layer buried in a semiconductor substrate.
US5411592A (en) 1994-06-06 1995-05-02 Ovonic Battery Company, Inc. Apparatus for deposition of thin-film, solid state batteries
FR2725074B1 (en) 1994-09-22 1996-12-20 Commissariat Energie Atomique METHOD FOR MANUFACTURING A STRUCTURE COMPRISING A THIN SEMI-CONDUCTIVE LAYER ON A SUBSTRATE
JP3265493B2 (en) 1994-11-24 2002-03-11 ソニー株式会社 Method for manufacturing SOI substrate
US5504328A (en) 1994-12-09 1996-04-02 Sematech, Inc. Endpoint detection utilizing ultraviolet mass spectrometry
US5611855A (en) 1995-01-31 1997-03-18 Seh America, Inc. Method for manufacturing a calibration wafer having a microdefect-free layer of a precisely predetermined depth
US5603779A (en) 1995-05-17 1997-02-18 Harris Corporation Bonded wafer and method of fabrication thereof
US5653811A (en) 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
US5863831A (en) 1995-08-14 1999-01-26 Advanced Materials Engineering Research, Inc. Process for fabricating semiconductor device with shallow p-type regions using dopant compounds containing elements of high solid solubility
FR2738671B1 (en) 1995-09-13 1997-10-10 Commissariat Energie Atomique PROCESS FOR PRODUCING THIN FILMS WITH SEMICONDUCTOR MATERIAL
CN1132223C (en) 1995-10-06 2003-12-24 佳能株式会社 Semiconductor substrate and producing method thereof
KR0165467B1 (en) 1995-10-31 1999-02-01 김광호 Wafer debonder and wafer debonding method using the wafer debonder
US6574416B1 (en) 1995-11-02 2003-06-03 Videa, Llc Picture-based video indexing system
FR2744285B1 (en) 1996-01-25 1998-03-06 Commissariat Energie Atomique METHOD FOR TRANSFERRING A THIN FILM FROM AN INITIAL SUBSTRATE TO A FINAL SUBSTRATE
US6039803A (en) 1996-06-28 2000-03-21 Massachusetts Institute Of Technology Utilization of miscut substrates to improve relaxed graded silicon-germanium and germanium layers on silicon
US5710057A (en) 1996-07-12 1998-01-20 Kenney; Donald M. SOI fabrication method
FR2752332B1 (en) 1996-08-12 1998-09-11 Commissariat Energie Atomique DEVICE FOR PICKING UP WAFERS AND METHOD FOR IMPLEMENTING THE DEVICE
SG65697A1 (en) 1996-11-15 1999-06-22 Canon Kk Process for producing semiconductor article
KR100232886B1 (en) 1996-11-23 1999-12-01 김영환 Soi wafer fabricating method
US6033974A (en) 1997-05-12 2000-03-07 Silicon Genesis Corporation Method for controlled cleaving process
US5877070A (en) 1997-05-31 1999-03-02 Max-Planck Society Method for the transfer of thin layers of monocrystalline material to a desirable substrate
US5882987A (en) 1997-08-26 1999-03-16 International Business Machines Corporation Smart-cut process for the production of thin semiconductor material films
US5920764A (en) 1997-09-30 1999-07-06 International Business Machines Corporation Process for restoring rejected wafers in line for reuse as new
FR2773261B1 (en) 1997-12-30 2000-01-28 Commissariat Energie Atomique METHOD FOR THE TRANSFER OF A THIN FILM COMPRISING A STEP OF CREATING INCLUSIONS
JPH11195775A (en) 1997-12-26 1999-07-21 Sony Corp Semiconductor substrate, thin-film semiconductor element, manufacture thereof, and anodizing device
US6120597A (en) 1998-02-17 2000-09-19 The Trustees Of Columbia University In The City Of New York Crystal ion-slicing of single-crystal films
US6083324A (en) 1998-02-19 2000-07-04 Silicon Genesis Corporation Gettering technique for silicon-on-insulator wafers
US5909627A (en) 1998-05-18 1999-06-01 Philips Electronics North America Corporation Process for production of thin layers of semiconductor material
US6291326B1 (en) 1998-06-23 2001-09-18 Silicon Genesis Corporation Pre-semiconductor process implant and post-process film separation
US6171965B1 (en) 1999-04-21 2001-01-09 Silicon Genesis Corporation Treatment method of cleaved film for the manufacture of substrates
US6489241B1 (en) * 1999-09-17 2002-12-03 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film

Patent Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3392069A (en) * 1963-07-17 1968-07-09 Siemens Ag Method for producing pure polished surfaces on semiconductor bodies
US5374564A (en) * 1991-09-18 1994-12-20 Commissariat A L'energie Atomique Process for the production of thin semiconductor material films
US6809009B2 (en) * 1996-05-15 2004-10-26 Commissariat A L'energie Atomique Method of producing a thin layer of semiconductor material
US5793913A (en) * 1996-07-10 1998-08-11 Northern Telecom Limited Method for the hybrid integration of discrete elements on a semiconductor substrate
US6020052A (en) * 1996-07-30 2000-02-01 Ysi Incorporated Laminated membrane structure for polarographic measurement and methods of making said structures
US6013563A (en) * 1997-05-12 2000-01-11 Silicon Genesis Corporation Controlled cleaning process
US6107653A (en) * 1997-06-24 2000-08-22 Massachusetts Institute Of Technology Controlling threading dislocation densities in Ge on Si using graded GeSi layers and planarization
US6287941B1 (en) * 1999-04-21 2001-09-11 Silicon Genesis Corporation Surface finishing of SOI substrates using an EPI process
US6455397B1 (en) * 1999-11-16 2002-09-24 Rona E. Belford Method of producing strained microelectronic and/or optical integrated and discrete devices
US6503773B2 (en) * 2000-01-20 2003-01-07 Amberwave Systems Corporation Low threading dislocation density relaxed mismatched epilayers without high temperature growth
US20010039095A1 (en) * 2000-01-21 2001-11-08 Michel Marty Process for producing a bipolar transistor with self-aligned emitter and extrinsic base
US6563152B2 (en) * 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US6723661B2 (en) * 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US20020174828A1 (en) * 2001-03-30 2002-11-28 Memc Electronic Materials, Inc. Thermal annealing process for producing silicon wafers with improved surface characteristics
US6514836B2 (en) * 2001-06-04 2003-02-04 Rona Elizabeth Belford Methods of producing strained microelectronic and/or optical integrated and discrete devices
US20030140844A1 (en) * 2002-01-31 2003-07-31 Maa Jer-Shen Method to form thick relaxed SiGe Layer with trench structure
US7147709B1 (en) * 2002-10-04 2006-12-12 Silicon Genesis Corporation Non-contact etch annealing of strained layers
US20050118754A1 (en) * 2003-11-18 2005-06-02 Silicon Genesis Corporation Method for fabricating semiconductor devices using strained silicon bearing material

Cited By (331)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050227425A1 (en) * 2003-11-18 2005-10-13 Silicon Genesis Corporation Method for fabricating semiconductor devices using strained silicon bearing material
US7354815B2 (en) * 2003-11-18 2008-04-08 Silicon Genesis Corporation Method for fabricating semiconductor devices using strained silicon bearing material
US7462526B2 (en) * 2003-11-18 2008-12-09 Silicon Genesis Corporation Method for fabricating semiconductor devices using strained silicon bearing material
US20050118754A1 (en) * 2003-11-18 2005-06-02 Silicon Genesis Corporation Method for fabricating semiconductor devices using strained silicon bearing material
US9287128B2 (en) 2008-07-15 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
US20100012976A1 (en) * 2008-07-15 2010-01-21 Amberwave Systems Corporation Polishing of small composite semiconductor materials
US8981427B2 (en) * 2008-07-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
US9607846B2 (en) 2008-07-15 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
US7927975B2 (en) 2009-02-04 2011-04-19 Micron Technology, Inc. Semiconductor material manufacture
US20110193190A1 (en) * 2009-02-04 2011-08-11 Nishant Sinha Semiconductor material manufacture
US8389385B2 (en) 2009-02-04 2013-03-05 Micron Technology, Inc. Semiconductor material manufacture
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9218964B2 (en) * 2010-08-05 2015-12-22 Imec Antiphase domain boundary-free III-V compound semiconductor material on semiconductor substrate and method for manufacturing thereof
US20120032234A1 (en) * 2010-08-05 2012-02-09 Katholieke Universiteit Leuven, K.U. Leuven R&D Antiphase Domain Boundary-Free III-V Compound Semiconductor Material on Semiconductor Substrate and Method for Manufacturing Thereof
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10049915B2 (en) 2015-01-09 2018-08-14 Silicon Genesis Corporation Three dimensional integrated circuit
US11626392B2 (en) 2015-01-09 2023-04-11 Silicon Genesis Corporation Method of forming semiconductor device using range compensating material
US9704835B2 (en) 2015-01-09 2017-07-11 Silicon Genesis Corporation Three dimensional integrated circuit
US10923459B2 (en) 2015-01-09 2021-02-16 Silicon Genesis Corporation Three dimensional integrated circuit
US10573627B2 (en) 2015-01-09 2020-02-25 Silicon Genesis Corporation Three dimensional integrated circuit
US10804252B2 (en) 2015-01-09 2020-10-13 Silicon Genesis Corporation Three dimensional integrated circuit
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9922879B2 (en) 2015-07-30 2018-03-20 Samsung Electronics Co., Ltd. Integrated circuit devices
US9779996B2 (en) 2015-07-30 2017-10-03 Samsung Electronics Co., Ltd. Integrated circuit devices and methods of manufacturing the same
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) * 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US20190237327A1 (en) * 2018-02-01 2019-08-01 Asm Ip Holding B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11410984B1 (en) 2021-10-08 2022-08-09 Silicon Genesis Corporation Three dimensional integrated circuit with lateral connection layer
US11901351B2 (en) 2021-10-08 2024-02-13 Silicon Genesis Corporation Three dimensional integrated circuit with lateral connection layer
US11967488B2 (en) 2022-05-16 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11972944B2 (en) 2022-10-21 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11970766B2 (en) 2023-01-17 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus

Also Published As

Publication number Publication date
US8187377B2 (en) 2012-05-29
US20040067644A1 (en) 2004-04-08
CN1732557B (en) 2010-09-15
CN1732557A (en) 2006-02-08

Similar Documents

Publication Publication Date Title
US20070051299A1 (en) Non-contact etch annealing of strained layers
US7147709B1 (en) Non-contact etch annealing of strained layers
JP5639248B2 (en) Semiconductor heterostructures with reduced dislocation pileup and related methods
US6709903B2 (en) Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing
JP4716733B2 (en) Method for forming strained silicon on insulator (SSOI)
JP4486753B2 (en) Method for obtaining a monocrystalline germanium layer on a monocrystalline silicon substrate and the product obtained thereby
US7666799B2 (en) Epitaxial growth of relaxed silicon germanium layers
JP2006506821A (en) Relaxed SiGe layers on silicon or silicon-on-insulator substrates by ion implantation and thermal annealing
US7198997B2 (en) Method for producing semiconductor substrate, method for producing field effect transistor, semiconductor substrate, and field effect transistor
US7060597B2 (en) Manufacturing method for a silicon substrate having strained layer
JP4700324B2 (en) Manufacturing method of semiconductor substrate
JP3508356B2 (en) Semiconductor crystal growth method and semiconductor thin film
US7767548B2 (en) Method for manufacturing semiconductor wafer including a strained silicon layer
Schonenberg et al. The stability of Si1− xGex strained layers on small-area trench-isolated silicon
JP4557505B2 (en) Manufacturing method of semiconductor substrate
Hayashi et al. InAs on insulator by hydrogen implantation and exfoliation
JP2006521681A (en) Formation of semiconductor layers by chemical vapor deposition using low energy plasma and semiconductor heterostructure devices
KR100554204B1 (en) Method of fabricating relaxed smooth thin film semiconductor layers
Sawano et al. CMP for High Mobility Strained Si/Ge Channels
JPH0927451A (en) Manufacture of compound semiconductor substrate
JP2009059939A (en) Strain relieving silicon germanium thin film, and manufacturing method thereof
JPH0786176A (en) Formation of selective strain region

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION