US20070026693A1 - Method of Thermally Oxidizing Silicon Using Ozone - Google Patents

Method of Thermally Oxidizing Silicon Using Ozone Download PDF

Info

Publication number
US20070026693A1
US20070026693A1 US11/530,375 US53037506A US2007026693A1 US 20070026693 A1 US20070026693 A1 US 20070026693A1 US 53037506 A US53037506 A US 53037506A US 2007026693 A1 US2007026693 A1 US 2007026693A1
Authority
US
United States
Prior art keywords
ozone
chamber
gas
processing chamber
flowing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/530,375
Inventor
Yoshitaka Yokota
Sundar Ramamurthy
Vedapuram Achutharaman
Cory Czarnik
Mehran Behdjat
Christopher Olsen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US11/530,375 priority Critical patent/US20070026693A1/en
Publication of US20070026693A1 publication Critical patent/US20070026693A1/en
Priority to US13/165,502 priority patent/US8497193B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02249Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by combined oxidation and nitridation performed simultaneously
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • H01L21/31662Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe of silicon in uncombined form
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Definitions

  • the invention relates generally to fabrication of integrated circuits.
  • the invention relates to thermal oxidation of and other oxygen-based treatment of electronic materials such as silicon.
  • silicon integrated circuits typically includes one or more steps of forming layers of silicon dioxide, having a general composition of SiO 2 , although some variation in its stoichiometry is possible. In some applications, dopants are added. For brevity, this material may hereafter be referred to as oxide. Silicon dioxide is a rugged material that bonds well with silicon and is electrically insulating, that is, dielectric. Thicker layers of oxide are typically deposited by spin-on glasses or by chemical vapor deposition, particularly when they form inter-level dielectric layers, which may be formed over metal and other oxide features. However, thin oxide layers formed over silicon maybe formed by oxidizing the silicon to form silicon oxide.
  • the silicon to be oxidized may be monocrystalline silicon of the wafer or polysilicon deposited as a layer on the wafer in a multi-level structure.
  • Gate oxide layers may be formed by oxidation of typically about 1 nm or less.
  • Pads and STI (shallow trench isolation) liners may similarly be formed to thicknesses of typically 5 to 10 nm.
  • the oxide layer not only electrically insulates the underlying silicon but also passives the silicon/dielectric interface.
  • Oxidation is conventionally performed by heating the silicon surface to approximately 1000° C. to 1200° C. or higher and exposing it to gaseous oxygen for dry oxidation or to steam (H 2 O) for wet oxidation.
  • thermal oxidation may conventionally be performed in a furnace accommodating large number of wafers, but furnaces have in part been superseded by single-wafer processing chambers utilizing a process called rapid thermal oxidation (RTO), a form of rapid thermal processing (RTP).
  • RTO rapid thermal oxidation
  • RTP rapid thermal processing
  • high-intensity incandescent lamps rapidly heat a silicon wafer to very high temperatures and oxygen is flowed into the RTP chamber to react on the surface of the hot wafer to react with the silicon and produce a layer of silicon oxide on top of the wafer.
  • Gronet et al. disclose oxidation in an RTP chamber in U.S. Pat. No. 6,037,273, incorporated herein by reference in its entirety.
  • One advantage of RTO is that the walls of the RTP chamber are typically much cooler than the wafer so that oxidation of the chamber walls is reduced.
  • Gronet et al. disclose injecting oxygen and hydrogen gases into the RTP chamber to react near the hot wafer surface for in situ generation of steam.
  • oxygen radicals O* provide several advantages in silicon oxidation.
  • the oxygen radicals more easily react than oxygen gas so that the oxidation rate is increased for a given temperature.
  • the radicals promote corner rounding, an important feature in STI.
  • Ozone is an unstable form of oxygen gas that may be considered an oxygen radical since O 3 spontaneously dissociates into O 2 and O*, particularly when exposed to surfaces held at temperatures of greater than 400° C. It is known to use ozone in silicon oxidation, see U.S. Pat. No. 5,294,571 to Fujishiro et al. and U.S. Pat. No. 5,693,578 to Nakanishi et al. However, most known prior art for ozone-assisted oxidation occurs at relatively high temperatures and low ozone concentrations.
  • Ozone is a metastable form of oxygen that may be generated in a microwave or UV generator and which readily dissociates into O 2 and the oxygen radical O*.
  • Dobuzinsky supplies the ozone-rich mixture into a thermal reactor operated at a relatively low temperature but including additional RF plasma excitation of the ozone.
  • Dobuzinsky's reactor is still a hot-wall reactor so that the ozone quickly dissociates inside the chamber and equally reacts with the chamber walls. Dobuzinsky does however mention the possibility of RTO after their plasma oxidation.
  • ozone is considered explosive. Safety concerns are greatly alleviated if the pressure within a chamber containing ozone is held at a pressure of no more than 20 Torr. Such low pressures, however, disadvantageously decrease the oxidation rate.
  • Silicon or other material in a semiconductor substrate is oxidized by exposing it to a high concentration of ozone at a relatively low temperature, for example, between 400 and 800° C. in a plasma-free process. Even lower temperatures are possible.
  • the processing chamber may be maintained at a relatively low pressure, for example, less than 20 Torr, which low pressure simplifies the safety requirements.
  • the pressure maybe even lower, for example, less than 10 Torr or even less than 5 Torr.
  • the invention is particularly useful for growing a gate oxide or a passivation layer on silicon.
  • the ozone may be produced in an ozonator, which includes several types of apparatus producing ozone from oxygen.
  • the ozonator should be capable of producing a stream of oxygen-containing gas that is at least 30% ozone, more preferably 70% ozone, still more preferably at least 80%, and even more preferably at least 90%.
  • the ozone may be combined with a diluent gas such as oxygen gas or nitrogen.
  • the ozone/oxygen mixture may be combined with hydrogen to increase the oxidation rate.
  • the hydrogen may be essentially pure hydrogen gas or be a forming gas of H 2 /N 2 , for example, having 7% hydrogen.
  • the ozone/oxygen mixture may be combined with a nitriding gas such as nitrous oxide or ammonia so that the oxidation product is a silicon oxynitride.
  • a nitriding gas such as nitrous oxide or ammonia
  • the oxidation may be performed in a rapid thermal processing (RTP) chamber including an array of incandescent lamps or a scanned laser source to radiantly heat the substrate.
  • RTP rapid thermal processing
  • the ozone is preferably introduced into the RTP processing chamber in a first inlet port separate and offset from a second inlet port supplying the diluent gas of oxygen or nitrogen, hydrogen, and nitriding gas.
  • the two ports are angularly spaced on the chamber wall with a separation of between 15° and 120°, 90° being a preferred separation.
  • the first inlet port for the ozone preferably includes a cooled injector that projects into the processing chamber and is cooled by water or other cooling fluid.
  • the oxidation may be performed in a low-pressure chemical vapor deposition (LPCVD) chamber including an electrically heated pedestal supporting and heating the substrate and a showerhead positioned in opposition to the substrate.
  • the showerhead includes a supply manifold in which the ozone/oxygen gas and other gases may be mixed and a large number of apertures between the manifold and the processing chamber over an area approximately covering the substrate.
  • FIG. 1 is a cross-sectional view schematically illustrating a rapid thermal processing (RTP) chamber capable of performing ozone-based thermal oxidation.
  • RTP rapid thermal processing
  • FIG. 2 is an exploded orthographic view of a water-cooled gas injector.
  • FIG. 3 is a sectioned orthographic view of the injector of FIG. 2 .
  • FIG. 4 is a cross-sectional view of the injector of FIG. 2 .
  • FIG. 5 is an axial plan view of the injector of FIG. 2 .
  • FIG. 6 is a schematic cross-sectional view of the RTP chamber taken along its central axis.
  • the invention in part concerns the thermal oxidation of silicon or other materials in the presence of ozone in an RTP (rapid thermal processing) chamber or in a chamber adapted for chemical vapor deposition.
  • RTP rapid thermal processing
  • FIG. 1 schematically illustrates in cross section an RTP chamber 10 described by Ranish et al. in U.S. Pat. No. 6,376,804, incorporated herein by reference.
  • the chamber 12 is generally representative of the Radiance RTP chamber available from Applied Materials, Inc. of Santa Clara, Calif.
  • the RTP chamber 10 includes a vacuum chamber 12 , a wafer support 14 located within the chamber 12 , and a lamphead 16 or heat source assembly located on the top of the chamber 12 , all generally symmetrically arranged about a central axis 18 .
  • the vacuum chamber 12 includes a chamber body 20 and a window 22 resting on the chamber body 20 .
  • the window 22 is composed of a material that is transparent to infrared light, for example, clear fused silica quartz.
  • the chamber body 20 may be made of stainless steel and be lined with a quartz liner (not shown).
  • An annular channel 24 is formed symmetrically about the central axis 18 near the bottom of the chamber body 20 .
  • the wafer support 14 includes a magnetic rotor 26 placed within the channel 24 , a quartz tubular riser 28 resting on or otherwise coupled to the magnetic rotor 26 , and an edge ring 30 resting on the riser 28 .
  • the edge ring 30 may be composed of silicon, silicon-coated silicon carbide, opaque silicon carbide, or graphite.
  • a wafer 34 or other substrate rests on the edge ring 30 in opposition to the window 22 .
  • a purge ring 36 outside and below the edge ring 30 supplies a purge gas such as argon to the back of the wafer 34 .
  • a magnetic stator 40 located externally of the magnetic rotor 26 is magnetically coupled through the chamber body 20 to the magnetic rotor 26 .
  • the rotor 26 may be mechanically supported on ball bearings or be magnetically levitated by the magnetic rotor 26 .
  • an unillustrated motor rotates the magnetic stator 34 about the central axis 18 , it induces rotation of the magnetic rotor 26 and hence of the edge ring 30 and the supported wafer 34 about the central axis 18 .
  • the quartz window 22 rests on an upper edge of the chamber body 20 and an O-ring 44 located between the window 22 and the chamber body 20 provides a vacuum seal between them.
  • a lamphead body 46 of the lamphead 16 rests on the window 20 .
  • Another O-ring 48 located between the window 20 and lamphead body 46 provides a vacuum seal between them when a clamp 49 presses together the chamber body 20 and the lamphead body 46 with the window 22 and O-rings 40 , 48 sandwiched between them.
  • a vacuum-sealed processing space 50 is thereby formed within the chamber body 20 below the window 22 and encompasses the wafer 34 to be processed.
  • the lamphead 16 includes a plurality of lamps 56 loosely disposed in respective downwardly directly lamp holes 58 .
  • the lamps 56 are supported by and electrically powered through electrical sockets 60 .
  • the lamps 56 are preferably incandescent bulbs that emit strongly in the infrared such as tungsten halogen bulb having a tungsten filament inside a quartz bulb 62 filled with a gas containing a halogen gas such as bromine and diluted with an inert gas to clean the inside of the quartz bulb 62 .
  • the upper portion of each bulb 62 and its socket 60 are potted into its lamp hole 58 with a ceramic potting compound 64 , which is relatively porous.
  • the lamps 56 are located inside the reflective walls of the vertically oriented cylindrical lamp holes 58 within the lamphead body 46 to form respective light pipes.
  • the open ends of the lamp holes 58 of the lamphead body 46 are located adjacent to but separated from the window 20 .
  • Interconnected cooling channels 66 are defined within the lamphead body 40 by upper and lower lamphead chamber walls 68 , 70 and cylindrical walls 72 surrounding each of the lamp holes 58 as well as an exterior side wall 74 of the lamphead body 46 .
  • Baffles may be included to ensure proper flow of the coolant through the cooling channels 66 .
  • a thermally conductive gas such as helium
  • a mass flow controller 86 is supplied from a pressurized gas source 84 and metered by a mass flow controller 86 to be delivered to the lamphead 16 to facilitate thermal transfer between the lamps 56 and the cooling channels 66 .
  • the helium is supplied through a port 88 to a manifold 90 formed in back of the lamp bases between the lamp holes 58 and a lamphead cover 92 . Opening the mass flow controller 86 causes the thermal transfer gas to flow into the manifold 90 and further flow through the porous potting compound 64 around the sides of the bulb 62 of each lamp 56 to cool by heat convectively transferred through the thermal transfer gas to the cooling water in the channels 66 .
  • a vacuum pump 100 reduces the pressure within the lamphead body 46 , particularly when the processing chamber 50 within the chamber 12 is vacuum pumped so that the reduced pressure in the lamphead body 46 reduces the pressure differential across the quartz window 20 .
  • the vacuum pump 100 is connected to the air passages in the lamp holes 58 surrounding the lamps 56 through a port 102 including a valve 104 .
  • the pumping of the vacuum pump 100 must be balanced with the supply of helium from the gas source 84 to maintain the desired pressure of helium within the lamphead 16 for promoting thermal transfer.
  • Thermal sensors such as seven pyrometers 110 (only two of which are shown) are supported bythe chamber body 20 and are optically coupled to light pipes 112 disposed in respective apertures 114 in the bottom wall 52 .
  • the pyrometers 110 detect respective temperatures or other thermal properties at different radial portion of the lower surface of the wafer 34 or of the edge ring 30 , as described in U.S. Pat. No. 5,755,511 to Peuse et al.
  • the pyrometers 110 supply temperature signals to a power supply controller 116 , which controls the power supplied to the infrared lamps 56 in response to the measured temperatures.
  • the infrared lamps 56 may be controlled in radially arranged zones, for example, fifteen zones, to provide a more tailored radial thermal profile to compensate for thermal edge effects. All the pyrometers 110 together provide signals indicative of a temperature profile across the wafer 34 to the power supply controller 116 , which controls the power supplied to each of the zones of the infrared lamps 56 in response to the measured temperature profile.
  • the chamber body 20 of the processing chamber 12 includes two perpendicularly arranged processing gas inlet ports 120 , 122 (inlet port 122 is not illustrated in FIG. 1 ).
  • the pressure within the process space 50 can be reduced to a sub-atmospheric pressure prior to introducing a process gas through the gas inlet ports 120 , 122 .
  • the process space 50 is evacuated by a vacuum pump 124 pumping through a pump port 126 arranged diametrically opposite the first inlet port 120 .
  • the pumping is largely controlled by a butterfly valve 128 disposed between the pump port 126 and the vacuum pump 124 .
  • the pressure may be reduced to between about 1 and 160 Torr. However, for reasons to be described below, the chamber pressure is preferably maintained at less than 20 Torr.
  • RTP chamber 10 represents the most prevalent type of RTP chamber in use today, advanced RTP chambers are being developed using one or more lasers whose beams are scanned over the substrate, as has been disclosed by Jennings et al. in U.S. Patent Application Publication US 2003/0196996 A1, incorporated herein by reference in its entirety.
  • a gas source 130 supplies oxygen gas (O 2 ) through a mass flow con troller 122 to an ozonator 134 , which converts a large fraction of the oxygen to ozone gas (O 3 ).
  • O 2 oxygen gas
  • O 3 ozone gas
  • the resultant oxygen-based mixture of O 2 and O 3 and perhaps some oxygen radicals O* and ionized oxygen atoms or molecules is delivered through a process gas supply line 136 to the first inlet port 120 and into the processing chamber 50 ,
  • the oxygen-based gas reacts within the processing chamber 50 with the surface of the wafer 34 , which has been heated to a predetermined, preferably low temperature by the infrared lamps 56 .
  • Ozone is a metastable molecule which spontaneously quickly dissociates in the reaction O 3 ⁇ O 2 +O* where O* is a radical, which very quickly reacts with whatever available material can be oxidized.
  • O* is a radical, which very quickly reacts with whatever available material can be oxidized.
  • ozone dissociates on any surface having a temperature greater than 400° C. although it also dissociates at a lower rate at lower temperatures.
  • the ozonator 134 may be implemented in a number of forms including a capacitively or inductively coupled plasma or a UV lamp source. It is preferred that the ozonator be capable of a stream of gas containing at least 70% ozone, more preferably at least 80%, and most preferably at least 90%. Even an ozone concentration of at least 30% would provide advantages over the prior. An capable of producing the higher ozone concentrations is commercially available from Iwatami International Corp. of Osaka, Japan as Model AP-800-LR. Other ozonators and sources of ozone may be used with the invention.
  • the wafer need not be heated very much to achieve relatively high oxidation rates.
  • the high ozone concentration also allows the ozone partial pressure to be reduced.
  • Safety rules in place in many countries require that special procedures and equipment be implemented whenever ozone is present at pressures of greater than 20 Torr. Below 20 Torr, the strict rules do not apply. Accordingly, a high ozone fraction allows the ozone oxidation to be performed at pressures of less than 20 Torr.
  • Highly concentrated ozone maybe used not only to oxidize bare silicon but may be used in a two-step process.
  • a thin oxide is grown perhaps using only oxygen at a relatively low temperature.
  • concentrated ozone is used to treat the preexisting oxide film and to increase its thickness to a reliable level.
  • the concentrated ozone may also be used to treat and possibly increase the thickness of a metal oxide film, such as tantalum oxynitride (TaNO).
  • TaNO tantalum oxynitride
  • high-k dielectric films for example, of perovskite material, maybe treated with concentrated ozone to stabilize them and for other reasons.
  • ozone oxidation a high temperature, for example, above 400° C., of any surface to which the ozone is exposed promotes the dissociation of ozone before it reaches the hot wafer surface. As a result, the ozone should be maintained relatively cool except adjacent the wafer being oxidized.
  • An RTP chamber is advantageous for ozone oxidation because it may be considered to be a cold-wall reactor in which the chamber walls are typically much cooler than the radiantly heated wafer. In contrast, in a hot-wall reactor such as an annealing furnace, the wafer temperature is no more than the temperature of the surrounding furnace wall or liner.
  • a sidewall 138 of the processing chamber 50 and the window 22 are typically maintained at much lower temperatures, particularly if the thermal process performed over a relatively short period. Nonetheless, even the walls of an RTP chamber become somewhat warm and any ozone adjacent the warm walls is likely to dissociate far from the wafer and perhaps oxidize the chamber wall rather than the wafer.
  • the ozone is supplied into the chamber through an injector 140 which projects from the chamber sidewall 138 towards the center 18 of the processing chamber 50 parallel and above the surface of the wafer 34 .
  • the nozzle tip of the injector 140 is radially spaced about 2.5 cm outwardly of the edge of the wafer 34 .
  • the injector 140 is preferably water cooled or otherwise temperature controlled by a fluid.
  • a central gas line 150 is machined in the tubular body 144 and terminates at the injector nozzle 148 at its distal end.
  • a supply tube 152 is fixed to thebase 142 and communicates with the central gas line 150 .
  • a gland 154 captures the end of the supply tube 152 and is threaded onto the gas supply line 136 from the ozonator 134 of FIG. 1 .
  • Two circular axially extending liquid lines 158 , 160 are bored into the tubular body 144 offset from the tube's central axis but stop before reaching the bottom of the base 142 .
  • two obliquely oriented fluid lines 162 , 164 are bored from the outside of the base 142 to meet with the axial liquid lines 158 , 160 on their inner ends and to be mated with corresponding tubes and glands on their outer ends and thereby be coupled by two recirculating chilling lines 166 , 168 , illustrated in FIG. 1 , to the two ports of a chiller 170 .
  • the chiller 170 either supplies cold water or recirculates cooling water or other cooling liquid or fluid refrigerant through the injector 140 to cool it and the injected ozone.
  • two axially extending, arc-shaped apertures 180 , 182 are machined in the distal portion of the tubular body 144 to be respectively connected to the two axial liquid lines 158 , 160 .
  • a septum 184 separates the two arc-shaped apertures 180 , 182 , and the distal end of the gas line 150 is formed within the septum 184 .
  • An annular ledge 186 is machined into the distal end of the tubular body 144 at a level slightly above the end surface of the septum 184 .
  • the washer 146 rests on the ledge 186 and is welded to the outer portion of the tubular body 144 and to the injector nozzle 148 .
  • cooling water supplied by one liquid line 158 flows through one arc-shaped aperture 180 surrounding almost half of the distal portion of the gas supply line 160 , flows through the gap between the end surface of the septum 184 and the washer 146 and into the other arc-shaped aperture 182 surrounding most of the other half of the distal portion of the tubular body 144 before flowing out through the other liquid line 160 .
  • the liquid-chilled injector 140 cools the ozone and injects it closer to the wafer 34 , thereby decreasing the likelihood of premature dissociation and oxidation of other chamber parts. It also tends to cool the chamber wall 138 in its immediate vicinity.
  • FIG. 6 A cross-sectional view of FIG. 6 taken along the chamber axis 18 schematically illustrates the RTP chamber 10 in the vicinity of the processing space 50 .
  • the second gas inlet port 122 may be located 90° about the axis 18 from the first gas inlet port 120 supplying the ozone through the water-chilled injector 140 .
  • the angular separation, preferably in the range of 15° and 115°, between the two processing gas inlets 120 , 122 delays the mixing of the ozone with the other gases.
  • the injector 140 for the ozone is located downstream from the inlet port 122 for the other gases as referenced to the rotation direction of the wafer 34 .
  • the second gas inlet 120 is diametrically disposed from the pump port 126 and placed above the unillustrated wafer port in the chamber wall 138 . Diluent, nitriding, and hydrogen gases are supplied through the second gas inlet port 122 so as to reduce any back pressure in the injector 140 and in the gas supply line 136 supplying the ozone to it.
  • the second gas inlet 122 does not require cooling so that it may be conventionally formed of a gas supply line terminating in a recess 190 in the chamber wall 138 , thus not interfering with the wafer port or its slit valve.
  • Gaseous hydrogen from a gas source 192 is metered by a mass flow controller 194 into the processing chamber 50 via the second gas inlet 122 to increase the oxidation rate, if desired, in a process similar to in situ steam generation.
  • the hydrogen gas may either be essentially pure hydrogen or be part of a mixture, such as a forming gas having about 7% hydrogen and 93% nitrogen. It has been found that pure hydrogen supplied with the highly concentrated ozone to a fraction of 33 provides the desired high oxidation rate. It is believed that hydrogen increases the concentration of oxygen radicals.
  • Gaseous oxygen may be supplied from the oxygen gas source 130 through another mass flow controller 198 to the second gas inlet 122 to act as a diluent to reduce the oxidation rate, which may be desired for very thin gate oxides. While it is possible for the ozonator 134 to pass additional gaseous oxygen to the first gas inlet 120 , the additional flow would increase the back pressure in the injector 140 and its supply line.
  • An alternative diluent gas is nitrogen supplied from a gas source 200 through a mass flow controller 202 to the second gas inlet 122 . The nitrogen is also used to purge the processing chamber 50 .
  • Other diluent gases may be used, for example, argon or helium.
  • nitrous oxide supplied from a gas source 204 through a mass flow controller 206 acts as a nitriding gas.
  • the nitrous oxide may be used when a film of silicon oxynitride is desired as the oxidation product. It may also be supplied separately from the ozone to effect a forming anneal.
  • Gaseous ammonia (NH 3 ) may alternatively be used as the nitriding gas, or other nitriding gases may be substituted.
  • the wafer 34 is rotating about the axis 18 fast enough to time-average out the non-uniformity.
  • the RTP chamber illustrated in FIG. 1 is illustrative only. Other RTP chambers maybe used with the invention. Other types of thermal processing equipment may be also use. For example, Jennings et al. describe in U.S. Patent Application Publication US 2003/0196996 a thermal processing apparatus that scans a narrow beam of laser light across the surface of the wafer.
  • a vacuum chamber 212 is pumped to, for example, less than 10 Torr by the vacuum pump 124 through the pump port 126 formed in an annular pumping manifold 214 formed near its bottom wall.
  • a pedestal heater 216 is configured to a support the wafer 34 across a processing space 218 in opposition to a showerhead 220 in the upper wall of the chamber 212 .
  • a supply gas manifold 222 is formed on top of the chamber 210 to receive the highly concentrated ozone through one gas inlet port 224 and the steam generating gas H 2 through a second gas inlet port 216 .
  • a diluent gas such as oxygen or nitrogen or other nitriding gas may also be controllably supplied, either through the second gas inlet poit 226 or through separate ones.
  • the gases mix and equilibrate in the gas supply manifold 222 before passing through a large number, typically at least 100, of small apertures 228 formed through the showerhead 220 in an area overlying the wafer 34 .
  • the processing space 218 between the showerhead 220 and the wafer 34 may have a thickness of about 500 mils (1.2 cm) in comparison to a wafer diameter of 200 or 300 mm.
  • the pedestal heater 216 includes a resistive heater 230 powered by an electrical power supply 232 to heat the pedestal heater 216 to a relatively low temperature, for example, 400 to 700° C., needed for high-concentration ozone oxidation. Other types of electrical heating are known, such as RF susceptors.
  • the temperatures of the showerhead 220 and the manifold 222 need to be maintained at relatively low levels, for example, less than 400° C. and preferably substantially lower, by for example water cooling to prevent the premature dissociation of the ozone.
  • the planar geometry made possible in the LPCVD chamber 210 by the narrow processing space 218 , the wide showerhead 222 , and the annular pumping manifold 214 provides good uniformity for ozone-based oxidation without the need to rotate the pedestal 216 .
  • the high-concentration of ozone allows relatively low oxidation temperatures provided by a simple resistivelyheated pedestal.
  • the ozone-based oxidation may be performed in a relatively simple and inexpensive chamber and not impose particularly high temperatures on the wafer 34 .
  • oxidation of silicon is the most widespread use of the invention, the invention is not so limited and different aspects of the invention can be applied to oxidizing other materials.
  • the gas injector of the invention is not limited to injecting ozone or other oxidizing gases and may be used with other types of CVD.

Abstract

A method and apparatus for oxidizing materials used in semiconductor integrated circuits, for example, for oxidizing silicon to form a dielectric gate. An ozonator is capable of producing a stream of least 70% ozone. The ozone passes into an RTP chamber through a water-cooled injector projecting into the chamber. Other gases such as hydrogen to increase oxidation rate, diluent gas such as nitrogen or O2, enter the chamber through another inlet. The chamber is maintained at a low pressure below 20 Toir and the substrate is advantageously maintained at a temperature less than 800° C. Alternatively, the oxidation may be performed in an LPCVD chamber including a pedestal heater and a showerhead gas injector in opposition to the pedestal.

Description

    RELATED APPLICATION
  • This application is a division of Ser. No. 11/099,082, filed Apr. 5, 2005.
  • FIELD OF THE INVENTION
  • The invention relates generally to fabrication of integrated circuits. In particular, the invention relates to thermal oxidation of and other oxygen-based treatment of electronic materials such as silicon.
  • BACKGROUND ART
  • The fabrication of silicon integrated circuits typically includes one or more steps of forming layers of silicon dioxide, having a general composition of SiO2, although some variation in its stoichiometry is possible. In some applications, dopants are added. For brevity, this material may hereafter be referred to as oxide. Silicon dioxide is a rugged material that bonds well with silicon and is electrically insulating, that is, dielectric. Thicker layers of oxide are typically deposited by spin-on glasses or by chemical vapor deposition, particularly when they form inter-level dielectric layers, which may be formed over metal and other oxide features. However, thin oxide layers formed over silicon maybe formed by oxidizing the silicon to form silicon oxide. The silicon to be oxidized may be monocrystalline silicon of the wafer or polysilicon deposited as a layer on the wafer in a multi-level structure. Gate oxide layers may be formed by oxidation of typically about 1 nm or less. Pads and STI (shallow trench isolation) liners may similarly be formed to thicknesses of typically 5 to 10 nm. The oxide layer not only electrically insulates the underlying silicon but also passives the silicon/dielectric interface.
  • Oxidation is conventionally performed by heating the silicon surface to approximately 1000° C. to 1200° C. or higher and exposing it to gaseous oxygen for dry oxidation or to steam (H2O) for wet oxidation. Such thermal oxidation may conventionally be performed in a furnace accommodating large number of wafers, but furnaces have in part been superseded by single-wafer processing chambers utilizing a process called rapid thermal oxidation (RTO), a form of rapid thermal processing (RTP). In RTO, high-intensity incandescent lamps rapidly heat a silicon wafer to very high temperatures and oxygen is flowed into the RTP chamber to react on the surface of the hot wafer to react with the silicon and produce a layer of silicon oxide on top of the wafer. Gronet et al. disclose oxidation in an RTP chamber in U.S. Pat. No. 6,037,273, incorporated herein by reference in its entirety. One advantage of RTO is that the walls of the RTP chamber are typically much cooler than the wafer so that oxidation of the chamber walls is reduced. Gronet et al. disclose injecting oxygen and hydrogen gases into the RTP chamber to react near the hot wafer surface for in situ generation of steam.
  • It has been recognized that oxygen radicals O* provide several advantages in silicon oxidation. The oxygen radicals more easily react than oxygen gas so that the oxidation rate is increased for a given temperature. Further, the radicals promote corner rounding, an important feature in STI.
  • Oxygen plasmas have been used for oxidation, but they are felt to subject the semiconducting silicon and dielectric layers to damage particularly when the oxygen species is charged, e.g. O or O=.
  • Ozone (O3) is an unstable form of oxygen gas that may be considered an oxygen radical since O3 spontaneously dissociates into O2 and O*, particularly when exposed to surfaces held at temperatures of greater than 400° C. It is known to use ozone in silicon oxidation, see U.S. Pat. No. 5,294,571 to Fujishiro et al. and U.S. Pat. No. 5,693,578 to Nakanishi et al. However, most known prior art for ozone-assisted oxidation occurs at relatively high temperatures and low ozone concentrations.
  • Another approach for low temperature oxidation supplies the reactor chamber with a gas mixture of oxygen gas O2 and ozone O3, as disclosed in U.S. Pat. No. 5,330,935 to Dobuzinsky et al. (hereafter Dobuzinsky). Ozone is a metastable form of oxygen that may be generated in a microwave or UV generator and which readily dissociates into O2 and the oxygen radical O*. Dobuzinsky supplies the ozone-rich mixture into a thermal reactor operated at a relatively low temperature but including additional RF plasma excitation of the ozone. However, Dobuzinsky's reactor is still a hot-wall reactor so that the ozone quickly dissociates inside the chamber and equally reacts with the chamber walls. Dobuzinsky does however mention the possibility of RTO after their plasma oxidation.
  • More recent technology has imposed different constraints upon silicon oxidation processes. In view of the very thin layers and shallow doping profiles in advanced integrated circuits, the overall thermal budget and maximum processing temperatures are reduced. That is, the typical oxidation temperatures of greater than 1000° C. are considered excessive even when used with the rapid temperature ramp rates available in RTP. Furthermore, the gate oxide thickness are decreasing to well below 1 nm, for example, 0.3 to 0.6 nm in the near future. However, to prevent dielectric breakdown and increase reliability, the gate oxides must be uniformly thick and of high quality. Plasma oxidation may be a low temperature process because it produces oxygen radicals O* which readily react with silicon at low temperatures. However, charging and other effects on the fragile thin oxide prevent plasma oxidation from being widely adopted. The fabrication of advanced integrated circuits is not only constrained by a reduced thermal budget, they it is also facing decreasing limits in the maximum temperature to which the ICs may be exposed even for short times. The known prior art of ozone oxidation does not satisfy the more recent requirements.
  • It is felt that the prior art insufficiently utilizes the advantages of ozone for low temperature oxidation without the use of plasmas.
  • Furthermore, ozone is considered explosive. Safety concerns are greatly alleviated if the pressure within a chamber containing ozone is held at a pressure of no more than 20 Torr. Such low pressures, however, disadvantageously decrease the oxidation rate.
  • SUMMARY OF THE INVENTION
  • Silicon or other material in a semiconductor substrate is oxidized by exposing it to a high concentration of ozone at a relatively low temperature, for example, between 400 and 800° C. in a plasma-free process. Even lower temperatures are possible. The processing chamber may be maintained at a relatively low pressure, for example, less than 20 Torr, which low pressure simplifies the safety requirements. The pressure maybe even lower, for example, less than 10 Torr or even less than 5 Torr. The invention is particularly useful for growing a gate oxide or a passivation layer on silicon.
  • The ozone may be produced in an ozonator, which includes several types of apparatus producing ozone from oxygen. The ozonator should be capable of producing a stream of oxygen-containing gas that is at least 30% ozone, more preferably 70% ozone, still more preferably at least 80%, and even more preferably at least 90%.
  • The ozone may be combined with a diluent gas such as oxygen gas or nitrogen.
  • The ozone/oxygen mixture may be combined with hydrogen to increase the oxidation rate. The hydrogen may be essentially pure hydrogen gas or be a forming gas of H2/N2, for example, having 7% hydrogen.
  • The ozone/oxygen mixture may be combined with a nitriding gas such as nitrous oxide or ammonia so that the oxidation product is a silicon oxynitride.
  • The oxidation may be performed in a rapid thermal processing (RTP) chamber including an array of incandescent lamps or a scanned laser source to radiantly heat the substrate.
  • The ozone is preferably introduced into the RTP processing chamber in a first inlet port separate and offset from a second inlet port supplying the diluent gas of oxygen or nitrogen, hydrogen, and nitriding gas. Preferably, the two ports are angularly spaced on the chamber wall with a separation of between 15° and 120°, 90° being a preferred separation. The first inlet port for the ozone preferably includes a cooled injector that projects into the processing chamber and is cooled by water or other cooling fluid.
  • Alternatively, the oxidation may be performed in a low-pressure chemical vapor deposition (LPCVD) chamber including an electrically heated pedestal supporting and heating the substrate and a showerhead positioned in opposition to the substrate. The showerhead includes a supply manifold in which the ozone/oxygen gas and other gases may be mixed and a large number of apertures between the manifold and the processing chamber over an area approximately covering the substrate.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a cross-sectional view schematically illustrating a rapid thermal processing (RTP) chamber capable of performing ozone-based thermal oxidation.
  • FIG. 2 is an exploded orthographic view of a water-cooled gas injector.
  • FIG. 3 is a sectioned orthographic view of the injector of FIG. 2.
  • FIG. 4 is a cross-sectional view of the injector of FIG. 2.
  • FIG. 5 is an axial plan view of the injector of FIG. 2.
  • FIG. 6 is a schematic cross-sectional view of the RTP chamber taken along its central axis.
  • FIG. 7 is a cross-sectional view schematically illustrating a low-pressure chemical vapor deposition (LPCVD) chamber configured for ozone-based thermal oxidation.
  • DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • The invention in part concerns the thermal oxidation of silicon or other materials in the presence of ozone in an RTP (rapid thermal processing) chamber or in a chamber adapted for chemical vapor deposition.
  • FIG. 1 schematically illustrates in cross section an RTP chamber 10 described by Ranish et al. in U.S. Pat. No. 6,376,804, incorporated herein by reference. The chamber 12 is generally representative of the Radiance RTP chamber available from Applied Materials, Inc. of Santa Clara, Calif. The RTP chamber 10 includes a vacuum chamber 12, a wafer support 14 located within the chamber 12, and a lamphead 16 or heat source assembly located on the top of the chamber 12, all generally symmetrically arranged about a central axis 18.
  • The vacuum chamber 12 includes a chamber body 20 and a window 22 resting on the chamber body 20. The window 22 is composed of a material that is transparent to infrared light, for example, clear fused silica quartz.
  • The chamber body 20 may be made of stainless steel and be lined with a quartz liner (not shown). An annular channel 24 is formed symmetrically about the central axis 18 near the bottom of the chamber body 20. The wafer support 14 includes a magnetic rotor 26 placed within the channel 24, a quartz tubular riser 28 resting on or otherwise coupled to the magnetic rotor 26, and an edge ring 30 resting on the riser 28. The edge ring 30 may be composed of silicon, silicon-coated silicon carbide, opaque silicon carbide, or graphite. During processing, a wafer 34 or other substrate rests on the edge ring 30 in opposition to the window 22. A purge ring 36 outside and below the edge ring 30 supplies a purge gas such as argon to the back of the wafer 34. A magnetic stator 40 located externally of the magnetic rotor 26 is magnetically coupled through the chamber body 20 to the magnetic rotor 26. The rotor 26 may be mechanically supported on ball bearings or be magnetically levitated by the magnetic rotor 26. When an unillustrated motor rotates the magnetic stator 34 about the central axis 18, it induces rotation of the magnetic rotor 26 and hence of the edge ring 30 and the supported wafer 34 about the central axis 18.
  • The quartz window 22 rests on an upper edge of the chamber body 20 and an O-ring 44 located between the window 22 and the chamber body 20 provides a vacuum seal between them. A lamphead body 46 of the lamphead 16 rests on the window 20. Another O-ring 48 located between the window 20 and lamphead body 46 provides a vacuum seal between them when a clamp 49 presses together the chamber body 20 and the lamphead body 46 with the window 22 and O- rings 40, 48 sandwiched between them. A vacuum-sealed processing space 50 is thereby formed within the chamber body 20 below the window 22 and encompasses the wafer 34 to be processed. The wafer 34 is transferred into and out of the processing chamber by means of an unillustrated wafer port in the sidewall of the chamber body 20, a slit valve selectively sealing the wafer port, a wafer paddle insertable through the wafer port, and lift pins in a bottom wall 52 of the chamber body 20 which selectively raise the wafer 34 above the edge ring 30 and the paddle. The top surface of the bottom wall 52 may be coated with a reflective layer to act as a reflector plate defining one side of a black body cavity 54 on the backside of the wafer 34.
  • The lamphead 16 includes a plurality of lamps 56 loosely disposed in respective downwardly directly lamp holes 58. The lamps 56 are supported by and electrically powered through electrical sockets 60. The lamps 56 are preferably incandescent bulbs that emit strongly in the infrared such as tungsten halogen bulb having a tungsten filament inside a quartz bulb 62 filled with a gas containing a halogen gas such as bromine and diluted with an inert gas to clean the inside of the quartz bulb 62. The upper portion of each bulb 62 and its socket 60 are potted into its lamp hole 58 with a ceramic potting compound 64, which is relatively porous. The lamps 56 are located inside the reflective walls of the vertically oriented cylindrical lamp holes 58 within the lamphead body 46 to form respective light pipes. The open ends of the lamp holes 58 of the lamphead body 46 are located adjacent to but separated from the window 20.
  • Interconnected cooling channels 66 are defined within the lamphead body 40 by upper and lower lamphead chamber walls 68, 70 and cylindrical walls 72 surrounding each of the lamp holes 58 as well as an exterior side wall 74 of the lamphead body 46. A recirculating coolant, such as water, introduced into the chambers 66 via an inlet 76 and removed at an outlet 78 cools the lamphead body 46 and traveling adjacent the lamp holes 58 cools the lamps 56. Baffles may be included to ensure proper flow of the coolant through the cooling channels 66.
  • A thermally conductive gas, such as helium, is supplied from a pressurized gas source 84 and metered by a mass flow controller 86 to be delivered to the lamphead 16 to facilitate thermal transfer between the lamps 56 and the cooling channels 66. The helium is supplied through a port 88 to a manifold 90 formed in back of the lamp bases between the lamp holes 58 and a lamphead cover 92. Opening the mass flow controller 86 causes the thermal transfer gas to flow into the manifold 90 and further flow through the porous potting compound 64 around the sides of the bulb 62 of each lamp 56 to cool by heat convectively transferred through the thermal transfer gas to the cooling water in the channels 66.
  • A vacuum pump 100 reduces the pressure within the lamphead body 46, particularly when the processing chamber 50 within the chamber 12 is vacuum pumped so that the reduced pressure in the lamphead body 46 reduces the pressure differential across the quartz window 20. The vacuum pump 100 is connected to the air passages in the lamp holes 58 surrounding the lamps 56 through a port 102 including a valve 104. The pumping of the vacuum pump 100 must be balanced with the supply of helium from the gas source 84 to maintain the desired pressure of helium within the lamphead 16 for promoting thermal transfer.
  • Thermal sensors such as seven pyrometers 110 (only two of which are shown) are supported bythe chamber body 20 and are optically coupled to light pipes 112 disposed in respective apertures 114 in the bottom wall 52. The pyrometers 110 detect respective temperatures or other thermal properties at different radial portion of the lower surface of the wafer 34 or of the edge ring 30, as described in U.S. Pat. No. 5,755,511 to Peuse et al. The pyrometers 110 supply temperature signals to a power supply controller 116, which controls the power supplied to the infrared lamps 56 in response to the measured temperatures. The infrared lamps 56 may be controlled in radially arranged zones, for example, fifteen zones, to provide a more tailored radial thermal profile to compensate for thermal edge effects. All the pyrometers 110 together provide signals indicative of a temperature profile across the wafer 34 to the power supply controller 116, which controls the power supplied to each of the zones of the infrared lamps 56 in response to the measured temperature profile.
  • The chamber body 20 of the processing chamber 12 includes two perpendicularly arranged processing gas inlet ports 120, 122 (inlet port 122 is not illustrated in FIG. 1). In use, the pressure within the process space 50 can be reduced to a sub-atmospheric pressure prior to introducing a process gas through the gas inlet ports 120, 122. The process space 50 is evacuated by a vacuum pump 124 pumping through a pump port 126 arranged diametrically opposite the first inlet port 120. The pumping is largely controlled by a butterfly valve 128 disposed between the pump port 126 and the vacuum pump 124. The pressure may be reduced to between about 1 and 160 Torr. However, for reasons to be described below, the chamber pressure is preferably maintained at less than 20 Torr.
  • Although the RTP chamber 10 represents the most prevalent type of RTP chamber in use today, advanced RTP chambers are being developed using one or more lasers whose beams are scanned over the substrate, as has been disclosed by Jennings et al. in U.S. Patent Application Publication US 2003/0196996 A1, incorporated herein by reference in its entirety.
  • According to one aspect of the invention involving oxidation, a gas source 130 supplies oxygen gas (O2) through a mass flow con troller 122 to an ozonator 134, which converts a large fraction of the oxygen to ozone gas (O3). The resultant oxygen-based mixture of O2 and O3 and perhaps some oxygen radicals O* and ionized oxygen atoms or molecules is delivered through a process gas supply line 136 to the first inlet port 120 and into the processing chamber 50, The oxygen-based gas reacts within the processing chamber 50 with the surface of the wafer 34, which has been heated to a predetermined, preferably low temperature by the infrared lamps 56. Ozone is a metastable molecule which spontaneously quickly dissociates in the reaction
    O3→O2+O*
    where O* is a radical, which very quickly reacts with whatever available material can be oxidized. In general, ozone dissociates on any surface having a temperature greater than 400° C. although it also dissociates at a lower rate at lower temperatures.
  • The ozonator 134 may be implemented in a number of forms including a capacitively or inductively coupled plasma or a UV lamp source. It is preferred that the ozonator be capable of a stream of gas containing at least 70% ozone, more preferably at least 80%, and most preferably at least 90%. Even an ozone concentration of at least 30% would provide advantages over the prior. An capable of producing the higher ozone concentrations is commercially available from Iwatami International Corp. of Osaka, Japan as Model AP-800-LR. Other ozonators and sources of ozone may be used with the invention.
  • At these high ozone concentrations, the wafer need not be heated very much to achieve relatively high oxidation rates. The high ozone concentration also allows the ozone partial pressure to be reduced. Safety rules in place in many countries require that special procedures and equipment be implemented whenever ozone is present at pressures of greater than 20 Torr. Below 20 Torr, the strict rules do not apply. Accordingly, a high ozone fraction allows the ozone oxidation to be performed at pressures of less than 20 Torr.
  • Highly concentrated ozone maybe used not only to oxidize bare silicon but may be used in a two-step process. In the first step, a thin oxide is grown perhaps using only oxygen at a relatively low temperature. In the second step, concentrated ozone is used to treat the preexisting oxide film and to increase its thickness to a reliable level. The concentrated ozone may also be used to treat and possibly increase the thickness of a metal oxide film, such as tantalum oxynitride (TaNO). Similarly, high-k dielectric films, for example, of perovskite material, maybe treated with concentrated ozone to stabilize them and for other reasons.
  • One problem with ozone oxidation is that a high temperature, for example, above 400° C., of any surface to which the ozone is exposed promotes the dissociation of ozone before it reaches the hot wafer surface. As a result, the ozone should be maintained relatively cool except adjacent the wafer being oxidized. An RTP chamber is advantageous for ozone oxidation because it may be considered to be a cold-wall reactor in which the chamber walls are typically much cooler than the radiantly heated wafer. In contrast, in a hot-wall reactor such as an annealing furnace, the wafer temperature is no more than the temperature of the surrounding furnace wall or liner. Although high wafer temperatures are achievable in RTP chambers, a sidewall 138 of the processing chamber 50 and the window 22 are typically maintained at much lower temperatures, particularly if the thermal process performed over a relatively short period. Nonetheless, even the walls of an RTP chamber become somewhat warm and any ozone adjacent the warm walls is likely to dissociate far from the wafer and perhaps oxidize the chamber wall rather than the wafer.
  • To reduce the effect of a warm chamber, the ozone is supplied into the chamber through an injector 140 which projects from the chamber sidewall 138 towards the center 18 of the processing chamber 50 parallel and above the surface of the wafer 34. In one embodiment, the nozzle tip of the injector 140 is radially spaced about 2.5 cm outwardly of the edge of the wafer 34. Furthermore, the injector 140 is preferably water cooled or otherwise temperature controlled by a fluid.
  • One embodiment of the injector 140 is illustrated in the orthographic view of FIG. 2, the sectioned orthographic view of FIG. 3, and the cross-sectional view of FIG. 4. A base 142 can be screwed to the exterior of the chamber sidewall 138 and sealed to it in a configuration having an tubular body 144 of a length of about 5cm projecting into the processing chamber 50. A washer 146 is welded to the end of the tubular body 144 to seal the end of the tubular body 144 except for an injector nozzle 148 penetrating through and welded to the hole of the washer 146. A plan view of the tubular body 144 shown in FIG. 5 is taken along line 5-5 of FIG. 4 along the axis of the tubular body 144. For clarity, the views of FIGS. 3, 4, and 5 omit the washer 146.
  • A central gas line 150 is machined in the tubular body 144 and terminates at the injector nozzle 148 at its distal end. A supply tube 152 is fixed to thebase 142 and communicates with the central gas line 150. A gland 154 captures the end of the supply tube 152 and is threaded onto the gas supply line 136 from the ozonator 134 of FIG. 1. Two circular axially extending liquid lines 158, 160 are bored into the tubular body 144 offset from the tube's central axis but stop before reaching the bottom of the base 142. Instead, two obliquely oriented fluid lines 162, 164 are bored from the outside of the base 142 to meet with the axial liquid lines 158, 160 on their inner ends and to be mated with corresponding tubes and glands on their outer ends and thereby be coupled by two recirculating chilling lines 166, 168, illustrated in FIG. 1, to the two ports of a chiller 170. The chiller 170 either supplies cold water or recirculates cooling water or other cooling liquid or fluid refrigerant through the injector 140 to cool it and the injected ozone.
  • Returning to FIGS. 2-5, two axially extending, arc-shaped apertures 180, 182 are machined in the distal portion of the tubular body 144 to be respectively connected to the two axial liquid lines 158, 160. A septum 184 separates the two arc-shaped apertures 180, 182, and the distal end of the gas line 150 is formed within the septum 184. An annular ledge 186 is machined into the distal end of the tubular body 144 at a level slightly above the end surface of the septum 184. The washer 146 rests on the ledge 186 and is welded to the outer portion of the tubular body 144 and to the injector nozzle 148. Thereby, cooling water supplied by one liquid line 158 flows through one arc-shaped aperture 180 surrounding almost half of the distal portion of the gas supply line 160, flows through the gap between the end surface of the septum 184 and the washer 146 and into the other arc-shaped aperture 182 surrounding most of the other half of the distal portion of the tubular body 144 before flowing out through the other liquid line 160.
  • The liquid-chilled injector 140 cools the ozone and injects it closer to the wafer 34, thereby decreasing the likelihood of premature dissociation and oxidation of other chamber parts. It also tends to cool the chamber wall 138 in its immediate vicinity.
  • A cross-sectional view of FIG. 6 taken along the chamber axis 18 schematically illustrates the RTP chamber 10 in the vicinity of the processing space 50. The second gas inlet port 122 may be located 90° about the axis 18 from the first gas inlet port 120 supplying the ozone through the water-chilled injector 140. The angular separation, preferably in the range of 15° and 115°, between the two processing gas inlets 120, 122 delays the mixing of the ozone with the other gases. The injector 140 for the ozone is located downstream from the inlet port 122 for the other gases as referenced to the rotation direction of the wafer 34. The second gas inlet 120 is diametrically disposed from the pump port 126 and placed above the unillustrated wafer port in the chamber wall 138. Diluent, nitriding, and hydrogen gases are supplied through the second gas inlet port 122 so as to reduce any back pressure in the injector 140 and in the gas supply line 136 supplying the ozone to it. The second gas inlet 122 does not require cooling so that it may be conventionally formed of a gas supply line terminating in a recess 190 in the chamber wall 138, thus not interfering with the wafer port or its slit valve.
  • Gaseous hydrogen from a gas source 192 is metered by a mass flow controller 194 into the processing chamber 50 via the second gas inlet 122 to increase the oxidation rate, if desired, in a process similar to in situ steam generation. The hydrogen gas may either be essentially pure hydrogen or be part of a mixture, such as a forming gas having about 7% hydrogen and 93% nitrogen. It has been found that pure hydrogen supplied with the highly concentrated ozone to a fraction of 33 provides the desired high oxidation rate. It is believed that hydrogen increases the concentration of oxygen radicals.
  • Gaseous oxygen may be supplied from the oxygen gas source 130 through another mass flow controller 198 to the second gas inlet 122 to act as a diluent to reduce the oxidation rate, which may be desired for very thin gate oxides. While it is possible for the ozonator 134 to pass additional gaseous oxygen to the first gas inlet 120, the additional flow would increase the back pressure in the injector 140 and its supply line. An alternative diluent gas is nitrogen supplied from a gas source 200 through a mass flow controller 202 to the second gas inlet 122. The nitrogen is also used to purge the processing chamber 50. Other diluent gases may be used, for example, argon or helium.
  • Other processing gases may be used. For example, nitrous oxide (N2O) supplied from a gas source 204 through a mass flow controller 206 acts as a nitriding gas. The nitrous oxide may be used when a film of silicon oxynitride is desired as the oxidation product. It may also be supplied separately from the ozone to effect a forming anneal. Gaseous ammonia (NH3) may alternatively be used as the nitriding gas, or other nitriding gases may be substituted.
  • Although the gas distributions from both the first and second gas inlets 120, 122 are non-uniform across the wafer 34, the wafer 34 is rotating about the axis 18 fast enough to time-average out the non-uniformity.
  • The RTP chamber illustrated in FIG. 1 is illustrative only. Other RTP chambers maybe used with the invention. Other types of thermal processing equipment may be also use. For example, Jennings et al. describe in U.S. Patent Application Publication US 2003/0196996 a thermal processing apparatus that scans a narrow beam of laser light across the surface of the wafer.
  • High-concentration ozone oxidation has been verified in an RTP chamber. The resultant oxide films have been observed to exhibit many fewer interfacial defects, presumably arising from dangling bonds, than oxide grown with oxygen radicals formed in a steam generator. Ozone oxidation has been observed at wafer temperatures down to 600° C. and reasonable oxidation rates should occur at lower temperatures, for example, down to 400° C. However, 800° C. appears more workable at the present time. Wafer temperatures of 1000° C. produce very low defects densities. It is contemplated that future generations of integrated circuits will require oxidation temperatures even lower than 400° C., perhaps even room temperature. Chamber pressures of between 3 and 5.5 Torr have been used, far below the safety limit of 20 Torr. Even lower pressures maybe used. Ozone-based oxidation with 33% hydrogen has been observed to produce a 2 nm oxide thickness for 1 minute of processing. Ozone flow rates need to be maximized to achieve high oxidation rates.
  • The relatively low process temperatures achievable with high-concentration ozone allows the use of a chamber resembling an LPCVD (low pressure chemical vapor deposition) chamber 210, schematicallyillustrated in cross section in FIG. 7. A vacuum chamber 212 is pumped to, for example, less than 10 Torr by the vacuum pump 124 through the pump port 126 formed in an annular pumping manifold 214 formed near its bottom wall. A pedestal heater 216 is configured to a support the wafer 34 across a processing space 218 in opposition to a showerhead 220 in the upper wall of the chamber 212. A supply gas manifold 222 is formed on top of the chamber 210 to receive the highly concentrated ozone through one gas inlet port 224 and the steam generating gas H2 through a second gas inlet port 216. If required, a diluent gas, such as oxygen or nitrogen or other nitriding gas may also be controllably supplied, either through the second gas inlet poit 226 or through separate ones. The gases mix and equilibrate in the gas supply manifold 222 before passing through a large number, typically at least 100, of small apertures 228 formed through the showerhead 220 in an area overlying the wafer 34. The processing space 218 between the showerhead 220 and the wafer 34 may have a thickness of about 500 mils (1.2 cm) in comparison to a wafer diameter of 200 or 300 mm. The pedestal heater 216 includes a resistive heater 230 powered by an electrical power supply 232 to heat the pedestal heater 216 to a relatively low temperature, for example, 400 to 700° C., needed for high-concentration ozone oxidation. Other types of electrical heating are known, such as RF susceptors. The temperatures of the showerhead 220 and the manifold 222 need to be maintained at relatively low levels, for example, less than 400° C. and preferably substantially lower, by for example water cooling to prevent the premature dissociation of the ozone.
  • The planar geometry made possible in the LPCVD chamber 210 by the narrow processing space 218, the wide showerhead 222, and the annular pumping manifold 214 provides good uniformity for ozone-based oxidation without the need to rotate the pedestal 216. The high-concentration of ozone allows relatively low oxidation temperatures provided by a simple resistivelyheated pedestal. As a result, the ozone-based oxidation may be performed in a relatively simple and inexpensive chamber and not impose particularly high temperatures on the wafer 34.
  • Although oxidation of silicon is the most widespread use of the invention, the invention is not so limited and different aspects of the invention can be applied to oxidizing other materials.
  • The gas injector of the invention is not limited to injecting ozone or other oxidizing gases and may be used with other types of CVD.

Claims (20)

1. A method of treating a surface of a substrate to be formed into an integrated circuit, comprising the steps of:
maintaining a processing surface of said substrate at a temperature; and
flowing from a first gas port into a processing chamber accommodating said substrate an oxygen-based gas mixture containing at least 30% ozone.
2. The method of claim 1, wherein said gas mixture contains at least 50% ozone.
3. The method of claim 2, wherein said gas mixture contains at least 70% ozone.
4. The method of claim 3, wherein said gas mixture contains at least 90% ozone.
5. The method of claim 1, wherein said substrate comprises a silicon-containing material and said ozone oxidizes the silicon-containing material.
6. The method of claim 5, further comprising flowing into said processing chamber hydrogen.
7. The method of claim 6, wherein said hydrogen flows into said processing chamber through a second port.
8. The method of claim 5, further comprising flowing oxygen gas into said chamber through a second port.
9. The method of claim 5 wherein the temperature is less than 800° C.
10. The method of claim 9, wherein the temperature is less than 600° C.
11. The method of claim 10, wherein the temperature is less than 400° C.
12. The method of claim 1, wherein the gas mixture is not excited into a plasma adjacent said substrate.
13. The method of claim 1, wherein the maintaining step is performed by radiant lamps directed at the substrate.
14. The method of claim 1, wherein said flowing step includes flowing said gas mixture into a said processing chamber through an injector projecting into said processing chamber and further comprising cooling said injector with a cooling liquid.
15. The method of claim 1, wherein the maintaining step includes electrically heating a pedestal accommodated within said processing chamber and supporting the substrate.
16. The method of claim 15, wherein said flowing step includes flowing said gas mixture into a gas manifold separated from the processing chamber by a showerhead including a plurality of apertures therethough and disposed in opposition to the pedestal.
17. The method of claim 1, further comprising flowing molecular oxygen gas through an ozonator external of the chamber to produce said oxygen-based gas mixture.
18. The method of claim 1, wherein a pressure within the processing chamber is maintained no higher than 20 Torr.
19. The method of claim 18, where the pressure is maintained no higher than 5 Torr.
20. The method of claim 1, further comprising flowing another processing gas into the processing chamber from a port different than a port admitting said oxygen-based gas into said processing chamber.
US11/530,375 2005-04-05 2006-09-08 Method of Thermally Oxidizing Silicon Using Ozone Abandoned US20070026693A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US11/530,375 US20070026693A1 (en) 2005-04-05 2006-09-08 Method of Thermally Oxidizing Silicon Using Ozone
US13/165,502 US8497193B2 (en) 2005-04-05 2011-06-21 Method of thermally treating silicon with oxygen

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/099,082 US7972441B2 (en) 2005-04-05 2005-04-05 Thermal oxidation of silicon using ozone
US11/530,375 US20070026693A1 (en) 2005-04-05 2006-09-08 Method of Thermally Oxidizing Silicon Using Ozone

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/099,082 Division US7972441B2 (en) 2005-04-05 2005-04-05 Thermal oxidation of silicon using ozone

Publications (1)

Publication Number Publication Date
US20070026693A1 true US20070026693A1 (en) 2007-02-01

Family

ID=37071127

Family Applications (4)

Application Number Title Priority Date Filing Date
US11/099,082 Expired - Fee Related US7972441B2 (en) 2005-04-05 2005-04-05 Thermal oxidation of silicon using ozone
US11/530,375 Abandoned US20070026693A1 (en) 2005-04-05 2006-09-08 Method of Thermally Oxidizing Silicon Using Ozone
US13/165,502 Expired - Fee Related US8497193B2 (en) 2005-04-05 2011-06-21 Method of thermally treating silicon with oxygen
US13/277,385 Expired - Fee Related US8409353B2 (en) 2005-04-05 2011-10-20 Water cooled gas injector

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/099,082 Expired - Fee Related US7972441B2 (en) 2005-04-05 2005-04-05 Thermal oxidation of silicon using ozone

Family Applications After (2)

Application Number Title Priority Date Filing Date
US13/165,502 Expired - Fee Related US8497193B2 (en) 2005-04-05 2011-06-21 Method of thermally treating silicon with oxygen
US13/277,385 Expired - Fee Related US8409353B2 (en) 2005-04-05 2011-10-20 Water cooled gas injector

Country Status (2)

Country Link
US (4) US7972441B2 (en)
WO (1) WO2006107703A1 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090029528A1 (en) * 2007-07-26 2009-01-29 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
US20090311877A1 (en) * 2008-06-14 2009-12-17 Applied Materials, Inc. Post oxidation annealing of low temperature thermal or plasma based oxidation
US20100297854A1 (en) * 2009-04-22 2010-11-25 Applied Materials, Inc. High throughput selective oxidation of silicon and polysilicon using plasma at room temperature
US8993458B2 (en) 2012-02-13 2015-03-31 Applied Materials, Inc. Methods and apparatus for selective oxidation of a substrate
US9919939B2 (en) 2011-12-06 2018-03-20 Delta Faucet Company Ozone distribution in a faucet
US11458214B2 (en) 2015-12-21 2022-10-04 Delta Faucet Company Fluid delivery system including a disinfectant device

Families Citing this family (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7972441B2 (en) 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
US20060240680A1 (en) * 2005-04-25 2006-10-26 Applied Materials, Inc. Substrate processing platform allowing processing in different ambients
KR100706790B1 (en) * 2005-12-01 2007-04-12 삼성전자주식회사 Oxidation treatment apparatus and method
JP2007258266A (en) * 2006-03-20 2007-10-04 Fujitsu Ltd Method of manufacturing semiconductor device
US7902080B2 (en) 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7976634B2 (en) * 2006-11-21 2011-07-12 Applied Materials, Inc. Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
US7860379B2 (en) * 2007-01-15 2010-12-28 Applied Materials, Inc. Temperature measurement and control of wafer support in thermal processing chamber
US9157152B2 (en) * 2007-03-29 2015-10-13 Tokyo Electron Limited Vapor deposition system
US7645709B2 (en) * 2007-07-30 2010-01-12 Applied Materials, Inc. Methods for low temperature oxidation of a semiconductor device
US7745352B2 (en) * 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
WO2009114617A1 (en) * 2008-03-14 2009-09-17 Applied Materials, Inc. Methods for oxidation of a semiconductor device
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US8294068B2 (en) * 2008-09-10 2012-10-23 Applied Materials, Inc. Rapid thermal processing lamphead with improved cooling
US8293013B2 (en) * 2008-12-30 2012-10-23 Intermolecular, Inc. Dual path gas distribution device
US8435906B2 (en) 2009-01-28 2013-05-07 Applied Materials, Inc. Methods for forming conformal oxide layers on semiconductor devices
KR20110131291A (en) * 2009-03-16 2011-12-06 알타 디바이씨즈, 인크. Heating lamp system and methods thereof
US9431237B2 (en) * 2009-04-20 2016-08-30 Applied Materials, Inc. Post treatment methods for oxide layers on semiconductor devices
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8603292B2 (en) * 2009-10-28 2013-12-10 Lam Research Corporation Quartz window for a degas chamber
US8528224B2 (en) * 2009-11-12 2013-09-10 Novellus Systems, Inc. Systems and methods for at least partially converting films to silicon oxide and/or improving film quality using ultraviolet curing in steam and densification of films using UV curing in ammonia
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US20110132877A1 (en) * 2009-12-09 2011-06-09 Lincoln Global, Inc. Integrated shielding gas and magnetic field device for deep groove welding
KR20120111738A (en) 2009-12-30 2012-10-10 어플라이드 머티어리얼스, 인코포레이티드 Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP2013517616A (en) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド Flowable dielectrics using oxide liners
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
CN102844848A (en) 2010-03-05 2012-12-26 应用材料公司 Conformal layers by radical-component cvd
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US8603924B2 (en) * 2010-10-19 2013-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming gate dielectric material
CN102487101A (en) * 2010-12-02 2012-06-06 理想能源设备有限公司 Pretreatment apparatus and pretreatment method
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
KR101893471B1 (en) * 2011-02-15 2018-08-30 어플라이드 머티어리얼스, 인코포레이티드 Method and apparatus for multizone plasma generation
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
TWI568986B (en) * 2011-04-22 2017-02-01 應用材料股份有限公司 Improved lamphead atmosphere
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9960059B2 (en) * 2012-03-30 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Honeycomb heaters for integrated circuit manufacturing
US20140038421A1 (en) * 2012-08-01 2014-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Deposition Chamber and Injector
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US8865602B2 (en) 2012-09-28 2014-10-21 Applied Materials, Inc. Edge ring lip
KR101923050B1 (en) 2012-10-24 2018-11-29 어플라이드 머티어리얼스, 인코포레이티드 Minimal contact edge ring for rapid thermal processing
US8772055B1 (en) * 2013-01-16 2014-07-08 Applied Materials, Inc. Multizone control of lamps in a conical lamphead using pyrometers
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9406653B2 (en) 2013-02-27 2016-08-02 Applied Materials, Inc. Integrated solution for solid state light sources in a process chamber
US9775226B1 (en) 2013-03-29 2017-09-26 Kla-Tencor Corporation Method and system for generating a light-sustained plasma in a flanged transmission element
CN104752264B (en) * 2013-12-31 2018-05-25 北京北方华创微电子装备有限公司 A kind of isolation window fixed structure and chamber
US20150206741A1 (en) * 2014-01-17 2015-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for in situ steam generation
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
TW201626858A (en) * 2015-01-05 2016-07-16 應用材料股份有限公司 Lamp driver for low pressure environment(2)
GB201500541D0 (en) * 2015-01-14 2015-02-25 Uhv Design Ltd Support stage for vacuum apparatus
US11004704B2 (en) 2017-03-17 2021-05-11 Applied Materials, Inc. Finned rotor cover
KR101846509B1 (en) * 2017-03-29 2018-04-09 (주)앤피에스 Heater and substrate processing apparatus having the same
JP6837911B2 (en) * 2017-05-17 2021-03-03 株式会社Screenホールディングス Heat treatment equipment
CN207818767U (en) * 2018-01-17 2018-09-04 宁德时代新能源科技股份有限公司 Collector production equipment
KR102424808B1 (en) * 2018-05-24 2022-07-22 도쿄엘렉트론가부시키가이샤 Multi-zone gas injection for control of gaseous radicals
CN112437975A (en) * 2018-08-22 2021-03-02 玛特森技术公司 System and method for heat treatment and temperature measurement of workpieces at low temperatures
CN112703588A (en) 2018-09-24 2021-04-23 应用材料公司 Atomic oxygen and ozone apparatus for cleaning and surface treatment
US11322347B2 (en) 2018-12-14 2022-05-03 Applied Materials, Inc. Conformal oxidation processes for 3D NAND
TW202107528A (en) * 2019-04-30 2021-02-16 美商得昇科技股份有限公司 Hydrogen assisted atmospheric radical oxidation
US11225716B2 (en) 2019-11-27 2022-01-18 Tokyo Electron Limited Internally cooled multi-hole injectors for delivery of process chemicals
GB2594065B (en) * 2020-04-14 2022-10-19 X Fab France Sas In-situ steam generated oxynitride
TWI738490B (en) * 2020-07-27 2021-09-01 劉劭祺 Material processing apparatus and operating method thereof
GB202015527D0 (en) * 2020-09-30 2020-11-11 Lam Res Ag Apparatus for processing wafer-shaped articles
JP2022064042A (en) * 2020-10-13 2022-04-25 株式会社Kelk Substrate processing device
US20220288259A1 (en) * 2021-03-12 2022-09-15 Applied Materials, Inc. Atomic oxygen and ozone cleaning device having a temperature control apparatus
CN115410941A (en) * 2021-05-28 2022-11-29 中微半导体设备(上海)股份有限公司 Temperature control component, temperature control device and reaction cavity temperature control method

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5294571A (en) * 1992-07-22 1994-03-15 Vlsi Technology, Inc. Rapid thermal oxidation of silicon in an ozone ambient
US5330935A (en) * 1990-10-24 1994-07-19 International Business Machines Corporation Low temperature plasma oxidation process
US5693578A (en) * 1993-09-17 1997-12-02 Fujitsu, Ltd. Method of forming thin silicon oxide film with high dielectric breakdown and hot carrier resistance
US5709754A (en) * 1995-12-29 1998-01-20 Micron Technology, Inc. Method and apparatus for removing photoresist using UV and ozone/oxygen mixture
US5738909A (en) * 1996-01-10 1998-04-14 Micron Technology, Inc. Method of forming high-integrity ultrathin oxides
US5755511A (en) * 1994-12-19 1998-05-26 Applied Materials, Inc. Method and apparatus for measuring substrate temperatures
US6037273A (en) * 1997-07-11 2000-03-14 Applied Materials, Inc. Method and apparatus for insitu vapor generation
US6303522B1 (en) * 1997-11-19 2001-10-16 Imec Vzw Oxidation in an ambient comprising ozone and the reaction products of an organic chloro-carbon precursor
US6376804B1 (en) * 2000-06-16 2002-04-23 Applied Materials, Inc. Semiconductor processing system with lamp cooling
US20030196996A1 (en) * 2002-04-18 2003-10-23 Applied Materials, Inc. Thermal flux processing by scanning
US20050271893A1 (en) * 2004-06-04 2005-12-08 Applied Microstructures, Inc. Controlled vapor deposition of multilayered coatings adhered by an oxide layer
US20060001071A1 (en) * 2004-06-30 2006-01-05 Brask Justin K Forming high-k dielectric layers on smooth substrates

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4341592A (en) * 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
US4252623A (en) * 1979-10-03 1981-02-24 Vaseen Vesper A Ozone production via laser light energy
US4343772A (en) * 1980-02-29 1982-08-10 Nasa Thermal reactor
US4812201A (en) * 1986-07-25 1989-03-14 Tokyo Electron Limited Method of ashing layers, and apparatus for ashing layers
US5097860A (en) * 1991-01-04 1992-03-24 Dacor Corporation Pressure regulator for underwater breathing apparatus
US5374412A (en) * 1992-07-31 1994-12-20 Cvd, Inc. Highly polishable, highly thermally conductive silicon carbide
JPH07169833A (en) * 1993-12-14 1995-07-04 Nec Corp Semiconductor device and manufacture thereof
US5630990A (en) * 1994-11-07 1997-05-20 T I Properties, Inc. Ozone generator with releasable connector and grounded current collector
US5551985A (en) * 1995-08-18 1996-09-03 Torrex Equipment Corporation Method and apparatus for cold wall chemical vapor deposition
US5785824A (en) * 1995-09-28 1998-07-28 Mitsubishi Denki Kabushiki Kaisha Method of and apparatus for producing ozone
US5772771A (en) * 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US6607946B1 (en) * 1996-05-22 2003-08-19 Micron Technology, Inc. Process for growing a dielectric layer on a silicon-containing surface using a mixture of N2O and O3
JP3981426B2 (en) * 1996-07-12 2007-09-26 シャープ株式会社 Method for forming gate insulating film
WO2004079826A1 (en) * 1996-10-22 2004-09-16 Mitsutoshi Miyasaka Method for manufacturing thin film transistor, display, and electronic device
US5873781A (en) * 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
KR20000069146A (en) * 1996-11-27 2000-11-25 로벤 에프. 리차드 쥬니어 Chemical vapor deposition apparatus
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US6287635B1 (en) * 1997-08-11 2001-09-11 Torrex Equipment Corp. High rate silicon deposition method at low pressures
US6352594B2 (en) * 1997-08-11 2002-03-05 Torrex Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6073792A (en) * 1998-07-27 2000-06-13 Campbell; Lucky Access cap
US6303520B1 (en) * 1998-12-15 2001-10-16 Mattson Technology, Inc. Silicon oxynitride film
US6616972B1 (en) * 1999-02-24 2003-09-09 Air Products And Chemicals, Inc. Synthesis of metal oxide and oxynitride
US6490146B2 (en) * 1999-05-07 2002-12-03 Applied Materials Inc. Electrostatic chuck bonded to base with a bond layer and method
US6562141B2 (en) * 2000-07-03 2003-05-13 Andrew Peter Clarke Dual degas/cool loadlock cluster tool
US6435816B1 (en) * 2000-11-03 2002-08-20 General Electric Co. Gas injector system and its fabrication
US6624091B2 (en) * 2001-05-07 2003-09-23 Applied Materials, Inc. Methods of forming gap fill and layers formed thereby
JP4720019B2 (en) * 2001-05-18 2011-07-13 東京エレクトロン株式会社 Cooling mechanism and processing device
US6820570B2 (en) * 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
JP2003166060A (en) * 2001-11-30 2003-06-13 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Method for manufacturing silicon nitride film, silicon oxynitride film, or silicon oxide film by cvd method
US20030111438A1 (en) * 2001-12-18 2003-06-19 Mukai Kevin M. Process operation supplementation with oxygen
US6730367B2 (en) * 2002-03-05 2004-05-04 Micron Technology, Inc. Atomic layer deposition method with point of use generated reactive gas species
US20040084144A1 (en) * 2002-08-21 2004-05-06 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus and substrate processing method
US7141138B2 (en) * 2002-09-13 2006-11-28 Applied Materials, Inc. Gas delivery system for semiconductor processing
US6833322B2 (en) * 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
US6803275B1 (en) * 2002-12-03 2004-10-12 Fasl, Llc ONO fabrication process for reducing oxygen vacancy content in bottom oxide layer in flash memory devices
US7625603B2 (en) * 2003-11-14 2009-12-01 Robert Bosch Gmbh Crack and residue free conformal deposited silicon oxide with predictable and uniform etching characteristics
US7018941B2 (en) * 2004-04-21 2006-03-28 Applied Materials, Inc. Post treatment of low k dielectric films
US20060162658A1 (en) * 2005-01-27 2006-07-27 Applied Materials, Inc. Ruthenium layer deposition apparatus and method
US7553772B1 (en) * 2005-01-31 2009-06-30 Lsi Corporation Process and apparatus for simultaneous light and radical surface treatment of integrated circuit structure
US7972441B2 (en) 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5330935A (en) * 1990-10-24 1994-07-19 International Business Machines Corporation Low temperature plasma oxidation process
US5294571A (en) * 1992-07-22 1994-03-15 Vlsi Technology, Inc. Rapid thermal oxidation of silicon in an ozone ambient
US5693578A (en) * 1993-09-17 1997-12-02 Fujitsu, Ltd. Method of forming thin silicon oxide film with high dielectric breakdown and hot carrier resistance
US5755511A (en) * 1994-12-19 1998-05-26 Applied Materials, Inc. Method and apparatus for measuring substrate temperatures
US5709754A (en) * 1995-12-29 1998-01-20 Micron Technology, Inc. Method and apparatus for removing photoresist using UV and ozone/oxygen mixture
US5738909A (en) * 1996-01-10 1998-04-14 Micron Technology, Inc. Method of forming high-integrity ultrathin oxides
US6037273A (en) * 1997-07-11 2000-03-14 Applied Materials, Inc. Method and apparatus for insitu vapor generation
US6303522B1 (en) * 1997-11-19 2001-10-16 Imec Vzw Oxidation in an ambient comprising ozone and the reaction products of an organic chloro-carbon precursor
US6376804B1 (en) * 2000-06-16 2002-04-23 Applied Materials, Inc. Semiconductor processing system with lamp cooling
US20030196996A1 (en) * 2002-04-18 2003-10-23 Applied Materials, Inc. Thermal flux processing by scanning
US20050271893A1 (en) * 2004-06-04 2005-12-08 Applied Microstructures, Inc. Controlled vapor deposition of multilayered coatings adhered by an oxide layer
US20060001071A1 (en) * 2004-06-30 2006-01-05 Brask Justin K Forming high-k dielectric layers on smooth substrates

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090029528A1 (en) * 2007-07-26 2009-01-29 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
US8008166B2 (en) 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
US8309440B2 (en) 2007-07-26 2012-11-13 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
US20090311877A1 (en) * 2008-06-14 2009-12-17 Applied Materials, Inc. Post oxidation annealing of low temperature thermal or plasma based oxidation
US20100297854A1 (en) * 2009-04-22 2010-11-25 Applied Materials, Inc. High throughput selective oxidation of silicon and polysilicon using plasma at room temperature
US9919939B2 (en) 2011-12-06 2018-03-20 Delta Faucet Company Ozone distribution in a faucet
US10947138B2 (en) 2011-12-06 2021-03-16 Delta Faucet Company Ozone distribution in a faucet
US8993458B2 (en) 2012-02-13 2015-03-31 Applied Materials, Inc. Methods and apparatus for selective oxidation of a substrate
US9514968B2 (en) 2012-02-13 2016-12-06 Applied Materials, Inc. Methods and apparatus for selective oxidation of a substrate
US11458214B2 (en) 2015-12-21 2022-10-04 Delta Faucet Company Fluid delivery system including a disinfectant device

Also Published As

Publication number Publication date
US8409353B2 (en) 2013-04-02
WO2006107703A1 (en) 2006-10-12
US20110250764A1 (en) 2011-10-13
US20120031332A1 (en) 2012-02-09
US20060223315A1 (en) 2006-10-05
US8497193B2 (en) 2013-07-30
US7972441B2 (en) 2011-07-05

Similar Documents

Publication Publication Date Title
US8409353B2 (en) Water cooled gas injector
KR101118462B1 (en) Method for improving nitrogen profile in plasma nitrided gate dielectric layers
US7632758B2 (en) Process and apparatus for forming oxide film, and electronic device material
US6159866A (en) Method for insitu vapor generation for forming an oxide on a substrate
US6599845B2 (en) Oxidizing method and oxidation system
US20020023900A1 (en) Chemistry for boron diffusion barrier layer and method of application in semiconductor device fabrication
US20030124873A1 (en) Method of annealing an oxide film
EP1018150B2 (en) Method for oxidation involving in situ vapor generation
JP2002528892A (en) Method for oxidizing a substrate in the presence of nitride and oxynitride films
JPH10107018A (en) Semiconductor wafer heat treatment apparatus
JP3578155B2 (en) Oxidation method of the object
JP4745247B2 (en) Manufacturing method of semiconductor device
KR20040004836A (en) Method for fabricating gate dielectrics with lowered device leakage current
JP3062116B2 (en) Film forming and reforming assembly equipment
KR100537679B1 (en) Film deposition apparatus and film formation method
US20220415676A1 (en) Selective oxidation on rapid thermal processing (rtp) chamber with active steam generation
JP2735250B2 (en) Method of forming silicon oxide film
JP2003077913A (en) Method for nitriding workpiece and semiconductor element
US20080206968A1 (en) Manufacturing method of semiconductor device

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION