US20070023386A1 - Hollow microneedle array - Google Patents

Hollow microneedle array Download PDF

Info

Publication number
US20070023386A1
US20070023386A1 US11/542,974 US54297406A US2007023386A1 US 20070023386 A1 US20070023386 A1 US 20070023386A1 US 54297406 A US54297406 A US 54297406A US 2007023386 A1 US2007023386 A1 US 2007023386A1
Authority
US
United States
Prior art keywords
array
hollow microneedle
cross
sectional dimension
bore
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/542,974
Inventor
Stanley Kravitz
David Ingersoll
Carrie Schmidt
Jeb Flemming
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US11/542,974 priority Critical patent/US20070023386A1/en
Publication of US20070023386A1 publication Critical patent/US20070023386A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61MDEVICES FOR INTRODUCING MEDIA INTO, OR ONTO, THE BODY; DEVICES FOR TRANSDUCING BODY MEDIA OR FOR TAKING MEDIA FROM THE BODY; DEVICES FOR PRODUCING OR ENDING SLEEP OR STUPOR
    • A61M37/00Other apparatus for introducing media into the body; Percutany, i.e. introducing medicines into the body by diffusion through the skin
    • A61M37/0015Other apparatus for introducing media into the body; Percutany, i.e. introducing medicines into the body by diffusion through the skin by using microneedles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00111Tips, pillars, i.e. raised structures
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C15/00Surface treatment of glass, not in the form of fibres or filaments, by etching
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C23/00Other surface treatment of glass not in the form of fibres or filaments
    • C03C23/0005Other surface treatment of glass not in the form of fibres or filaments by irradiation
    • C03C23/002Other surface treatment of glass not in the form of fibres or filaments by irradiation by ultraviolet light
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C23/00Other surface treatment of glass not in the form of fibres or filaments
    • C03C23/007Other surface treatment of glass not in the form of fibres or filaments by thermal treatment
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61MDEVICES FOR INTRODUCING MEDIA INTO, OR ONTO, THE BODY; DEVICES FOR TRANSDUCING BODY MEDIA OR FOR TAKING MEDIA FROM THE BODY; DEVICES FOR PRODUCING OR ENDING SLEEP OR STUPOR
    • A61M37/00Other apparatus for introducing media into the body; Percutany, i.e. introducing medicines into the body by diffusion through the skin
    • A61M37/0015Other apparatus for introducing media into the body; Percutany, i.e. introducing medicines into the body by diffusion through the skin by using microneedles
    • A61M2037/0053Methods for producing microneedles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/05Microfluidics
    • B81B2201/055Microneedles

Definitions

  • the present invention relates to hollow microneedle arrays and, in particular, to hollow microneedle array comprising a photoetchable glass, polymer, or metal.
  • Hollow microneedle arrays are being developed for transdermal drug delivery and the withdrawal of body fluids for biomedical and other applications.
  • the hollow microneedle array can provide a minimally invasive means to transport relatively large molecules into and out of the skin. Microneedles are desirable because their small size and extremely sharp tip reduces insertion pain and tissue trauma to the patient.
  • the length of the microneedles can be kept short enough to not penetrate to the pain receptors in the inner layers of the skin.
  • the bore of the hollow microneedles can be large enough to provide a relatively rapid rate of drug delivery or withdrawal of bodily fluid.
  • microneedle arrays may replace painful hypodermic needles or syringes used for the sampling of biological fluids (e.g., blood or interstitial fluid).
  • biological fluids e.g., blood or interstitial fluid.
  • biological fluids e.g., blood or interstitial fluid.
  • Microneedle arrays may enable the diabetic to routinely sample blood sugar levels in a pain-free manner.
  • out-of-plane microneedles With out-of-plane microneedles, the longitudinal axis of the microneedles is perpendicular to the wafer. These microneedles are typically short (e.g., less than a few hundred microns) and only penetrate the outer barrier layers of the skin. Out-of-plane needles can typically be made with a large density of needles per chip. Therefore, two-dimensional arrays of microneedles have been used to obtain adequate fluid flow at reasonable pumping rates. See, e.g., P. Zhang et al., “Micromachined Needles for Microbiological Sample and Drug Delivery System,” Proc. Intl. Conf. MEMS, NANO, and Smart Systems ( ICMENS' 03), Jul.
  • microneedles with the correct geometry and physical properties can be inserted into the skin.
  • the safety margin for needle breakage, or the ratio of microneedle fracture force to skin insertion force has been found to be optimum for needles having a small tip radius and large wall thickness. See M. R. Prausnitz, “Microneedles for transdermal drug delivery,” Advanced Druq Delivery Reviews 56, 581 (2004).
  • Microneedle arrays have been fabricated by a number of micromachining processes. Out-of-plane microneedles have typically been fabricated using bulk micromachining or LIGA techniques (LIGA is the German acronym for X-ray lithography, electrodeposition, and molding). Therefore, most of these microneedles have been made of silicon or metals. Silicon bulk micromachining has used either deep reactive ion etching (DRIE) alone or in combination with KOH etching to form the hollow microneedles.
  • DRIE deep reactive ion etching
  • the present invention provides a method to fabricate hollow microneedle arrays using a photoetchable glass wafer that solves these problems.
  • the present invention is directed to a hollow microneedle array, comprising at least one hollow microneedle, comprising a photoetchable glass, a polymer, or a metal, wherein the at least one hollow microneedle has a height, a bore with a cross-sectional dimension, and a tip with a cross-sectional dimension.
  • the height of the hollow microneedle can be less than 1 millimeter
  • the bore can have a cross-sectional dimension of greater than 25 microns
  • the tip can have a cross-sectional dimension of greater than 100 microns and less than 300 microns.
  • the bore can be offset from the center of the tip.
  • the photoetchable glass can comprise a lithium-aluminum-silicate glass containing silver and germanium ions.
  • the polymer can comprise a cast, hot embossed, or injection molded polymer.
  • the metal can comprise an electroplated metal, such as nickel, copper, or gold.
  • FIGS. 1A-1E show a schematic illustration of a method to fabricate a glass hollow microneedle array using a photoetchable glass wafer.
  • FIG. 2 shows a bottomside view photograph of a heat-treated image of a round bore and a circular patterned photoresist on the topside of a transparent Foturan® glass wafer after a first exposure to UV light.
  • FIG. 3 shows a topside view photograph of heat-treated images of the regions between the microneedles and the round bores of a glass microneedle array after a second exposure to UV light.
  • FIG. 4 shows a scanning electron micrograph (SEM) of a single glass hollow tapered microneedle.
  • FIG. 5 shows a SEM of a portion of a 4 by 11 rectangular array of the glass hollow microneedles.
  • FIG. 6 shows a SEM of a glass hollow microneedle piercing a 100-micron-thickness sheet of aluminum foil.
  • FIGS. 7A-7E show a schematic illustration of a method to fabricate a negative mold of a hollow microneedle array using a photoetchable glass wafer.
  • FIG. 8 shows a graph of glucose extraction rates through porcine skin with and without a hollow microneedle array.
  • Photoetchable glasses have several advantages for the fabrication of a wide variety of microsystems components. High-aspect-ratio microstructures can be mass produced relatively inexpensively with these glasses using conventional semiconductor processing equipment. Glasses have high temperature stability, good mechanical properties, are electrically insulating, and have better chemical resistant than plastics and many metals. A particularly attractive photoetchable glass is Foturan®, made by Schott Corporation and imported into the U.S. by Invenios Inc. Foturan® comprises a lithium-aluminum-silicate glass containing traces of silver and germanium ions.
  • the germanium When exposed to UV-light within the absorption band of the metal ion dopants in the glass, the germanium acts as a sensitizer, absorbing a photon and stripping an electron that reduces neighboring silver ions to form colloidal silver atoms. These silver colloids provide nucleation sites for crystallization of the surrounding glass. If exposed to UV light through a mask, only the exposed regions of the glass will crystallize during subsequent heat treatment at a temperature greater than the glass transformation temperature (e.g., greater than 450° C. in air for Foturan®). These nucleated lithium metasilicate crystals typically have diameters of 1-10 microns. The crystalline phase is more soluble in hydrofluoric acid (HF) than the unexposed vitreous, amorphous regions.
  • HF hydrofluoric acid
  • the crystalline regions are preferentially etched about 20 times faster than the amorphous regions in 10% HF, enabling microstructures with aspect ratios of about 20:1 to be formed when the exposed regions are removed. Therefore, this process can produce holes of greater than about 25 microns with a sidewall slope of about 1-4°. See T. R. Dietrich et al., “Fabrication technologies for microsystems utilizing photoetchable glass,” Microelectronic Engineering 30, 497 (1996), which is incorporated herein by reference.
  • FIGS. 1A-1E is shown a schematic illustration of a preferred method to fabricate a hollow microneedle array, comprising at least one hollow microneedle, using a photoetchable glass wafer.
  • the preferred method comprises exposing the photoetchable glass wafer to ultraviolet light through a patterned mask to define a latent image of a bore of at least one hollow microneedle in the glass wafer; heating the glass wafer to a temperature in excess of the glass transformation temperature to transform the amorphous material in the latent image of the exposed bore of the at least one microneedle to a crystalline material, thereby providing an crystallized image of the bore of the at least one microneedle in the glass wafer; exposing the glass wafer to ultraviolet light through a patterned mask to define a latent image of the regions between the at least one hollow microneedle; heating the glass wafer to a temperature in excess of the glass transformation temperature to transform the amorphous material in the exposed latent image of the between regions to a crystalline material,
  • a thick photoetchable glass wafer 11 is exposed to deep UV light through a hard lithography mask 12 to define a latent image 13 of the bore of the at least one hollow microneedle.
  • the photoetchable glass wafer 11 preferably comprises Foturan® Microglass (Invenios, Inc).
  • the wavelength of the UV light preferably corresponds to the absorption band for the sensitizing ion dopant dispersed in the glass.
  • the energy density of the UV light and the exposure time are preferably sufficient to expose the latent image 13 through the thickness of the wafer 11 .
  • a deep UV light source (e.g., available from ABM Corp.) can be used and the intensity of the light source at a wavelength of 240 nm can be about 16.5 mw/cm 2 .
  • the exposure time can be about 4 hours. Using a more intense light source can shorten the exposure time.
  • the mask 12 can be a fused silica photolithography mask, which blocks the deep UV light in the unopen portions of the mask.
  • the openings in the mask preferably define at least one circular bore-hole, although other cross-sections can also be used.
  • the bores are preferable small enough to provide a microneedle that easily penetrates the skin, yet also large enough to enable adequate fluid flow.
  • the diameter of the circular bore-holes is preferably greater than 25 microns and, more preferably, greater than 100 microns.
  • the exposed Foturan® wafer 11 is heat treated at a temperature greater than the glass transformation temperature for a time duration sufficient to convert the exposed amorphous latent image regions to the crystalline phase.
  • the exposed Foturan® glass wafer can be heat treated at 600° C. for 1 hour. This heat treatment converts the amorphous-phase latent image regions to crystalline-phase image regions 14 that can be etched later to form the through-hole bores 18 of the hollow microneedles 19 .
  • a negative acting photoresist e.g., JSR Microposit
  • the photoresist mask 15 blocks the areas that will form the walls of the hollow microneedles from exposure to the deep-UV light. Therefore, the UV exposure defines a latent image 16 of the region between the microneedles that are to be removed by etching. If the tip is too small, the microneedle may shear upon insertion into the skin.
  • the wall thickness of the body of the microneedle at the tip is preferably about 50 microns or greater.
  • the photoresist pattern preferably provides a circular microneedle, after etching, having a tip diameter of greater than 100 microns and, preferably, less than 300 microns. Other microneedle tip cross-sections and dimensions can be used.
  • the photoresist mask 15 can be patterned so that the bore 18 is offset from the tip of the microneedle 19 , thereby reducing clogging of the bore which can occur when the fluid outlet is at the tip of the needle.
  • the photoresist-masked glass wafer 11 is then exposed again to the deep-UV light for a sufficient period of time to define the height of the microneedles. For example, for 400-500 micron tall microneedles in Foturan®, the second exposure can be 35 minutes using the UV light source described above.
  • the exposed glass wafer 11 can be cleaned of the photoresist and heat treated to crystallize the latent image 16 defined by the second exposure.
  • Heat treatment of the twice-exposed Foturan® for an additional 1 hour at 600° C. will form crystallized image regions 17 between the microneedles, in addition to the previously formed crystallized images 14 of the bores.
  • the surface of the wafer can be lapped.
  • the glass wafer 11 is etched to remove the crystallized image regions 14 to form the bores 18 and to remove the crystallized image regions 17 to form the spacings between the hollow microneedles 19 .
  • the backside of the wafer can be covered with photoresist (not shown) to prevent etching of the wafer backside.
  • the Foturan® glass wafer can be etched for 40 minutes in unbuffered 10:1 HF solution.
  • the crystalline material preferentially etches 20:1 times faster then the vitreous material in a 10:1 HF solution, using an ultrasonic bath.
  • the wet chemical etch is anisotropic, an array of hollow microneedles 10 with sloped sidewalls and a small tip radius is formed, as is preferred for penetration of the skin.
  • the etching time can be adjusted to obtain the desired microneedle height and cross-sectional dimensions.
  • both UV exposures can be done sequentially to define the latent images of the regions between the microneedles and the bores, followed by a single heat treatment, albeit while sacrificing mask alignment accuracy.
  • a first exposure of the regions between the microneedles can produce enough darkening by itself (apparently due to the formation of isolated silver atoms) to enable alignment of the bore mask to the faintly darkened latent image 16 of the between regions.
  • the exposed wafer can be heat treated to crystallize both latent images 16 and 13 simultaneously to form crystallized images of both the between regions 17 and the bores 14 .
  • the crystallized images can then be etched to form the glass hollow microneedle array.
  • the bores can be defined in a first exposure and the between region mask aligned to the darkened latent image of the bores for a second exposure of the between regions, followed by a single heat treatment.
  • FIG. 2 is shown a bottomside view photograph of a dark-shaded, crystallized image 14 of a circular bore and a circular patterned photoresist 15 on the topside of a transparent Foturan® glass wafer after a first exposure to UV light and a first heat treatment, but before the second exposure, according to the preferred method shown in FIGS. 1A and 1B .
  • FIG. 3 is shown a topside view photograph of the dark-shaded, crystallized image 17 the spacings between the outsides of the microneedles in an array, in addition to the previously formed dark-shaded crystallized images 14 of the circular bores, after a second exposure to UV light and a second heat treatment, but before etching, according to the preferred method shown in FIGS. 1A to 1 D.
  • the light-shaded regions surrounding each dark-shaded bore corresponds to the unexposed vitreous regions underneath the patterned photoresist 15 in FIG. 2 .
  • the center-to-center spacing of the light-shaded vitreous regions is about 1 mm.
  • Each light-shaded vitreous region has a diameter of about 350 microns, before etching.
  • the diameter of each exposed, dark-shaded bore region is about 50 microns, before etching.
  • the bores are offset from the center of the microneedle tip by about 50 microns.
  • FIG. 4 is shown a scanning electron micrograph (SEM) of a single glass hollow microneedle 19 , after etching.
  • the microneedle is about 400-500 micrometers tall with through-holes 18 that are 1 mm deep.
  • the outside diameter of the tapered microneedle 19 at the base is about 350 microns and about 200 microns at the tip.
  • the diameter of the offset bore 18 at the microneedle tip is about 200 microns.
  • FIG. 5 is shown an SEM of a portion of a 4 by 11 rectangular array 10 of the glass hollow microneedles.
  • the center-to-center spacing between adjacent microneedles is about 1.0 mm.
  • FIG. 6 is shown an SEM of a glass hollow microneedle piercing a 100-micron-thickness sheet of aluminum foil.
  • the foil piercing demonstrates the inherent strength of the Foturan® glass microneedles.
  • a negative mold can be made by depositing a mold material onto the glass hollow microneedle array.
  • a negative mold of Foturan® microneedles can be made by electroplating a metal (e.g., nickel, copper, or gold) onto a sputtered seed layer deposited on the Foturan® microneedles. After the negative plated mold is created and released from the glass array; a liquid polymer, such as Zeonor 1020R, can be cast into the mold.
  • the polymeric hollow microneedle array can be easily peeled off the plated negative mold and the mold can be re-used.
  • Other plastics that can be hot embossed or injection molded, such as polycarbonate, can also be used.
  • a negative mold can be made directly of the photoetchable glass, as shown in FIGS. 7A-7E .
  • a photoetchable glass wafer 21 is exposed to the deep UV light through a lithography mask 22 to define a latent image 23 of the regions between the microneedles to a depth partially through the thickness of the wafer 21 .
  • the exposed wafer is heat-treated to convert the amorphous-phase latent image regions 23 to crystalline-phase image regions 24 .
  • a photoresist 25 is patterned onto the front side of the once heat-treated glass wafer using the darkened crystalline image regions 24 as a reference.
  • the photoresist 25 can be patterned to block the areas that will form the bores and regions between the microneedles.
  • the UV exposure can be sufficient to define a latent image 26 of the wall regions of the microneedles to a depth that is greater than the first exposure.
  • the exposed wafer can be heat treated a second time to crystallize the latent image 26 from the second exposure and provide crystallized images 27 of the wall regions of the microneedles.
  • the crystallized image regions 24 and 27 of the glass wafer can be etched to provide a glass negative mold 20 .
  • a structural material can then be molded into the negative mold.
  • a polymer can be cast or injection molded, or a metal can be electroplated, into the negative mold.
  • the negative mold can be removed to provide a microneedle array of the structural material.
  • the posts 28 of the negative mold 20 thereby provide the hollow bores and the recessed regions 29 of the negative mold provide the walls of the microneedles.
  • both exposures can be done sequentially to define the latent images of the regions between the microneedles 23 and the wall regions 26 .
  • the twice-exposed wafer can then be heat treated to crystallize both latent images 23 and 26 simultaneously to form crystallized images of both the in-between regions 24 and the wall regions 27 .
  • the crystallized images can then be etched to form the glass negative mold.
  • FIG. 8 is shown a graph of glucose extraction rates through porcine skin with and without the glass microneedle array shown in FIG. 5 .
  • the present invention has been described as a hollow microneedle array comprising a photoetchable glass, polymer, or metal. It will be understood that the above description is merely illustrative of the applications of the principles of the present invention, the scope of which is to be determined by the claims viewed in light of the specification. Other variants and modifications of the invention will be apparent to those of skill in the art.

Abstract

An inexpensive and rapid method for fabricating arrays of hollow microneedles uses a photoetchable glass. Furthermore, the glass hollow microneedle array can be used to form a negative mold for replicating microneedles in biocompatible polymers or metals. These microneedle arrays can be used to extract fluids from plants or animals. Glucose transport through these hollow microneedles arrays has been found to be orders of magnitude more rapid than natural diffusion.

Description

    CROSS-REFERENCE TO RELATED APPLICATION
  • This application is a continuation of U.S. application Ser. No. 10/936,360, filed Sep. 8, 2004, which is incorporated herein by reference.
  • STATEMENT OF GOVERNMENT INTEREST
  • This invention was made with Government support under contract no. DE-AC04-94AL85000 awarded by the U.S. Department of Energy to Sandia Corporation. The Government has certain rights in the invention.
  • FIELD OF THE INVENTION
  • The present invention relates to hollow microneedle arrays and, in particular, to hollow microneedle array comprising a photoetchable glass, polymer, or metal.
  • BACKGROUND OF THE INVENTION
  • Hollow microneedle arrays are being developed for transdermal drug delivery and the withdrawal of body fluids for biomedical and other applications. The hollow microneedle array can provide a minimally invasive means to transport relatively large molecules into and out of the skin. Microneedles are desirable because their small size and extremely sharp tip reduces insertion pain and tissue trauma to the patient. The length of the microneedles can be kept short enough to not penetrate to the pain receptors in the inner layers of the skin. Furthermore, the bore of the hollow microneedles can be large enough to provide a relatively rapid rate of drug delivery or withdrawal of bodily fluid. For drug delivery, the use of micron-size needle arrays increases skin permeability due to the needle's penetration of the outer layer of the skin, enabling the drugs to enter the body at therapeutically useful rates. Likewise, hollow microneedle arrays may replace painful hypodermic needles or syringes used for the sampling of biological fluids (e.g., blood or interstitial fluid). For example, for diabetics it is necessary to monitor and control blood sugar levels during the course of a day. The most common approach to monitor blood sugar is to stick the finger with a small needle and measure sugar level in the blood drop that forms at the site of the needle-stick. As a result, the patient may become sensitized to the frequent, painful needle-sticks, perhaps to the point of avoidance, and the sampling protocol is problematic. Microneedle arrays may enable the diabetic to routinely sample blood sugar levels in a pain-free manner.
  • With out-of-plane microneedles, the longitudinal axis of the microneedles is perpendicular to the wafer. These microneedles are typically short (e.g., less than a few hundred microns) and only penetrate the outer barrier layers of the skin. Out-of-plane needles can typically be made with a large density of needles per chip. Therefore, two-dimensional arrays of microneedles have been used to obtain adequate fluid flow at reasonable pumping rates. See, e.g., P. Zhang et al., “Micromachined Needles for Microbiological Sample and Drug Delivery System,” Proc. Intl. Conf. MEMS, NANO, and Smart Systems (ICMENS'03), Jul. 20-23, 2003, Banff, Alberta, Canada. However, only microneedles with the correct geometry and physical properties can be inserted into the skin. In particular, the safety margin for needle breakage, or the ratio of microneedle fracture force to skin insertion force, has been found to be optimum for needles having a small tip radius and large wall thickness. See M. R. Prausnitz, “Microneedles for transdermal drug delivery,” Advanced Druq Delivery Reviews 56, 581 (2004).
  • Microneedle arrays have been fabricated by a number of micromachining processes. Out-of-plane microneedles have typically been fabricated using bulk micromachining or LIGA techniques (LIGA is the German acronym for X-ray lithography, electrodeposition, and molding). Therefore, most of these microneedles have been made of silicon or metals. Silicon bulk micromachining has used either deep reactive ion etching (DRIE) alone or in combination with KOH etching to form the hollow microneedles. See H. J. G. E. Gardeniers et al., “Silicon Micromachined Hollow Microneedles for Transdermal Liquid Transport,” J. Microelectromechanical Systems 12(6), 855 (2003) and P. Griss et al., “Side-Opened Out-of-Plane Microneedles for Microfluidic Transdermal Liquid Transfer,” J. Microelectromechanical Systems 12(3), 296 (2003). However, these fabrication processes are long and difficult and can result in inconsistent wall slopes both in inside diameter and outside diameter of the hollow microneedles. Furthermore, the expensive capital equipment required is slow and not well-suited to eventual mass production of microneedles. Finally, at the end of the process, the silicon microneedles require oxidation so that only a biocompatible silicon dioxide surface is in contact with biological processes.
  • Therefore, a simple fabrication process using inexpensive equipment, providing repeatable results, and directly producing hollow microneedles in a biocompatible substrate is needed. The present invention provides a method to fabricate hollow microneedle arrays using a photoetchable glass wafer that solves these problems.
  • SUMMARY OF THE INVENTION
  • The present invention is directed to a hollow microneedle array, comprising at least one hollow microneedle, comprising a photoetchable glass, a polymer, or a metal, wherein the at least one hollow microneedle has a height, a bore with a cross-sectional dimension, and a tip with a cross-sectional dimension. The height of the hollow microneedle can be less than 1 millimeter, the bore can have a cross-sectional dimension of greater than 25 microns, and the tip can have a cross-sectional dimension of greater than 100 microns and less than 300 microns. The bore can be offset from the center of the tip. The photoetchable glass can comprise a lithium-aluminum-silicate glass containing silver and germanium ions. The polymer can comprise a cast, hot embossed, or injection molded polymer. The metal can comprise an electroplated metal, such as nickel, copper, or gold.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The accompanying drawings, which are incorporated in and form part of the specification, illustrate the present invention and, together with the description, describe the invention. In the drawings, like elements are referred to by like numbers.
  • FIGS. 1A-1E show a schematic illustration of a method to fabricate a glass hollow microneedle array using a photoetchable glass wafer.
  • FIG. 2 shows a bottomside view photograph of a heat-treated image of a round bore and a circular patterned photoresist on the topside of a transparent Foturan® glass wafer after a first exposure to UV light.
  • FIG. 3 shows a topside view photograph of heat-treated images of the regions between the microneedles and the round bores of a glass microneedle array after a second exposure to UV light.
  • FIG. 4 shows a scanning electron micrograph (SEM) of a single glass hollow tapered microneedle.
  • FIG. 5 shows a SEM of a portion of a 4 by 11 rectangular array of the glass hollow microneedles.
  • FIG. 6 shows a SEM of a glass hollow microneedle piercing a 100-micron-thickness sheet of aluminum foil.
  • FIGS. 7A-7E show a schematic illustration of a method to fabricate a negative mold of a hollow microneedle array using a photoetchable glass wafer.
  • FIG. 8 shows a graph of glucose extraction rates through porcine skin with and without a hollow microneedle array.
  • DETAILED DESCRIPTION OF THE INVENTION
  • Photoetchable glasses have several advantages for the fabrication of a wide variety of microsystems components. High-aspect-ratio microstructures can be mass produced relatively inexpensively with these glasses using conventional semiconductor processing equipment. Glasses have high temperature stability, good mechanical properties, are electrically insulating, and have better chemical resistant than plastics and many metals. A particularly attractive photoetchable glass is Foturan®, made by Schott Corporation and imported into the U.S. by Invenios Inc. Foturan® comprises a lithium-aluminum-silicate glass containing traces of silver and germanium ions. When exposed to UV-light within the absorption band of the metal ion dopants in the glass, the germanium acts as a sensitizer, absorbing a photon and stripping an electron that reduces neighboring silver ions to form colloidal silver atoms. These silver colloids provide nucleation sites for crystallization of the surrounding glass. If exposed to UV light through a mask, only the exposed regions of the glass will crystallize during subsequent heat treatment at a temperature greater than the glass transformation temperature (e.g., greater than 450° C. in air for Foturan®). These nucleated lithium metasilicate crystals typically have diameters of 1-10 microns. The crystalline phase is more soluble in hydrofluoric acid (HF) than the unexposed vitreous, amorphous regions. In particular, the crystalline regions are preferentially etched about 20 times faster than the amorphous regions in 10% HF, enabling microstructures with aspect ratios of about 20:1 to be formed when the exposed regions are removed. Therefore, this process can produce holes of greater than about 25 microns with a sidewall slope of about 1-4°. See T. R. Dietrich et al., “Fabrication technologies for microsystems utilizing photoetchable glass,” Microelectronic Engineering 30, 497 (1996), which is incorporated herein by reference.
  • In FIGS. 1A-1E is shown a schematic illustration of a preferred method to fabricate a hollow microneedle array, comprising at least one hollow microneedle, using a photoetchable glass wafer. The preferred method comprises exposing the photoetchable glass wafer to ultraviolet light through a patterned mask to define a latent image of a bore of at least one hollow microneedle in the glass wafer; heating the glass wafer to a temperature in excess of the glass transformation temperature to transform the amorphous material in the latent image of the exposed bore of the at least one microneedle to a crystalline material, thereby providing an crystallized image of the bore of the at least one microneedle in the glass wafer; exposing the glass wafer to ultraviolet light through a patterned mask to define a latent image of the regions between the at least one hollow microneedle; heating the glass wafer to a temperature in excess of the glass transformation temperature to transform the amorphous material in the exposed latent image of the between regions to a crystalline material, thereby providing a crystallized image of the between regions in the glass wafer; and etching the glass wafer in an etchant to remove the crystallized image regions, thereby providing a glass hollow microneedle array comprising the at least one hollow microneedle.
  • In FIG. 1A, a thick photoetchable glass wafer 11 is exposed to deep UV light through a hard lithography mask 12 to define a latent image 13 of the bore of the at least one hollow microneedle. The photoetchable glass wafer 11 preferably comprises Foturan® Microglass (Invenios, Inc). The wavelength of the UV light preferably corresponds to the absorption band for the sensitizing ion dopant dispersed in the glass. The energy density of the UV light and the exposure time are preferably sufficient to expose the latent image 13 through the thickness of the wafer 11. For processing hollow microneedles, a deep UV light source (e.g., available from ABM Corp.) can be used and the intensity of the light source at a wavelength of 240 nm can be about 16.5 mw/cm2. To define a latent image 13 of the bore through the entire thickness of a 1 mm Foturan® wafer at this wavelength and intensity, the exposure time can be about 4 hours. Using a more intense light source can shorten the exposure time. The mask 12 can be a fused silica photolithography mask, which blocks the deep UV light in the unopen portions of the mask. The openings in the mask preferably define at least one circular bore-hole, although other cross-sections can also be used. The bores are preferable small enough to provide a microneedle that easily penetrates the skin, yet also large enough to enable adequate fluid flow. The diameter of the circular bore-holes is preferably greater than 25 microns and, more preferably, greater than 100 microns.
  • In FIG. 1B, the exposed Foturan® wafer 11 is heat treated at a temperature greater than the glass transformation temperature for a time duration sufficient to convert the exposed amorphous latent image regions to the crystalline phase. The exposed Foturan® glass wafer can be heat treated at 600° C. for 1 hour. This heat treatment converts the amorphous-phase latent image regions to crystalline-phase image regions 14 that can be etched later to form the through-hole bores 18 of the hollow microneedles 19.
  • In FIG. 1C, when heat-treating is completed, a negative acting photoresist (e.g., JSR Microposit) 15 is patterned onto the front side of the Foturan® glass wafer using the darkened crystalline image 14 as a reference. The photoresist mask 15 blocks the areas that will form the walls of the hollow microneedles from exposure to the deep-UV light. Therefore, the UV exposure defines a latent image 16 of the region between the microneedles that are to be removed by etching. If the tip is too small, the microneedle may shear upon insertion into the skin. Furthermore, the wall thickness of the body of the microneedle at the tip is preferably about 50 microns or greater. If the tip is too large, the microneedle will not penetrate the skin. The photoresist pattern preferably provides a circular microneedle, after etching, having a tip diameter of greater than 100 microns and, preferably, less than 300 microns. Other microneedle tip cross-sections and dimensions can be used. The photoresist mask 15 can be patterned so that the bore 18 is offset from the tip of the microneedle 19, thereby reducing clogging of the bore which can occur when the fluid outlet is at the tip of the needle. The photoresist-masked glass wafer 11 is then exposed again to the deep-UV light for a sufficient period of time to define the height of the microneedles. For example, for 400-500 micron tall microneedles in Foturan®, the second exposure can be 35 minutes using the UV light source described above.
  • In FIG. 1D, the exposed glass wafer 11 can be cleaned of the photoresist and heat treated to crystallize the latent image 16 defined by the second exposure. Heat treatment of the twice-exposed Foturan® for an additional 1 hour at 600° C. will form crystallized image regions 17 between the microneedles, in addition to the previously formed crystallized images 14 of the bores. The surface of the wafer can be lapped.
  • In FIG. 1E, the glass wafer 11 is etched to remove the crystallized image regions 14 to form the bores 18 and to remove the crystallized image regions 17 to form the spacings between the hollow microneedles 19. The backside of the wafer can be covered with photoresist (not shown) to prevent etching of the wafer backside. The Foturan® glass wafer can be etched for 40 minutes in unbuffered 10:1 HF solution. The crystalline material preferentially etches 20:1 times faster then the vitreous material in a 10:1 HF solution, using an ultrasonic bath. Since the wet chemical etch is anisotropic, an array of hollow microneedles 10 with sloped sidewalls and a small tip radius is formed, as is preferred for penetration of the skin. The etching time can be adjusted to obtain the desired microneedle height and cross-sectional dimensions.
  • Alternatively, both UV exposures can be done sequentially to define the latent images of the regions between the microneedles and the bores, followed by a single heat treatment, albeit while sacrificing mask alignment accuracy. For example, a first exposure of the regions between the microneedles can produce enough darkening by itself (apparently due to the formation of isolated silver atoms) to enable alignment of the bore mask to the faintly darkened latent image 16 of the between regions. Following a second exposure to define the latent image 13 of the bores, the exposed wafer can be heat treated to crystallize both latent images 16 and 13 simultaneously to form crystallized images of both the between regions 17 and the bores 14. The crystallized images can then be etched to form the glass hollow microneedle array. Alternatively, the bores can be defined in a first exposure and the between region mask aligned to the darkened latent image of the bores for a second exposure of the between regions, followed by a single heat treatment.
  • In FIG. 2 is shown a bottomside view photograph of a dark-shaded, crystallized image 14 of a circular bore and a circular patterned photoresist 15 on the topside of a transparent Foturan® glass wafer after a first exposure to UV light and a first heat treatment, but before the second exposure, according to the preferred method shown in FIGS. 1A and 1B.
  • In FIG. 3 is shown a topside view photograph of the dark-shaded, crystallized image 17 the spacings between the outsides of the microneedles in an array, in addition to the previously formed dark-shaded crystallized images 14 of the circular bores, after a second exposure to UV light and a second heat treatment, but before etching, according to the preferred method shown in FIGS. 1A to 1D. The light-shaded regions surrounding each dark-shaded bore corresponds to the unexposed vitreous regions underneath the patterned photoresist 15 in FIG. 2. The center-to-center spacing of the light-shaded vitreous regions is about 1 mm. Each light-shaded vitreous region has a diameter of about 350 microns, before etching. The diameter of each exposed, dark-shaded bore region is about 50 microns, before etching. The bores are offset from the center of the microneedle tip by about 50 microns.
  • In FIG. 4 is shown a scanning electron micrograph (SEM) of a single glass hollow microneedle 19, after etching. The microneedle is about 400-500 micrometers tall with through-holes 18 that are 1 mm deep. The outside diameter of the tapered microneedle 19 at the base is about 350 microns and about 200 microns at the tip. The diameter of the offset bore 18 at the microneedle tip is about 200 microns.
  • In FIG. 5 is shown an SEM of a portion of a 4 by 11 rectangular array 10 of the glass hollow microneedles. The center-to-center spacing between adjacent microneedles is about 1.0 mm.
  • In FIG. 6 is shown an SEM of a glass hollow microneedle piercing a 100-micron-thickness sheet of aluminum foil. The foil piercing demonstrates the inherent strength of the Foturan® glass microneedles.
  • An even less expensive method of fabricating the microneedles is to replicate them using a negative mold made from the original glass hollow microneedle array structure. A negative mold can be made by depositing a mold material onto the glass hollow microneedle array. For example, a negative mold of Foturan® microneedles can be made by electroplating a metal (e.g., nickel, copper, or gold) onto a sputtered seed layer deposited on the Foturan® microneedles. After the negative plated mold is created and released from the glass array; a liquid polymer, such as Zeonor 1020R, can be cast into the mold. After the Zeonor 1020R is cooled and solidified, the polymeric hollow microneedle array can be easily peeled off the plated negative mold and the mold can be re-used. Other plastics that can be hot embossed or injection molded, such as polycarbonate, can also be used.
  • Alternatively, a negative mold can be made directly of the photoetchable glass, as shown in FIGS. 7A-7E. In FIG. 7A, a photoetchable glass wafer 21 is exposed to the deep UV light through a lithography mask 22 to define a latent image 23 of the regions between the microneedles to a depth partially through the thickness of the wafer 21. In FIG. 7B, the exposed wafer is heat-treated to convert the amorphous-phase latent image regions 23 to crystalline-phase image regions 24. In FIG. 7C, a photoresist 25 is patterned onto the front side of the once heat-treated glass wafer using the darkened crystalline image regions 24 as a reference. The photoresist 25 can be patterned to block the areas that will form the bores and regions between the microneedles. The UV exposure can be sufficient to define a latent image 26 of the wall regions of the microneedles to a depth that is greater than the first exposure. In FIG. 7D, the exposed wafer can be heat treated a second time to crystallize the latent image 26 from the second exposure and provide crystallized images 27 of the wall regions of the microneedles. In FIG. 7E, the crystallized image regions 24 and 27 of the glass wafer can be etched to provide a glass negative mold 20. A structural material can then be molded into the negative mold. For example, a polymer can be cast or injection molded, or a metal can be electroplated, into the negative mold. The negative mold can be removed to provide a microneedle array of the structural material. The posts 28 of the negative mold 20 thereby provide the hollow bores and the recessed regions 29 of the negative mold provide the walls of the microneedles.
  • Alternatively, as described previously, both exposures can be done sequentially to define the latent images of the regions between the microneedles 23 and the wall regions 26. The twice-exposed wafer can then be heat treated to crystallize both latent images 23 and 26 simultaneously to form crystallized images of both the in-between regions 24 and the wall regions 27. The crystallized images can then be etched to form the glass negative mold.
  • Extraction studies of the Foturan® microneedles for glucose harvesting were made. For these studies, porcine skin was used as a human skin surrogate. The skin was soaked in a deionized water bath for 4 hours to fully saturate the material. Tests were conducted using a Franz diffusion cell. A Franz diffusion cell has fluid on both sides of the porcine skin. Therefore, this method provides a better representation of diffusion through living tissue than having air on one side.
  • In FIG. 8 is shown a graph of glucose extraction rates through porcine skin with and without the glass microneedle array shown in FIG. 5. The flux of glucose transport across the porcine skin, for the negative control, using a 21 mM glucose donor solution, was 0.0012 mM/min/cm2. The flux of glucose transport across the porcine skin, using a 21 mM glucose donor solution, with the microneedles inserted, was 0.609 mM/min/cm2, 500 times greater then the transport without the microneedles in place. Because the open area of the microneedle array is much smaller than the open area of the bare skin, a small change in concentration produces a large change in flux across the microneedle array.
  • The present invention has been described as a hollow microneedle array comprising a photoetchable glass, polymer, or metal. It will be understood that the above description is merely illustrative of the applications of the principles of the present invention, the scope of which is to be determined by the claims viewed in light of the specification. Other variants and modifications of the invention will be apparent to those of skill in the art.

Claims (28)

1. A hollow microneedle array, comprising at least one hollow microneedle comprising a photoetchable glass, wherein the at least one hollow microneedle has a height, a bore with a cross-sectional dimension, and a tip with a cross-sectional dimension.
2. The array of claim 1, wherein the photoetchable glass comprises a lithium-aluminum-silicate glass containing silver and germanium ions.
3. The array of claim 1, wherein the at least one hollow microneedle is perpendicular to a photoetchable glass wafer.
4. The array of claim 1, wherein the height of the at least one hollow microneedle is less than 1 millimeter.
5. The array of claim 1, wherein the bore of the at least one hollow microneedle has a cross-sectional dimension of greater than 25 microns.
6. The array of claim 1, wherein the bore of the at least one hollow microneedle has a cross-sectional dimension of greater than 100 microns.
7. The array of claim 1, wherein the bore is offset from the center of the tip.
8. The array of claim 1, wherein the tip of the at least one hollow microneedle has a cross-sectional dimension of greater than 100 microns.
9. The array of claim 1, wherein the tip of the at least one hollow microneedle has a cross-sectional dimension of less than 300 microns.
10. A hollow microneedle array, comprising at least one hollow microneedle comprising a polymer, wherein the at least one hollow microneedle has a height, a bore with a cross-sectional dimension, and a tip with a cross-sectional dimension.
11. The array of claim 10, wherein the polymer comprises a cast polymer.
12. The array of claim 10, wherein the polymer comprises a hot embossed polymer.
13. The array of claim 10, wherein the polymer comprises an injection molded polymer.
14. The array of claim 10, wherein the height of the at least one hollow microneedle is less than 1 millimeter.
15. The array of claim 10, wherein the bore of the at least one hollow microneedle has a cross-sectional dimension of greater than 25 microns.
16. The array of claim 10, wherein the bore of the at least one hollow microneedle has a cross-sectional dimension of greater than 100 microns.
17. The array of claim 10, wherein the bore is offset from the center of the tip.
18. The array of claim 10, wherein the tip of the at least one hollow microneedle has a cross-sectional dimension of greater than 100 microns.
19. The array of claim 10, wherein the tip of the at least one hollow microneedle has a cross-sectional dimension of less than 300 microns.
20. A hollow microneedle array, comprising at least one hollow microneedle comprising a metal, wherein the at least one hollow microneedle has a height, a bore with a cross-sectional dimension, and a tip with a cross-sectional dimension.
21. The array of claim 20, wherein the metal comprises an electroplated metal.
22. The array of claim 20, wherein the metal comprises nickel, copper, or gold.
23. The array of claim 20, wherein the height of the at least one hollow microneedle is less than 1 millimeter.
24. The array of claim 20, wherein the bore of the at least one hollow microneedle has a cross-sectional dimension of greater than 25 microns.
25. The array of claim 20, wherein the bore of the at least one hollow microneedle has a cross-sectional dimension of greater than 100 microns.
26. The array of claim 20, wherein the bore is offset from the center of the tip.
27. The array of claim 20, wherein the tip of the at least one hollow microneedle has a cross-sectional dimension of greater than 100 microns.
28. The array of claim 20, wherein the tip of the at least one hollow microneedle has a cross-sectional dimension of less than 300 microns.
US11/542,974 2004-09-08 2006-10-04 Hollow microneedle array Abandoned US20070023386A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/542,974 US20070023386A1 (en) 2004-09-08 2006-10-04 Hollow microneedle array

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/936,360 US7132054B1 (en) 2004-09-08 2004-09-08 Method to fabricate hollow microneedle arrays
US11/542,974 US20070023386A1 (en) 2004-09-08 2006-10-04 Hollow microneedle array

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/936,360 Continuation US7132054B1 (en) 2004-09-08 2004-09-08 Method to fabricate hollow microneedle arrays

Publications (1)

Publication Number Publication Date
US20070023386A1 true US20070023386A1 (en) 2007-02-01

Family

ID=37301172

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/936,360 Expired - Fee Related US7132054B1 (en) 2004-09-08 2004-09-08 Method to fabricate hollow microneedle arrays
US11/542,974 Abandoned US20070023386A1 (en) 2004-09-08 2006-10-04 Hollow microneedle array

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/936,360 Expired - Fee Related US7132054B1 (en) 2004-09-08 2004-09-08 Method to fabricate hollow microneedle arrays

Country Status (1)

Country Link
US (2) US7132054B1 (en)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009254814A (en) * 2008-03-28 2009-11-05 Toppan Printing Co Ltd Microneedle array original plate, and method for manufacturing microneedle array
WO2013137831A1 (en) * 2012-03-16 2013-09-19 National University Of Singapore A novel method to fabricate polymeric microneedles
WO2013170171A1 (en) * 2012-05-11 2013-11-14 10X Technology Llc Hollow silica glass microneedle arrays and method and apparatus for manufacturing same
WO2015015423A1 (en) * 2013-07-29 2015-02-05 Fondazione Istituto Italiano Di Tecnologia Method for the preparation of a substrate for a plasmonic device
KR20210142753A (en) * 2019-04-18 2021-11-25 3디 글래스 솔루션즈 인코포레이티드 High-efficiency die dicing and release
WO2022115714A1 (en) * 2020-11-30 2022-06-02 Mindera Corporation Microneedle devices and methods, and skin condition assays
US11594457B2 (en) 2018-12-28 2023-02-28 3D Glass Solutions, Inc. Heterogenous integration for RF, microwave and MM wave systems in photoactive glass substrates
US11677373B2 (en) 2018-01-04 2023-06-13 3D Glass Solutions, Inc. Impedence matching conductive structure for high efficiency RF circuits
US11894594B2 (en) 2017-12-15 2024-02-06 3D Glass Solutions, Inc. Coupled transmission line resonate RF filter
US11908617B2 (en) 2020-04-17 2024-02-20 3D Glass Solutions, Inc. Broadband induction
US11929199B2 (en) 2014-05-05 2024-03-12 3D Glass Solutions, Inc. 2D and 3D inductors fabricating photoactive substrates
US11962057B2 (en) 2019-04-05 2024-04-16 3D Glass Solutions, Inc. Glass based empty substrate integrated waveguide devices

Families Citing this family (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7041257B2 (en) * 2001-09-25 2006-05-09 Cytonome, Inc. Microfabricated two-pin liquid sample dispensing system
SE0102736D0 (en) * 2001-08-14 2001-08-14 Patrick Griss Side opened out-of-plane microneedles for microfluidic transdermal interfacing and fabrication process of side opened out-of-plane microneedles
US7258839B2 (en) * 2001-12-21 2007-08-21 Cytonome, Inc. Temperature controlled microfabricated two-pin liquid sample dispensing system
US9610459B2 (en) 2009-07-24 2017-04-04 Emkinetics, Inc. Cooling systems and methods for conductive coils
US9339641B2 (en) 2006-01-17 2016-05-17 Emkinetics, Inc. Method and apparatus for transdermal stimulation over the palmar and plantar surfaces
US7491341B2 (en) * 2006-03-31 2009-02-17 Battelle Memorial Institute Method of making tapered capillary tips with constant inner diameters
US20070276211A1 (en) * 2006-05-26 2007-11-29 Jose Mir Compact minimally invasive biomedical monitor
EP2036586B1 (en) * 2006-07-04 2015-09-09 Toppan Printing Co., Ltd. Method for manufacturing microneedle
US20100100005A1 (en) * 2006-07-11 2010-04-22 Infotonics Technology Center, Inc. Minimally invasive allergy testing system with coated allergens
US9005102B2 (en) 2006-10-02 2015-04-14 Emkinetics, Inc. Method and apparatus for electrical stimulation therapy
US10786669B2 (en) 2006-10-02 2020-09-29 Emkinetics, Inc. Method and apparatus for transdermal stimulation over the palmar and plantar surfaces
EP2069013A2 (en) 2006-10-02 2009-06-17 Emkinetics, Inc. Method and apparatus for magnetic induction therapy
US11224742B2 (en) 2006-10-02 2022-01-18 Emkinetics, Inc. Methods and devices for performing electrical stimulation to treat various conditions
GB0622447D0 (en) * 2006-11-10 2006-12-20 Isis Innovation Ordered nanostructures and method of fabrication
WO2008119080A1 (en) * 2007-03-28 2008-10-02 Life Bioscience Inc. Compositions and methods to fabricate a photoactive substrate suitable for shaped glass structures
US8328720B2 (en) * 2007-08-10 2012-12-11 Infotonics Technology Center, Inc. MEMS interstitial prothrombin time test
US8764653B2 (en) 2007-08-22 2014-07-01 Bozena Kaminska Apparatus for signal detection, processing and communication
US8492315B2 (en) * 2007-08-28 2013-07-23 Life Bioscience, Inc. Method of providing a pattern of biological-binding areas for biological testing
US8173038B2 (en) * 2008-04-18 2012-05-08 Corning Incorporated Methods and systems for forming microstructures in glass substrates
US20100022416A1 (en) * 2008-07-25 2010-01-28 Life Bioscience, Inc. Assay plates, methods and systems having one or more etched features
WO2010022326A2 (en) * 2008-08-22 2010-02-25 Us Worldmeds Llc Transdermal delivery of apomorphine using microneedles
US8834423B2 (en) 2009-10-23 2014-09-16 University of Pittsburgh—of the Commonwealth System of Higher Education Dissolvable microneedle arrays for transdermal delivery to human skin
AU2010313487A1 (en) 2009-10-26 2012-05-24 Emkinetics, Inc. Method and apparatus for electromagnetic stimulation of nerve, muscle, and body tissues
TWI410380B (en) * 2009-11-11 2013-10-01 Ind Tech Res Inst Method and system of manufacturing photosensitive glass microstructure
US20110217657A1 (en) * 2010-02-10 2011-09-08 Life Bioscience, Inc. Methods to fabricate a photoactive substrate suitable for microfabrication
WO2011100445A1 (en) * 2010-02-10 2011-08-18 Life Bioscience, Inc. Methods to fabricate a photoactive substrate suitable for microfabrication
US8588884B2 (en) 2010-05-28 2013-11-19 Emkinetics, Inc. Microneedle electrode
JP5693074B2 (en) * 2010-07-26 2015-04-01 浜松ホトニクス株式会社 Laser processing method
US10244981B2 (en) 2011-03-30 2019-04-02 SensiVida Medical Technologies, Inc. Skin test image analysis apparatuses and methods thereof
JP2013111104A (en) * 2011-11-25 2013-06-10 Dainippon Printing Co Ltd Method for manufacturing microneedle device
WO2013166162A1 (en) 2012-05-01 2013-11-07 University Of Pittsburgh - Of The Commonwealth System Of Higher Education Tip-loaded microneedle arrays for transdermal insertion
WO2014043267A1 (en) * 2012-09-12 2014-03-20 Life Bioscience, Inc. Methods of fabricating photoactive substrates suitable for electromagnetic transmission and filtering applications
EP2727880B2 (en) 2012-11-05 2019-08-07 GFD Gesellschaft für Diamantprodukte mbH Three-dimensional, micromechanical component having chamfer and method for its production
EP2945687A4 (en) * 2013-01-18 2016-09-14 Univ North Carolina High-throughput manufacturing of microneedles
US9340451B2 (en) * 2013-02-28 2016-05-17 Corning Incorporated Machining of fusion-drawn glass laminate structures containing a photomachinable layer
FR3006438B1 (en) * 2013-06-04 2015-06-26 Commissariat Energie Atomique TEMPERATURE SENSOR
US10321858B2 (en) 2014-08-18 2019-06-18 Proteadx, Inc. Apparatus and methods for transdermal sensing of analytes in interstitial fluid and associated data transmission systems
US9933387B1 (en) 2014-09-07 2018-04-03 Biolinq, Inc. Miniaturized sub-nanoampere sensitivity low-noise potentiostat system
WO2016149673A1 (en) 2015-03-18 2016-09-22 University Of Pittsburgh - Of The Commonwealth System Of Higher Education Bioactive components conjugated to substrates of microneedle arrays
US10070533B2 (en) 2015-09-30 2018-09-04 3D Glass Solutions, Inc. Photo-definable glass with integrated electronics and ground plane
US11684763B2 (en) 2015-10-16 2023-06-27 University of Pittsburgh—of the Commonwealth System of Higher Education Multi-component bio-active drug delivery and controlled release to the skin by microneedle array devices
WO2017120322A1 (en) 2016-01-05 2017-07-13 University Of Pittsburgh-Of The Commonwealth System Of Higher Education Skin microenvironment targeted delivery for promoting immune and other responses
JP7071609B2 (en) 2016-02-25 2022-05-19 スリーディー グラス ソリューションズ,インク Capacitor array for manufacturing 3D capacitors and photoactive substrates
US11161773B2 (en) 2016-04-08 2021-11-02 3D Glass Solutions, Inc. Methods of fabricating photosensitive substrates suitable for optical coupler
US10092207B1 (en) 2016-05-15 2018-10-09 Biolinq, Inc. Tissue-penetrating electrochemical sensor featuring a co-electrodeposited thin film comprised of polymer and bio-recognition element
WO2018200804A1 (en) 2017-04-28 2018-11-01 3D Glass Solutions, Inc. Rf circulator
US11045142B1 (en) 2017-04-29 2021-06-29 Biolinq, Inc. Heterogeneous integration of silicon-fabricated solid microneedle sensors and CMOS circuitry
WO2019010045A1 (en) 2017-07-07 2019-01-10 3D Glass Solutions, Inc. 2d and 3d rf lumped element devices for rf system in a package photoactive glass substrates
CN107649225B (en) * 2017-10-13 2021-02-19 深圳先进技术研究院 Mask, mold, microfluidic chip, manufacturing method and application
WO2019199470A1 (en) 2018-04-10 2019-10-17 3D Glass Solutions, Inc. Rf integrated power condition capacitor
CN108751120B (en) * 2018-04-13 2021-03-05 杭州电子科技大学 Preparation method of silicon-based microneedle array patch
US10903545B2 (en) 2018-05-29 2021-01-26 3D Glass Solutions, Inc. Method of making a mechanically stabilized radio frequency transmission line device
USD875254S1 (en) 2018-06-08 2020-02-11 Biolinq, Inc. Intradermal biosensor
WO2019243967A1 (en) * 2018-06-18 2019-12-26 3M Innovative Properties Company Process and apparatus for inspecting microneedle arrays
EP3853944B1 (en) 2018-09-17 2023-08-02 3D Glass Solutions, Inc. High efficiency compact slotted antenna with a ground plane
JP7257707B2 (en) 2018-12-28 2023-04-14 スリーディー グラス ソリューションズ,インク Annular capacitor RF, microwave and MM wave systems
EP4048152B1 (en) 2020-07-29 2023-12-20 Biolinq Incorporated Continuous analyte monitoring system with microneedle array
USD988160S1 (en) 2021-03-16 2023-06-06 Biolinq Incorporated Wearable dermal sensor
CN116113454A (en) 2021-05-08 2023-05-12 比奥林股份有限公司 Continuous analyte monitoring device fault detection based on microneedle arrays
CN113977829A (en) * 2021-09-08 2022-01-28 北京宝理泰科技有限公司 Preparation method of hollow microneedle array biosensor
USD996999S1 (en) 2021-11-16 2023-08-29 Biolinq Incorporated Wearable sensor
USD1013544S1 (en) 2022-04-29 2024-02-06 Biolinq Incorporated Wearable sensor
USD1012744S1 (en) 2022-05-16 2024-01-30 Biolinq Incorporated Wearable sensor with illuminated display

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6074930A (en) * 1998-01-07 2000-06-13 Samsung Electronics Co., Ltd. Method for forming a trench isolation structure comprising an interface treatment for trench liner and a subsequent annealing process
US6236445B1 (en) * 1996-02-22 2001-05-22 Hughes Electronics Corporation Method for making topographic projections
US20020138049A1 (en) * 1998-06-10 2002-09-26 Allen Mark G. Microneedle devices and methods of manufacture and use thereof
US20030009113A1 (en) * 2001-07-09 2003-01-09 Lorin Olson Micro-needles and methods of manufacture and use thereof

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57200042A (en) * 1981-06-02 1982-12-08 Hoya Corp Exposure method for chemically machinable photosensitive glass
JPS616143A (en) * 1984-06-15 1986-01-11 Hoya Corp Process for providing groove or hole having step to glass
US6503231B1 (en) * 1998-06-10 2003-01-07 Georgia Tech Research Corporation Microneedle device for transport of molecules across tissue
US6312612B1 (en) * 1999-06-09 2001-11-06 The Procter & Gamble Company Apparatus and method for manufacturing an intracutaneous microneedle array
EP1311310A4 (en) * 2000-08-21 2004-11-24 Cleveland Clinic Foundation Microneedle array module and method of fabricating the same
US6932933B2 (en) * 2001-03-30 2005-08-23 The Aerospace Corporation Ultraviolet method of embedding structures in photocerams
US6767341B2 (en) * 2001-06-13 2004-07-27 Abbott Laboratories Microneedles for minimally invasive drug delivery
SE0102736D0 (en) * 2001-08-14 2001-08-14 Patrick Griss Side opened out-of-plane microneedles for microfluidic transdermal interfacing and fabrication process of side opened out-of-plane microneedles
US20040063100A1 (en) * 2002-09-30 2004-04-01 Wang Chung Lin Nanoneedle chips and the production thereof
US6783920B2 (en) * 2003-01-15 2004-08-31 The Aerospace Corporation Photosensitive glass variable laser exposure patterning method
US20050011858A1 (en) * 2003-07-16 2005-01-20 Industrial Technology Research Institute Method for fabricating a hollow micro-needle array
US7753888B2 (en) * 2003-11-21 2010-07-13 The Regents Of The University Of California Method and/or apparatus for puncturing a surface for extraction, in situ analysis, and/or substance delivery using microneedles
TWI246929B (en) * 2004-07-16 2006-01-11 Ind Tech Res Inst Microneedle array device and its fabrication method
US7627938B2 (en) * 2004-10-15 2009-12-08 Board Of Regents, The Univeristy Of Texas System Tapered hollow metallic microneedle array assembly and method of making and using the same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6236445B1 (en) * 1996-02-22 2001-05-22 Hughes Electronics Corporation Method for making topographic projections
US6074930A (en) * 1998-01-07 2000-06-13 Samsung Electronics Co., Ltd. Method for forming a trench isolation structure comprising an interface treatment for trench liner and a subsequent annealing process
US20020138049A1 (en) * 1998-06-10 2002-09-26 Allen Mark G. Microneedle devices and methods of manufacture and use thereof
US20030009113A1 (en) * 2001-07-09 2003-01-09 Lorin Olson Micro-needles and methods of manufacture and use thereof

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009254814A (en) * 2008-03-28 2009-11-05 Toppan Printing Co Ltd Microneedle array original plate, and method for manufacturing microneedle array
WO2013137831A1 (en) * 2012-03-16 2013-09-19 National University Of Singapore A novel method to fabricate polymeric microneedles
CN104302349A (en) * 2012-03-16 2015-01-21 新加坡国立大学 A novel method to fabricate polymeric microneedles
US10272233B2 (en) 2012-03-16 2019-04-30 National University Of Singapore Method to fabricate polymeric microneedles
WO2013170171A1 (en) * 2012-05-11 2013-11-14 10X Technology Llc Hollow silica glass microneedle arrays and method and apparatus for manufacturing same
US9962535B2 (en) 2012-05-11 2018-05-08 10X Technology Llc Hollow silica glass microneedle arrays and method and apparatus for manufacturing same
WO2015015423A1 (en) * 2013-07-29 2015-02-05 Fondazione Istituto Italiano Di Tecnologia Method for the preparation of a substrate for a plasmonic device
US11929199B2 (en) 2014-05-05 2024-03-12 3D Glass Solutions, Inc. 2D and 3D inductors fabricating photoactive substrates
US11894594B2 (en) 2017-12-15 2024-02-06 3D Glass Solutions, Inc. Coupled transmission line resonate RF filter
US11677373B2 (en) 2018-01-04 2023-06-13 3D Glass Solutions, Inc. Impedence matching conductive structure for high efficiency RF circuits
US11594457B2 (en) 2018-12-28 2023-02-28 3D Glass Solutions, Inc. Heterogenous integration for RF, microwave and MM wave systems in photoactive glass substrates
US11962057B2 (en) 2019-04-05 2024-04-16 3D Glass Solutions, Inc. Glass based empty substrate integrated waveguide devices
KR20220165795A (en) * 2019-04-18 2022-12-15 3디 글래스 솔루션즈 인코포레이티드 High efficiency die dicing and release
KR102473256B1 (en) 2019-04-18 2022-12-05 3디 글래스 솔루션즈 인코포레이티드 High efficiency die dicing and release
KR102601781B1 (en) 2019-04-18 2023-11-14 3디 글래스 솔루션즈 인코포레이티드 High efficiency die dicing and release
EP3948954A4 (en) * 2019-04-18 2022-06-01 3D Glass Solutions, Inc. High efficiency die dicing and release
KR20210142753A (en) * 2019-04-18 2021-11-25 3디 글래스 솔루션즈 인코포레이티드 High-efficiency die dicing and release
US11908617B2 (en) 2020-04-17 2024-02-20 3D Glass Solutions, Inc. Broadband induction
WO2022115714A1 (en) * 2020-11-30 2022-06-02 Mindera Corporation Microneedle devices and methods, and skin condition assays

Also Published As

Publication number Publication date
US7132054B1 (en) 2006-11-07

Similar Documents

Publication Publication Date Title
US7132054B1 (en) Method to fabricate hollow microneedle arrays
KR100563330B1 (en) Method for manufacturing of polymer micro needle array with liga process
JP4778669B2 (en) Method for manufacturing microneedles structures using soft lithography and photolithography
US6334856B1 (en) Microneedle devices and methods of manufacture and use thereof
US6451240B1 (en) Method of manufacturing an intracutaneous microneedle array
US7416541B2 (en) Intracutaneous microneedle array apparatus
CA2330207C (en) Microneedle devices and methods of manufacture and use thereof
CA2376286C (en) Intracutaneous edged microneedle structure
US8250729B2 (en) 3D fabrication of needle tip geometry and knife blade
KR100793615B1 (en) A biodegradable solid type microneedle and methods for preparing it
KR101261466B1 (en) The method for manufacturing hallow micro needle structures
KR100528960B1 (en) Method for preparing polymer micro needle array
Kravitz et al. Method to fabricate hollow microneedle arrays
AU2004200303B2 (en) Microneedle devices and methods of manufacture and use thereof
CN113226432B (en) Hollow microneedle for transdermal delivery of active molecules and/or for sampling biological fluids and method for manufacturing such hollow microneedle
CA2510389A1 (en) Microneedle devices and methods of manufacture and use thereof
CN114768080B (en) Multichannel microneedle and manufacturing method thereof
Kravitz et al. A QUICK, RELIABLE, AND VERSATILE METHOD FOR CREATING MICRONEEDLES IN FOTURAN®
Swaminathan Fabrication of Nano-Injection Needles for Neural Pathway Study in Mice
AU2008200252A1 (en) Microneedle devices and methods of manufacture and use thereof

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION