US20060281299A1 - Method of fabricating silicon carbide-capped copper damascene interconnect - Google Patents

Method of fabricating silicon carbide-capped copper damascene interconnect Download PDF

Info

Publication number
US20060281299A1
US20060281299A1 US11/462,045 US46204506A US2006281299A1 US 20060281299 A1 US20060281299 A1 US 20060281299A1 US 46204506 A US46204506 A US 46204506A US 2006281299 A1 US2006281299 A1 US 2006281299A1
Authority
US
United States
Prior art keywords
copper
copper alloy
tertramethylsilane
trimethylsilane
damascene
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/462,045
Inventor
Jei-Ming Chen
Chin-Hsiang Lin
Chih-Chien Liu
Kuo-Chih Lai
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
United Microelectronics Corp
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/711,015 external-priority patent/US20060040490A1/en
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to US11/462,045 priority Critical patent/US20060281299A1/en
Assigned to UNITED MICROELECTRONICS CORP. reassignment UNITED MICROELECTRONICS CORP. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHEN, JEI-MING, LAI, KUO-CHIH, LIN, CHIN-HSIANG, LIU, CHIH-CHIEN
Publication of US20060281299A1 publication Critical patent/US20060281299A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76889Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances by forming silicides of refractory metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material

Definitions

  • the present invention relates to semiconductor processes, and more particularly to copper damascene interconnect in semiconductor devices with a silicon carbide capping layer.
  • Copper dual damascene architectures with low-k dielectrics are developing and becoming the norm now in forming interconnects in the back-end of line (BEOL) processes.
  • BEOL back-end of line
  • the reliability of copper damascene interconnects becomes increasingly significant.
  • the silicon nitride (SiN) capping layer exhibits poor adhesion to the copper or copper alloy surface.
  • conventional practices in forming a copper or copper alloy interconnect member in a damascene opening results in the formation of a thin copper oxide comprising a mixture of CuO and Cu 2 O. It is believed that such a thin copper oxide forms during chemical mechanical polishing (CMP).
  • CMP chemical mechanical polishing
  • Ngo et al. teach a method including electroplating or electroless plating Cu or a Cu alloy to fill a damascene opening in a dielectric interlayer, chemical mechanical polishing, treating the exposed surface of the Cu or Cu alloy interconnect member in a silane or dichlorosilane plasma to form the copper silicide layer and depositing a SiN capping layer thereon.
  • Ngo et al. teach a method including electroplating or electroless plating Cu or a Cu alloy to fill a damascene opening in a dielectric layer, chemical-mechanical polishing, hydrogen plasma treatment, reacting the treated surface with silane or dichlorosilane to form a layer of copper silicide on the treated surface and depositing a SiN capping layer on the thin copper silicide layer.
  • Ngo et al. teach a method including electroplating or electroless plating Cu to fill a damascene opening in a dielectric interlayer, chemical mechanical polishing, then treating the exposed surface of the Cu interconnect to form the copper silicide layer thereon, and depositing a SiN capping layer on the copper silicide layer.
  • the adhesion of the SiN capping layer to the Cu interconnect member is enhanced by treating the exposed surface of the Cu interconnect member: (a) under plasma conditions with ammonia and silane or dichlorosilane to form a copper silicide layer thereon; or (b) with an ammonia plasma followed by reaction with silane or dichlorosilane to form a copper silicide layer thereon.
  • the primary object of the present invention is to provide a reliable copper damascene process for manufacturing semiconductor devices with a silicon carbide capping layer.
  • a copper damascene process is disclosed.
  • a dielectric layer overlying a substrate is prepared.
  • a damascene opening is etched into the dielectric layer.
  • the damascene opening is filled with copper or copper alloy.
  • a surface of the copper or copper alloy is treated with hydrogen-containing plasma such as H 2 or NH 3 plasma.
  • the treated surface of the copper or copper alloy then reacts with trimethylsilane or tertramethylsilane under plasma enhanced chemical vapor deposition (PECVD) conditions.
  • PECVD plasma enhanced chemical vapor deposition
  • FIGS. 1-5 schematically illustrates a preferred embodiment of the present invention
  • FIG. 6 is a flow chart illustrating one preferred embodiment of the present invention.
  • FIG. 7 is a flow chart illustrating another preferred embodiment of the present invention.
  • FIGS. 1-5 schematically illustrates one preferred embodiment of the present invention, wherein similar reference numerals denote similar features.
  • recessed opening 11 is formed in interlayer dielectric 10 .
  • the interlayer dielectric 10 may be made of silicon dioxide, low-k materials or the like.
  • the opening 11 is formed as a dual damascene opening comprising a contact or via hole in communication with a trench opening. It is understood that opening 11 can be formed as a single damascene opening.
  • a diffusion barrier 12 is deposited.
  • the diffusion barrier 12 can be, but are not limited to, tantalum (Ta), tantalum nitride (TaN), titanium nitride (TiN), titanium-tuantei (TiW), tungsten (W), tungsten nitride (WN), Ti/TiN, titanium silicon nitride (TiSiN), tungsten silicon nitride (WSiN), tantalum silicon nitride (TaSiN) and silicon nitride.
  • Copper or a copper alloy layer 13 is then deposited using electroplating or electroless methods known in the art. Typically, upon electroplating or electroless plating layer 13 , a seed layer (not shown) is deposited on the diffusion barrier 12 .
  • the portions of the copper or copper alloy layer 13 extending beyond opening 11 are removed by chemical mechanical polishing (CMP).
  • CMP chemical mechanical polishing
  • a thin film of copper oxide 20 is formed on the exposed surface of the copper or copper alloy interconnect member 14 .
  • the thin copper oxide 20 may comprise a mixture of CuO and Cu 2 O.
  • the exposed surface of the copper or copper alloy interconnect member 14 having a thin copper oxide film 20 thereon is treated with an hydrogen plasma or ammonia plasma to remove or substantially reduce the thin copper oxide film 20 leaving a clean reduced copper or copper alloy surface 30 .
  • the cleaned surface 30 of copper or copper alloy interconnect 14 is pre-treated by reaction with precursors selected from the group consisting of trimethylsilane, tertramethylsilane and a mixture of trimethylsilane and tertramethylsilane in a plasma-enhanced chemical vapor deposition (PECVD) tool.
  • PECVD plasma-enhanced chemical vapor deposition
  • a copper silicide layer 40 is formed.
  • the pre-treatment comprises the following processing parameters: a trimethylsilane (or tertramethylsilane) gas flow in the range of 100 to 5000 sccm, preferably 300 to 1000 sccm; a process temperature in the range of 300° C.
  • the order of the pre-treatment process in the PECVD tool may be (1) first supplying trimethylsilane (or tertramethylsilane) gas, then initiating plasma; or (2) supplying trimethylsilane (or tertramethylsilane) gas and initiating plasma simultaneously.
  • the later is more preferably and more effective because it has at least the advantages including but not limited to (1)
  • the quality of the copper silicide layer 40 is better because the Si—N and/or Si—H bonding of the trimethylsilane or tertramethylsilane molecules are promptly broken before adsorbed onto the wafer surface to be pre-treated with the presence of initiated plasma.
  • Particle problem is alleviated with the presence of initiated plasma when trimethylsilane or tertramethylsilane is introduced into the reaction chamber to react with the copper surface.
  • the introduced trimethylsilane or tertramethylsilane molecules will be adsorbed onto the wafer surface and not react with the copper. Even if later on the plasma is turned on, the reaction performance is poor.
  • the simultaneous introduction of trimethylsilane or tertramethylsilane and ignition of plasma can improve the reaction of the trimethylsilane or tertramethylsilane with the copper, and reduce the consumption of introduced trimethylsilane or tertramethylsilane.
  • a silicon carbide (SiC) capping layer 50 is then in-situ deposited using the same PECVD tool so as to completely encapsulate the copper or copper alloy interconnect 14 .
  • Another dielectric layer or interlayer 52 is then deposited. It is advantageous to use silicon carbide as the capping material because silicon carbide formed by PECVD, possessing a low dielectric constant and high resistivity, has become a potential substitute for silicon nitride in semiconductor integrated circuits fabrication. As device technology leads to smaller and smaller geometries, the development of the silicon carbide film is believed to be one solution for resolving RC delay during IC fabrication.
  • a PECVD silicon carbide film is deposited from gaseous organosilicon such as silane/methane, dimethylsilane, trimethylsilane or tertramethylsilane. The deposition may be carried out in a single step or in multiple steps.
  • the PECVD film generally contains large amounts of bonded hydrogen in the form of Si—H and C—H, and the composition of which is thus represented as SiCxHy.
  • the carbide material is found to exhibit excellent insulating properties, such as low dielectric constant (in the range of 4-5) and high resistivity towards copper diffusion.
  • a PECVD silicon carbide film is an excellent choice other than nitride for making insulators such as copper barrier during IC fabrication.
  • a PECVD process using silane/methane, bimethylsilane, trimethylsilane, tertramethylsilane or other organosilicon precursor gas and N 2 , Ar or He as carrier gas is performed to deposit the SiC capping layer 50 .
  • the deposit is treated with an in-situ ammonia plasma.
  • the ammonia plasma treatment comprises the following processing parameters: an ammonia gas flow in the range of 2500 to 5000 sccm; a nitrogen flow in the range of 1000 to 3000 sccm; a PF power density in the range of 0.5 to 1.5 W/cm 2 ; and a chamber pressure ranging from 3 to 5 Torr.
  • the plasma treatment lasts generally from 5 to 20 seconds.
  • the H atoms dissociated from ammonia plasma tend to diffuse into the carbide film at a temperature higher than 400° C. and carry out the excess oxygen atoms from the carbide deposit in the form of H 2 O molecules.
  • the oxygen content of the silicon carbide material is effectively reduced.
  • the PECVD SiC capping layer 50 with reduced oxygen substance alleviates copper oxidation and thus largely decrease resistance of the copper interconnect.
  • Step 62 copper damascene or dual damascene process is carried out to form copper interconnect members on a semiconductor wafer.
  • the wafer is then subjected to CMP.
  • Step 64 the exposed surface of the copper or copper alloy interconnect member having a thin copper oxide film thereon is treated with an hydrogen plasma or ammonia plasma to remove or substantially reduce the thin copper oxide film leaving a clean reduced copper or copper alloy surface.
  • Step 66 prior to capping the copper or copper alloy surface, the clean reduced copper or copper alloy surface is pre-treated with by reaction with precursors selected from the group consisting of trimethylsilane, tertramethylsilane and a mixture of trimethylsilane and tertramethylsilane in a plasma-enhanced chemical vapor deposition (PECVD) tool.
  • PECVD plasma-enhanced chemical vapor deposition
  • Step 68 silicon carbide (SiC) capping layer is then in-situ deposited to completely encapsulate the copper or copper alloy interconnect.
  • Step 72 copper damascene or dual damascene process is carried out to form copper interconnect members on a semiconductor wafer.
  • the wafer is then subjected to CMP.
  • Step 74 the exposed surface of the copper or copper alloy interconnect member having a thin copper oxide film thereon is treated with an hydrogen plasma or ammonia plasma to remove or substantially reduce the thin copper oxide film leaving a clean reduced copper or copper alloy surface.
  • Step 76 prior to capping the copper or copper alloy surface, the clean reduced copper or copper alloy surface is pre-treated with by reaction with precursors selected from the group consisting of trimethylsilane, tertramethylsilane and a mixture of trimethylsilane and tertramethylsilane in a plasma-enhanced chemical vapor deposition (PECVD) tool.
  • PECVD plasma-enhanced chemical vapor deposition
  • Step 78 SiC capping layer is then in-situ deposited to completely encapsulate the copper or copper alloy interconnect.
  • Step 80 the SiC capping layer is treated with an in-situ ammonia plasma.

Abstract

A dielectric layer overlying a substrate is prepared. A damascene opening is etched into the dielectric layer. The damascene opening is filled with copper or copper alloy. A surface of the copper or copper alloy is treated with hydrogen-containing plasma such as H2 or NH3 plasma. The treated surface of the copper or copper alloy then reacts with trimethylsilane or tertramethylsilane under plasma enhanced chemical vapor deposition (PECVD) conditions. Subsequently, by PECVD, a silicon carbide layer is in-situ deposited on the copper or copper alloy.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation-in-part of U.S. application Ser. No. 10/711,015 filed Aug. 18, 2004.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates to semiconductor processes, and more particularly to copper damascene interconnect in semiconductor devices with a silicon carbide capping layer.
  • 2. Description of the Prior Art
  • Copper dual damascene architectures with low-k dielectrics are developing and becoming the norm now in forming interconnects in the back-end of line (BEOL) processes. As design rules are scaled down into the deep sub-micron range, the reliability of copper damascene interconnects becomes increasingly significant. It is known that the silicon nitride (SiN) capping layer exhibits poor adhesion to the copper or copper alloy surface. It is also known that conventional practices in forming a copper or copper alloy interconnect member in a damascene opening, results in the formation of a thin copper oxide comprising a mixture of CuO and Cu2O. It is believed that such a thin copper oxide forms during chemical mechanical polishing (CMP).
  • The presence of such a thin copper oxide film undesirably reduces the adhesion of a SiN capping layer to the underlying copper or copper alloy interconnect member. Consequently, cracks are generated at the copper/copper oxide interface, thereby resulting in copper diffusion and increased electromigration as a result of such copper diffusion. The cracks occurring in the copper/copper oxide interface enhance surface diffusion which is more rapid than grain boundary diffusion or lattice diffusion.
  • The aforesaid problems associated with the copper damascene technologies were addressed by Ngo et al. in U.S. Pat. No. 6,211,084 filed Jul. 9, 1998, entitled “Method of forming reliable copper interconnects”; in U.S. Pat. No. 6,303,505 filed Jul. 9, 1998, entitled “Copper interconnect with improved electromigration resistance”; and also in U.S. Pat. No. 6,492,266 filed Jul. 9, 1998, entitled “Method of forming reliable capped copper interconnects”.
  • In U.S. Pat. No. 6,211,084, Ngo et al. teach a method including electroplating or electroless plating Cu or a Cu alloy to fill a damascene opening in a dielectric interlayer, chemical mechanical polishing, treating the exposed surface of the Cu or Cu alloy interconnect member in a silane or dichlorosilane plasma to form the copper silicide layer and depositing a SiN capping layer thereon.
  • In U.S. Pat. No. 6,303,505, Ngo et al. teach a method including electroplating or electroless plating Cu or a Cu alloy to fill a damascene opening in a dielectric layer, chemical-mechanical polishing, hydrogen plasma treatment, reacting the treated surface with silane or dichlorosilane to form a layer of copper silicide on the treated surface and depositing a SiN capping layer on the thin copper silicide layer.
  • In U.S. Pat. No. 6,492,266, Ngo et al. teach a method including electroplating or electroless plating Cu to fill a damascene opening in a dielectric interlayer, chemical mechanical polishing, then treating the exposed surface of the Cu interconnect to form the copper silicide layer thereon, and depositing a SiN capping layer on the copper silicide layer. The adhesion of the SiN capping layer to the Cu interconnect member is enhanced by treating the exposed surface of the Cu interconnect member: (a) under plasma conditions with ammonia and silane or dichlorosilane to form a copper silicide layer thereon; or (b) with an ammonia plasma followed by reaction with silane or dichlorosilane to form a copper silicide layer thereon.
  • There is a constant need in this industry to provide a more reliable copper dual damascene interconnect methodology.
  • SUMMARY OF THE INVENTION
  • The primary object of the present invention is to provide a reliable copper damascene process for manufacturing semiconductor devices with a silicon carbide capping layer.
  • According to the claimed invention, a copper damascene process is disclosed. A dielectric layer overlying a substrate is prepared. A damascene opening is etched into the dielectric layer. The damascene opening is filled with copper or copper alloy. A surface of the copper or copper alloy is treated with hydrogen-containing plasma such as H2 or NH3 plasma. The treated surface of the copper or copper alloy then reacts with trimethylsilane or tertramethylsilane under plasma enhanced chemical vapor deposition (PECVD) conditions. Subsequently, by PECVD, a silicon carbide layer is in-situ deposited on the copper or copper alloy.
  • These and other objectives of the present invention will no doubt become obvious to those of ordinary skill in the art after reading the following detailed description of the preferred embodiment that is illustrated in the various figures and drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The accompanying drawings are included to provide a further understanding of the invention, and are incorporated in and constitute a part of this specification. The drawings illustrate embodiments of the invention and, together with the description, serve to explain the principles of the invention. In the drawings:
  • FIGS. 1-5 schematically illustrates a preferred embodiment of the present invention;
  • FIG. 6 is a flow chart illustrating one preferred embodiment of the present invention; and
  • FIG. 7 is a flow chart illustrating another preferred embodiment of the present invention.
  • DETAILED DESCRIPTION
  • FIGS. 1-5 schematically illustrates one preferred embodiment of the present invention, wherein similar reference numerals denote similar features. Referring to FIG. 1, recessed opening 11 is formed in interlayer dielectric 10. The interlayer dielectric 10 may be made of silicon dioxide, low-k materials or the like. The opening 11 is formed as a dual damascene opening comprising a contact or via hole in communication with a trench opening. It is understood that opening 11 can be formed as a single damascene opening. A diffusion barrier 12 is deposited. The diffusion barrier 12 can be, but are not limited to, tantalum (Ta), tantalum nitride (TaN), titanium nitride (TiN), titanium-tunigsteni (TiW), tungsten (W), tungsten nitride (WN), Ti/TiN, titanium silicon nitride (TiSiN), tungsten silicon nitride (WSiN), tantalum silicon nitride (TaSiN) and silicon nitride. Copper or a copper alloy layer 13 is then deposited using electroplating or electroless methods known in the art. Typically, upon electroplating or electroless plating layer 13, a seed layer (not shown) is deposited on the diffusion barrier 12.
  • Referring to FIG. 2, the portions of the copper or copper alloy layer 13 extending beyond opening 11 are removed by chemical mechanical polishing (CMP). A thin film of copper oxide 20 is formed on the exposed surface of the copper or copper alloy interconnect member 14. The thin copper oxide 20 may comprise a mixture of CuO and Cu2O.
  • Referring to FIG. 3, a reduction process is carried out. In accordance with the preferred embodiment of the present invention, the exposed surface of the copper or copper alloy interconnect member 14 having a thin copper oxide film 20 thereon is treated with an hydrogen plasma or ammonia plasma to remove or substantially reduce the thin copper oxide film 20 leaving a clean reduced copper or copper alloy surface 30.
  • Referring to FIG. 4, prior to capping of the surface-reduced copper or copper alloy interconnect member 14, the cleaned surface 30 of copper or copper alloy interconnect 14 is pre-treated by reaction with precursors selected from the group consisting of trimethylsilane, tertramethylsilane and a mixture of trimethylsilane and tertramethylsilane in a plasma-enhanced chemical vapor deposition (PECVD) tool. A copper silicide layer 40 is formed. According to the preferred embodiment, the pre-treatment comprises the following processing parameters: a trimethylsilane (or tertramethylsilane) gas flow in the range of 100 to 5000 sccm, preferably 300 to 1000 sccm; a process temperature in the range of 300° C. to 450° C., preferably 350° C. to 400° C.; and a reaction duration in the range of 0.1 seconds to 30 seconds, preferably 0.3 seconds to 10 seconds. The order of the pre-treatment process in the PECVD tool may be (1) first supplying trimethylsilane (or tertramethylsilane) gas, then initiating plasma; or (2) supplying trimethylsilane (or tertramethylsilane) gas and initiating plasma simultaneously. Nevertheless, the later is more preferably and more effective because it has at least the advantages including but not limited to (1) The quality of the copper silicide layer 40 is better because the Si—N and/or Si—H bonding of the trimethylsilane or tertramethylsilane molecules are promptly broken before adsorbed onto the wafer surface to be pre-treated with the presence of initiated plasma. (2) Particle problem is alleviated with the presence of initiated plasma when trimethylsilane or tertramethylsilane is introduced into the reaction chamber to react with the copper surface.
  • If the plasma is not turned on upon the introduction of trimethylsilane or tertramethylsilane, the introduced trimethylsilane or tertramethylsilane molecules will be adsorbed onto the wafer surface and not react with the copper. Even if later on the plasma is turned on, the reaction performance is poor. In other words, the simultaneous introduction of trimethylsilane or tertramethylsilane and ignition of plasma can improve the reaction of the trimethylsilane or tertramethylsilane with the copper, and reduce the consumption of introduced trimethylsilane or tertramethylsilane.
  • Referring FIG. 5, a silicon carbide (SiC) capping layer 50 is then in-situ deposited using the same PECVD tool so as to completely encapsulate the copper or copper alloy interconnect 14. The methodology disclosed in U.S. Pat. No. 6,365,527, which is assigned to the same party as the present application, is preferably employed to implement formation of SiC capping layer 50. Another dielectric layer or interlayer 52 is then deposited. It is advantageous to use silicon carbide as the capping material because silicon carbide formed by PECVD, possessing a low dielectric constant and high resistivity, has become a potential substitute for silicon nitride in semiconductor integrated circuits fabrication. As device technology leads to smaller and smaller geometries, the development of the silicon carbide film is believed to be one solution for resolving RC delay during IC fabrication.
  • A PECVD silicon carbide film is deposited from gaseous organosilicon such as silane/methane, dimethylsilane, trimethylsilane or tertramethylsilane. The deposition may be carried out in a single step or in multiple steps. The PECVD film generally contains large amounts of bonded hydrogen in the form of Si—H and C—H, and the composition of which is thus represented as SiCxHy. The carbide material is found to exhibit excellent insulating properties, such as low dielectric constant (in the range of 4-5) and high resistivity towards copper diffusion. As a result, a PECVD silicon carbide film is an excellent choice other than nitride for making insulators such as copper barrier during IC fabrication.
  • According to this invention, a PECVD process using silane/methane, bimethylsilane, trimethylsilane, tertramethylsilane or other organosilicon precursor gas and N2, Ar or He as carrier gas is performed to deposit the SiC capping layer 50. Following the carbide deposition, the deposit is treated with an in-situ ammonia plasma. The ammonia plasma treatment comprises the following processing parameters: an ammonia gas flow in the range of 2500 to 5000 sccm; a nitrogen flow in the range of 1000 to 3000 sccm; a PF power density in the range of 0.5 to 1.5 W/cm2; and a chamber pressure ranging from 3 to 5 Torr. Depending on the carbide deposited thickness the plasma treatment lasts generally from 5 to 20 seconds. During the plasma treatment, the H atoms dissociated from ammonia plasma tend to diffuse into the carbide film at a temperature higher than 400° C. and carry out the excess oxygen atoms from the carbide deposit in the form of H2O molecules. As such, the oxygen content of the silicon carbide material is effectively reduced. The PECVD SiC capping layer 50 with reduced oxygen substance alleviates copper oxidation and thus largely decrease resistance of the copper interconnect.
  • Referring to FIG. 6, a flow chart in accordance with one preferred embodiment of the present invention is demonstrated. In Step 62, copper damascene or dual damascene process is carried out to form copper interconnect members on a semiconductor wafer. The wafer is then subjected to CMP. In Step 64, the exposed surface of the copper or copper alloy interconnect member having a thin copper oxide film thereon is treated with an hydrogen plasma or ammonia plasma to remove or substantially reduce the thin copper oxide film leaving a clean reduced copper or copper alloy surface. In Step 66, prior to capping the copper or copper alloy surface, the clean reduced copper or copper alloy surface is pre-treated with by reaction with precursors selected from the group consisting of trimethylsilane, tertramethylsilane and a mixture of trimethylsilane and tertramethylsilane in a plasma-enhanced chemical vapor deposition (PECVD) tool. In Step 68, silicon carbide (SiC) capping layer is then in-situ deposited to completely encapsulate the copper or copper alloy interconnect.
  • Referring to FIG. 7, a flow chart in accordance with another preferred embodiment of the present invention is demonstrated. In Step 72, copper damascene or dual damascene process is carried out to form copper interconnect members on a semiconductor wafer. The wafer is then subjected to CMP. In Step 74, the exposed surface of the copper or copper alloy interconnect member having a thin copper oxide film thereon is treated with an hydrogen plasma or ammonia plasma to remove or substantially reduce the thin copper oxide film leaving a clean reduced copper or copper alloy surface. In Step 76, prior to capping the copper or copper alloy surface, the clean reduced copper or copper alloy surface is pre-treated with by reaction with precursors selected from the group consisting of trimethylsilane, tertramethylsilane and a mixture of trimethylsilane and tertramethylsilane in a plasma-enhanced chemical vapor deposition (PECVD) tool. In Step 78, SiC capping layer is then in-situ deposited to completely encapsulate the copper or copper alloy interconnect. In Step 80, the SiC capping layer is treated with an in-situ ammonia plasma.
  • Those skilled in the art will readily observe that numerous modifications and alterations of the device and method may be made while retaining the teachings of the invention. Accordingly, the above disclosure should be construed as limited only by the metes and bounds of the appended claims.

Claims (8)

1. A copper damascene process, comprising:
forming a dielectric layer overlying a substrate;
etching a damascene opening into said dielectric layer;
filling said damascene opening with copper or copper alloy;
treating a surface of said copper or copper alloy with hydrogen-containing plasma;
reacting said treated surface of said copper or copper alloy under plasma enhanced chemical vapor deposition (PECVD) conditions comprising simultaneously supplying trimethylsilane or tertramethylsilane and initiating plasma to make said trimethylsilane or tertramethylsilane react with said treated surface of said copper or copper alloy; and
in-situ depositing, by PECVD, a silicon carbide layer capping on said copper or copper alloy.
2. The copper damascene process according to claim 1 further comprising:
lining said damascene opening with a diffusion barrier layer;
forming a seed layer on said diffusion barrier layer; and
forming said copper or copper alloy on said seed layer.
3. The copper damascene process according to claim 1 wherein said damascene opening comprises a contact or via hole in communication with a trench opening.
4. The copper damascene process according to claim 1 wherein the step of reacting said treated surface of said copper or copper alloy with trimethylsilane or tertramethylsilane comprises following processing parameters: a trimethylsilane (or tertramethylsilane) gas flow in the range of 100 to 5000 sccm; a process temperature in the range of 300° C. to 450° C.; and a reaction duration in the range of 0.1 seconds to 30 seconds.
5. A copper damascene process, comprising:
forming a dielectric layer overlying a substrate;
etching a damascene opening into said dielectric layer;
filling said damascene opening with copper or copper alloy;
treating a surface of said copper or copper alloy with hydrogen-containing plasma;
reacting said treated surface of said copper or copper alloy under plasma enhanced chemical vapor deposition (PECVD) conditions comprising simultaneously supplying trimethylsilane or tertramethylsilane and initiating plasma to make said trimethylsilane or tertramethylsilane react with said treated surface of said copper or copper alloy; and
in-situ depositing, by PECVD, a silicon carbide layer capping on said copper or copper alloy, said silicon carbide layer being treated with in-situ ammonia plasma to remove contained oxygen of the deposited layer.
6. The copper damascene process according to claim 5 further comprising:
lining said damascene opening with a diffusion barrier layer;
forming a seed layer on said diffusion barrier layer; and
forming said copper or copper alloy on said seed layer.
7. The copper damascene process according to claim 5 wherein said damascene opening comprises a contact or via hole in communication with a trench opening.
8. The copper damascene process according to claim 5 wherein the step of reacting said treated surface of said copper or copper alloy with trimethylsilane or tertramethylsilane comprises following processing parameters: a trimethylsilane (or tertramethylsilane) gas flow in the range of 100 to 5000 sccm; a process temperature in the range of 300° C. to 450° C.; and a reaction duration in the range of 0.1 seconds to 30 seconds.
US11/462,045 2004-08-18 2006-08-03 Method of fabricating silicon carbide-capped copper damascene interconnect Abandoned US20060281299A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/462,045 US20060281299A1 (en) 2004-08-18 2006-08-03 Method of fabricating silicon carbide-capped copper damascene interconnect

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/711,015 US20060040490A1 (en) 2004-08-18 2004-08-18 Method of fabricating silicon carbide-capped copper damascene interconnect
US11/462,045 US20060281299A1 (en) 2004-08-18 2006-08-03 Method of fabricating silicon carbide-capped copper damascene interconnect

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/711,015 Continuation-In-Part US20060040490A1 (en) 2004-08-18 2004-08-18 Method of fabricating silicon carbide-capped copper damascene interconnect

Publications (1)

Publication Number Publication Date
US20060281299A1 true US20060281299A1 (en) 2006-12-14

Family

ID=46324869

Family Applications (1)

Application Number Title Priority Date Filing Date
US11/462,045 Abandoned US20060281299A1 (en) 2004-08-18 2006-08-03 Method of fabricating silicon carbide-capped copper damascene interconnect

Country Status (1)

Country Link
US (1) US20060281299A1 (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080233734A1 (en) * 2007-03-19 2008-09-25 Fujitsu Limited Method of manufacturing a semiconductor device
US20080280449A1 (en) * 2007-05-10 2008-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned dielectric cap
US20090117735A1 (en) * 2007-11-06 2009-05-07 Varian Semiconductor Equipment Associates, Inc. Implantation of multiple species to address copper reliability
WO2009131825A2 (en) * 2008-04-25 2009-10-29 Applied Materials, Inc. Adhesion and electromigration improvement between dielectric and conductive layers
US20100120243A1 (en) * 2007-03-06 2010-05-13 Joaquin Torres Formation of a reliable diffusion-barrier cap on a cu-containing interconnect element having grains with different crystal orientations
US20100314765A1 (en) * 2009-06-16 2010-12-16 Liang Wen-Ping Interconnection structure of semiconductor integrated circuit and method for making the same
EP2347438A1 (en) * 2008-11-12 2011-07-27 Microchip Technology Incorporated Method of nonstoichiometric cvd dielectric film surface passivation for film roughness control
US20150206798A1 (en) * 2014-01-17 2015-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect Structure And Method of Forming
US9911698B1 (en) * 2016-08-25 2018-03-06 International Business Machines Corporation Metal alloy capping layers for metallic interconnect structures
CN108054136A (en) * 2017-11-16 2018-05-18 上海华力微电子有限公司 Copper wiring technique method

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6211084B1 (en) * 1998-07-09 2001-04-03 Advanced Micro Devices, Inc. Method of forming reliable copper interconnects
US6303505B1 (en) * 1998-07-09 2001-10-16 Advanced Micro Devices, Inc. Copper interconnect with improved electromigration resistance
US6362100B1 (en) * 1999-01-26 2002-03-26 Advanced Micro Devices, Inc. Methods and apparatus for forming a copper interconnect
US6365527B1 (en) * 2000-10-06 2002-04-02 United Microelectronics Corp. Method for depositing silicon carbide in semiconductor devices
US20020090815A1 (en) * 2000-10-31 2002-07-11 Atsushi Koike Method for forming a deposited film by plasma chemical vapor deposition
US6492266B1 (en) * 1998-07-09 2002-12-10 Advanced Micro Devices, Inc. Method of forming reliable capped copper interconnects
US20030068881A1 (en) * 2001-10-09 2003-04-10 Applied Materials, Inc. Method of depositing low k barrier layers
US6559033B1 (en) * 2001-06-27 2003-05-06 Lsi Logic Corporation Processing for forming integrated circuit structure with low dielectric constant material between closely spaced apart metal lines
US20030134499A1 (en) * 2002-01-15 2003-07-17 International Business Machines Corporation Bilayer HDP CVD / PE CVD cap in advanced BEOL interconnect structures and method thereof
US6610362B1 (en) * 2000-11-20 2003-08-26 Intel Corporation Method of forming a carbon doped oxide layer on a substrate
US6818557B1 (en) * 2002-12-12 2004-11-16 Advanced Micro Devices, Inc. Method of forming SiC capped copper interconnects with reduced hillock formation and improved electromigration resistance
US20050233555A1 (en) * 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US7229911B2 (en) * 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6211084B1 (en) * 1998-07-09 2001-04-03 Advanced Micro Devices, Inc. Method of forming reliable copper interconnects
US6303505B1 (en) * 1998-07-09 2001-10-16 Advanced Micro Devices, Inc. Copper interconnect with improved electromigration resistance
US6492266B1 (en) * 1998-07-09 2002-12-10 Advanced Micro Devices, Inc. Method of forming reliable capped copper interconnects
US6362100B1 (en) * 1999-01-26 2002-03-26 Advanced Micro Devices, Inc. Methods and apparatus for forming a copper interconnect
US6365527B1 (en) * 2000-10-06 2002-04-02 United Microelectronics Corp. Method for depositing silicon carbide in semiconductor devices
US20020090815A1 (en) * 2000-10-31 2002-07-11 Atsushi Koike Method for forming a deposited film by plasma chemical vapor deposition
US6610362B1 (en) * 2000-11-20 2003-08-26 Intel Corporation Method of forming a carbon doped oxide layer on a substrate
US6559033B1 (en) * 2001-06-27 2003-05-06 Lsi Logic Corporation Processing for forming integrated circuit structure with low dielectric constant material between closely spaced apart metal lines
US20030068881A1 (en) * 2001-10-09 2003-04-10 Applied Materials, Inc. Method of depositing low k barrier layers
US20030134499A1 (en) * 2002-01-15 2003-07-17 International Business Machines Corporation Bilayer HDP CVD / PE CVD cap in advanced BEOL interconnect structures and method thereof
US6818557B1 (en) * 2002-12-12 2004-11-16 Advanced Micro Devices, Inc. Method of forming SiC capped copper interconnects with reduced hillock formation and improved electromigration resistance
US20050233555A1 (en) * 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US7229911B2 (en) * 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100120243A1 (en) * 2007-03-06 2010-05-13 Joaquin Torres Formation of a reliable diffusion-barrier cap on a cu-containing interconnect element having grains with different crystal orientations
US7989342B2 (en) * 2007-03-06 2011-08-02 Joaquin Torres Formation of a reliable diffusion-barrier cap on a Cu-containing interconnect element having grains with different crystal orientations
US8105935B2 (en) * 2007-03-19 2012-01-31 Fujitsu Semiconductor Limited Method of manufacturing a semiconductor device
US20080233734A1 (en) * 2007-03-19 2008-09-25 Fujitsu Limited Method of manufacturing a semiconductor device
US20080280449A1 (en) * 2007-05-10 2008-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned dielectric cap
US7863196B2 (en) * 2007-05-10 2011-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned dielectric cap
WO2009061714A3 (en) * 2007-11-06 2009-07-09 Varian Semiconductor Equipment Implantation of multiple species to address copper reliability
US7737013B2 (en) 2007-11-06 2010-06-15 Varian Semiconductor Equipment Associates, Inc. Implantation of multiple species to address copper reliability
WO2009061714A2 (en) * 2007-11-06 2009-05-14 Varian Semiconductor Equipment Associates, Inc. Implantation of multiple species to address copper reliability
US20090117735A1 (en) * 2007-11-06 2009-05-07 Varian Semiconductor Equipment Associates, Inc. Implantation of multiple species to address copper reliability
WO2009131825A3 (en) * 2008-04-25 2010-01-28 Applied Materials, Inc. Adhesion and electromigration improvement between dielectric and conductive layers
US20090269923A1 (en) * 2008-04-25 2009-10-29 Lee Sang M Adhesion and electromigration improvement between dielectric and conductive layers
WO2009131825A2 (en) * 2008-04-25 2009-10-29 Applied Materials, Inc. Adhesion and electromigration improvement between dielectric and conductive layers
EP2347438A1 (en) * 2008-11-12 2011-07-27 Microchip Technology Incorporated Method of nonstoichiometric cvd dielectric film surface passivation for film roughness control
US20100314765A1 (en) * 2009-06-16 2010-12-16 Liang Wen-Ping Interconnection structure of semiconductor integrated circuit and method for making the same
US20150206798A1 (en) * 2014-01-17 2015-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect Structure And Method of Forming
US9911698B1 (en) * 2016-08-25 2018-03-06 International Business Machines Corporation Metal alloy capping layers for metallic interconnect structures
US10373910B2 (en) 2016-08-25 2019-08-06 International Business Machines Corporation Metal alloy capping layers for metallic interconnect structures
CN108054136A (en) * 2017-11-16 2018-05-18 上海华力微电子有限公司 Copper wiring technique method

Similar Documents

Publication Publication Date Title
US20060281299A1 (en) Method of fabricating silicon carbide-capped copper damascene interconnect
US6492266B1 (en) Method of forming reliable capped copper interconnects
US6303505B1 (en) Copper interconnect with improved electromigration resistance
US7648899B1 (en) Interfacial layers for electromigration resistance improvement in damascene interconnects
US7239017B1 (en) Low-k B-doped SiC copper diffusion barrier films
US7910476B2 (en) Adhesion and minimizing oxidation on electroless CO alloy films for integration with low K inter-metal dielectric and etch stop
US6844258B1 (en) Selective refractory metal and nitride capping
US8384217B2 (en) Increasing reliability of copper-based metallization structures in a microstructure device by using aluminum nitride
KR101468241B1 (en) Interconnect structure and method of manufacturing a damascene structure
US7524755B2 (en) Entire encapsulation of Cu interconnects using self-aligned CuSiN film
US7858510B1 (en) Interfacial layers for electromigration resistance improvement in damascene interconnects
US8143162B2 (en) Interconnect structure having a silicide/germanide cap layer
US7491638B2 (en) Method of forming an insulating capping layer for a copper metallization layer
US8440564B2 (en) Schemes for forming barrier layers for copper in interconnect structures
US6977218B2 (en) Method for fabricating copper interconnects
US6716753B1 (en) Method for forming a self-passivated copper interconnect structure
US6211084B1 (en) Method of forming reliable copper interconnects
US20080251928A1 (en) Carbonization of metal caps
US8440562B2 (en) Germanium-containing dielectric barrier for low-K process
US20070123044A1 (en) Method of forming an insulating capping layer for a copper metallization layer by using a silane reaction
US20100090342A1 (en) Metal Line Formation Through Silicon/Germanium Soaking
US7977791B2 (en) Selective formation of boron-containing metal cap pre-layer
US6596631B1 (en) Method of forming copper interconnect capping layers with improved interface and adhesion
US6383925B1 (en) Method of improving adhesion of capping layers to cooper interconnects
US20060040490A1 (en) Method of fabricating silicon carbide-capped copper damascene interconnect

Legal Events

Date Code Title Description
AS Assignment

Owner name: UNITED MICROELECTRONICS CORP., TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHEN, JEI-MING;LIN, CHIN-HSIANG;LIU, CHIH-CHIEN;AND OTHERS;REEL/FRAME:018162/0467

Effective date: 20060822

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION