US20060279024A1 - Method for providing desirable wetting and release characteristics between a mold and a polymerizable composition - Google Patents

Method for providing desirable wetting and release characteristics between a mold and a polymerizable composition Download PDF

Info

Publication number
US20060279024A1
US20060279024A1 US11/459,797 US45979706A US2006279024A1 US 20060279024 A1 US20060279024 A1 US 20060279024A1 US 45979706 A US45979706 A US 45979706A US 2006279024 A1 US2006279024 A1 US 2006279024A1
Authority
US
United States
Prior art keywords
mold
surfactant
layer
polymerizable composition
region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/459,797
Inventor
Byung-Jin Choi
Frank Xu
Nicholas Stacey
Van Truskett
Michael Watts
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Nanotechnologies Inc
University of Texas System
Original Assignee
University of Texas System
Molecular Imprints Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=33517095&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=US20060279024(A1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by University of Texas System, Molecular Imprints Inc filed Critical University of Texas System
Priority to US11/459,797 priority Critical patent/US20060279024A1/en
Publication of US20060279024A1 publication Critical patent/US20060279024A1/en
Priority to US12/404,024 priority patent/US8152511B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures

Definitions

  • the field of invention relates generally to micro-fabrication of structures. More particularly, the present invention is directed to patterning substrates in furtherance of the formation of structures.
  • Micro-fabrication involves the fabrication of very small structures, e.g., having features on the order of micro-meters or smaller.
  • One area in which micro-fabrication has had a sizeable impact is in the processing of integrated circuits.
  • micro-fabrication becomes increasingly important.
  • Micro-fabrication provides greater process control while allowing a reduction in the minimum feature dimension of the structures formed.
  • Other areas of development in which micro-fabrication has been employed include biotechnology, optical technology, mechanical systems and the like.
  • Willson et al. disclose a method of forming a relief image in a structure.
  • the method includes providing a substrate having a transfer layer.
  • the transfer layer is covered with a polymerizable fluid composition.
  • a mold makes mechanical contact with the polymerizable fluid.
  • the mold includes a relief structure, and the polymerizable fluid composition fills the relief structure.
  • the polymerizable fluid composition is then subjected to conditions to solidify and polymerize the same, forming a solidified polymeric material on the transfer layer that contains a relief structure complimentary to that of the mold.
  • the mold is then separated from the solid polymeric material such that a replica of the relief structure in the mold is formed in the solidified polymeric material.
  • the transfer layer and the solidified polymeric material are subjected to an environment to selectively etch the transfer layer relative to the solidified polymeric material such that a relief image is formed in the transfer layer.
  • release characteristics An important characteristic with accurately forming the pattern in the polymeric material is to reduce, if not prevent, adhesion of the polymeric material, and/or, transfer layer, to the mold. These are referred to as release characteristics. In this manner, the pattern recorded in the polymeric material and/or transfer layer is not distorted during separation of the mold therefrom.
  • Willson et al. form a release layer on the surface of the mold.
  • the release layer is typically hydrophobic and/or has low surface energy.
  • the release layer adheres to the mold and to either the transfer layer or the polymeric material. Providing the transfer layer with improved release characteristics minimizes distortions in the pattern recorded into the polymeric material and/or the transfer layer that are attributable to mold separation.
  • This type of release layer is referred to, for purposes of the present discussion, as an a priori release layer, i.e., a release layer that is solidified to the mold.
  • Bender et al. employ a mold having an a priori release layer in conjunction with a fluorine-treated UV curable material.
  • a UV curable layer is applied to a substrate by spin-coating a 200 CPS UV curable fluid to form a UV curable layer.
  • the UV curable layer is enriched with fluorine groups to improve the release characteristics.
  • a priori release layers typically have a limited operational life. As a result, a single mold may be coated multiple times with an a priori release layer. This can result in several hours of down-time for a given mold, reducing throughput. Additionally, the molecular structure of the a priori release layer may limit the minimization of the minimum feature dimension that is printed.
  • the present invention provides a method to reduce adhesion between a substrate and a pattern of a mold.
  • the method features forming a conformable material on the substrate and contacting the conformable material with the surface.
  • a conditioned layer is formed from the conformable material.
  • the conditioned layer has first and second sub-portions, with the first sub-portion being solidified and the second sub-portion having a first affinity for the surface and a second affinity for the first sub-portion. The first is greater than the second affinity.
  • a subset of the second sub-portion maintains contact with the mold, thereby reducing the probability that a pattern formed in the conditioned layer becomes compromised.
  • FIG. 1 is a perspective view of a lithographic system in accordance with the present invention
  • FIG. 2 is a simplified elevation view of a lithographic system shown in FIG. 1 ;
  • FIG. 3 is a simplified representation of material from which an imprinting layer, shown in FIG. 2 , is comprised before being polymerized and cross-linked;
  • FIG. 4 is a simplified representation of cross-linked polymer material into which the material shown in FIG. 3 is transformed after being subjected to radiation;
  • FIG. 5 is a simplified elevation view of a mold spaced-apart from the imprinting layer, shown in FIG. 1 , after patterning of the imprinting layer;
  • FIG. 6 is a simplified elevation view of imprint material disposed on a substrate in accordance with the present invention.
  • FIG. 7 is a simplified elevation view of imprint material disposed on a substrate in accordance with an alternate embodiment
  • FIG. 8 is a simplified elevation view of imprint material, shown in FIG. 6 , after contact with the mold.
  • FIG. 9 is a flow diagram showing pattern priming in accordance with an alternate embodiment of the present invention.
  • FIG. 1 depicts a lithographic system 10 in accordance with one embodiment of the present invention that includes a pair of spaced-apart bridge supports 12 having a bridge 14 and a stage support 16 extending therebetween. Bridge 14 and stage support 16 are spaced-apart. Coupled to bridge 14 is an imprint head 18 , which extends from bridge 14 toward stage support 16 . Disposed upon stage support 16 to face imprint head 18 is a motion stage 20 . Motion stage 20 is configured to move with respect to stage support 16 along X and Y axes. A radiation source 22 is coupled to system 10 to impinge actinic radiation upon motion stage 20 . As shown, radiation source 22 is coupled to bridge 14 and includes a power generator 23 connected to radiation source 22 .
  • Mold 28 includes a plurality of features defined by a plurality of spaced-apart recessions 28 a and protrusions 28 b .
  • the plurality of features defines an original pattern that is to be transferred into a substrate 31 positioned on motion stage 20 .
  • Substrate 31 may comprise of a bare wafer or a wafer with one or more layers disposed thereon.
  • imprint head 18 is adapted to move along the Z axis and vary a distance “d” between mold 28 and substrate 31 . In this manner, the features on mold 28 may be imprinted into a conformable region of substrate 31 , discussed more fully below.
  • Radiation source 22 is located so that mold 28 is positioned between radiation source 22 and substrate 31 .
  • mold 28 is fabricated from material that allows it to be substantially transparent to the radiation produced by radiation source 22 .
  • a conformable region such as an imprinting layer 34 , is disposed on a portion of surface 32 that presents a substantially planar profile.
  • the conformable region may be formed using any known technique to produce conformable material on such as a hot embossing process disclosed in U.S. Pat. No. 5,772,905 to Chou, which is incorporated by reference in its entirety herein, or a laser assisted direct imprinting (LADI) process of the type described by Chou et al. in Ultrafast and Direct Imprint of Nanostructures in Silicon , Nature, Col. 417, pp. 835-837, June 2002.
  • LADI laser assisted direct imprinting
  • conformable region consists of imprinting layer 34 being deposited as a plurality of spaced-apart discrete droplets 36 of material 36 a on substrate 31 , discussed more fully below.
  • Imprinting layer 34 is formed from a material 36 a that may be selectively polymerized and cross-linked to record the original pattern therein, defining a recorded pattern.
  • Material 36 a is shown in FIG. 4 as being cross-linked at points 36 b , forming cross-linked polymer material 36 c .
  • the pattern recorded in imprinting layer 34 is produced, in part, by mechanical contact with mold 28 .
  • imprint head 18 reduces the distance “d” to allow imprinting layer 34 to come into mechanical contact with mold 28 , spreading droplets 36 so as to form imprinting layer 34 with a contiguous formation of material 36 a over surface 32 .
  • distance “d” is reduced to allow sub-portions 34 a of imprinting layer 34 to ingress into and fill recessions 28 a .
  • material 36 a is provided with the requisite properties to completely fill recessions 28 a while covering surface 32 with a contiguous formation of material 36 a .
  • sub-portions 34 b of imprinting layer 34 in superimposition with protrusions 28 b remain after the desired, usually minimum distance “d”, has been reached, leaving sub-portions 34 a with a thickness t 1 , and sub-portions 34 b with a thickness, t 2 .
  • Thicknesses “t 1 ” and “t 2 ” may be any thickness desired, dependent upon the application.
  • t 1 is selected so as to be no greater than twice the width u of sub-portions 34 a , i.e., t 1 ⁇ 2 u , shown more clearly in FIG. 5 .
  • radiation source 22 produces actinic radiation that polymerizes and cross-links material 36 a , forming polymer material 36 c in which a substantial portion thereof is cross-linked.
  • material 36 a transforms to material 36 c , which is a solid, forming imprinting layer 134 , shown in FIG. 5 .
  • material 36 c is solidified to provide side 34 c of imprinting layer 134 with a shape conforming to a shape of a surface 28 c of mold 28 , with imprinting layer 134 having recesses 30 .
  • imprint head 18 shown in FIG. 2 , is moved to increase distance “d” so that mold 28 and imprinting layer 134 are spaced-apart.
  • substrate 31 and imprinting layer 134 may be etched to transfer the pattern of imprinting layer 134 into substrate 31 , providing a patterned surface (not shown).
  • the material from which imprinting layer 134 is formed may be varied to define a relative etch rate with respect to substrate 31 , as desired.
  • imprinting layer 134 may be provided with an etch differential with respect to photo-resist material (not shown) selectively disposed thereon.
  • the photo-resist material (not shown) may be provided to further pattern imprinting layer 134 , using known techniques. Any etch process may be employed, dependent upon the etch rate desired and the underlying constituents that form substrate 31 and imprinting layer 134 . Exemplary etch processes may include plasma etching, reactive ion etching, chemical wet etching and the like.
  • an exemplary radiation source 22 may produce ultraviolet radiation; however, any known radiation source may be employed.
  • the selection of radiation employed to initiate the polymerization of the material in imprinting layer 34 is known to one skilled in the art and typically depends on the specific application which is desired.
  • the plurality of features on mold 28 are shown as recessions 28 a extending along a direction parallel to protrusions 28 b that provide a cross-section of mold 28 with a shape of a battlement.
  • recessions 28 a and protrusions 28 b may correspond to virtually any feature required to create an integrated circuit and may be as small as a few tenths of nanometers.
  • the pattern produced by the present patterning technique may be transferred into substrate 31 to provide features having aspect ratios as great as 30:1.
  • one embodiment of mold 28 has recessions 28 a defining an aspect ratio in a range of 1:1 to 10:1.
  • protrusions 28 b have a width W 1 in a range of about 10 nm to about 5000 ⁇ m
  • recessions 28 a have a width W 2 in a range of 10 nm to about 5000 ⁇ m.
  • mold 28 and/or template 26 may be formed from various conventional materials, such as, but not limited to, fused-silica, quartz, silicon, organic polymers, siloxane polymers, borosilicate glass, fluorocarbon polymers, metal, hardened sapphire and the like.
  • the characteristics of material 36 a are important to efficiently pattern substrate 31 in light of the unique deposition process employed.
  • material 36 a is deposited on substrate 31 as a plurality of discrete and spaced-apart droplets 36 .
  • the combined volume of droplets 36 is such that the material 36 a is distributed appropriately over an area of surface 32 where imprinting layer 34 is to be formed.
  • imprinting layer 34 is spread and patterned concurrently, with the pattern being subsequently set into imprinting layer 34 by exposure to radiation, such as ultraviolet radiation.
  • material 36 a have certain characteristics to facilitate rapid and even spreading of material 36 a in droplets 36 over surface 32 so that all thicknesses t 1 are substantially uniform and all thicknesses t 2 are substantially uniform.
  • the desirable characteristics include having a low viscosity, e.g., in a range of 0.5 to 5 centepoise (csp), as well as the ability to wet surface of substrate 31 and/or mold 28 and to avoid subsequent pit or hole formation after polymerization. With these characteristics satisfied, imprinting layer 34 may be made sufficiently thin while avoiding formation of pits or holes in the thinner regions, such as sub-portions portions 34 b , shown in FIG. 5 .
  • substrate 31 may be formed from a number of different materials.
  • the chemical composition of surface 32 varies dependent upon the material from which substrate 31 is formed.
  • substrate 31 may be formed from silicon, plastics, gallium arsenide, mercury telluride, and composites thereof.
  • substrate 31 may include one or more layers in sub-portion 34 b , e.g., dielectric layer, metal layer, semiconductor layer, planarization layer and the like.
  • an exemplary composition for material 36 a is as follows:
  • the composition from which material 36 a is formed may include an additive that reduces the surface tension of COMPOSITION 1.
  • material 36 a may include, as an additive, a surfactant.
  • a surfactant is defined as any molecule, one tail of which is hydrophobic.
  • Surfactants may be either fluorine containing, e.g., include a fluorine chain, or may not include any fluorine in the surfactant molecule structure.
  • This provides material 36 a with the following composition:
  • COMPOSITION 2 abrogates the need for an a priori release layer, i.e., a separate hydrophobic and/or low surface energy release layer disposed on mold 28 .
  • COMPOSITION 2 provides desirable release properties to mold 28 and imprinting layer 34 so that material 36 c , shown in FIG. 4 , does not adhere to mold 28 with sufficient force to distort the pattern recorded therein. It is believed that the ZONYL® FSO-100 becomes concentrated in a first region of material 36 a , shown in FIG. 3 . The polymerizable compound becomes concentrated in a second region of material 36 a .
  • droplets 36 would have a higher concentration of the ZONYL® FSO-100 additive in region 136 , compared with region 137 , in which the polymerizable compound is concentrated.
  • the additive would be concentrated in a region 236 , and the polymerizable compound being concentrated in region 237 , shown in FIG. 7 .
  • material 36 a upon contact with material 36 a and exposure to actinic radiation, material 36 a is transformed to material 36 c and a first interface 136 a is defined between region 136 and mold 28 .
  • a second interface 137 a is formed between regions 136 and 137 . It is believed that some portion of material 36 c associated with region 136 , if not all, has an affinity for the mold 28 that is greater than the attraction between that portion and the material 36 c associated with region 137 .
  • a sub-section, or all of sub-portions 34 a and 34 b shown in FIG. 5 , separates from region 137 , thereby minimizing damage to the pattern recorded in material 36 c due to adhesion forces between mold 28 and material 36 c .
  • interface 136 a defines a first interfacial energy step associated therewith
  • second interface 137 a defines a second interfacial energy step, with the first interfacial energy step being greater than the second interfacial energy step.
  • the first interfacial energy step is defined by the difference in surface energy of mold 28 and surface tension of material 36 c in region 136 .
  • the second interfacial surface energy is defined by the adhesion of material 36 c associated with region 136 for material 36 c associated with region 137 .
  • the interfacial surface energy step at interface 136 a is sufficiently large to overcome the interfacial energy step at interface 137 .
  • an additional advantage provided by COMPOSITION 2 is that the time required to wet mold 28 and, therefore, spread droplets 36 may be reduced.
  • the surface of mold 28 may be provided with a high surface energy, e.g., 60 to 250 milli-Newtons/meter.
  • the wettability of the surface of mold 28 for COMPOSITION 2, as defined by the contact angle method, may be in a range of 10 degrees or less. This minimizes the time required to fill the features of the pattern on mold 28 .
  • the ZONYL® FSO-100 additive provides COMPOSITION 2 with a wettability, as defined by the contact angle method, in a range of 75 to 90 degrees, thereby augmenting the wettability of mold 28 , thereby further reducing the time required to spread droplets 36 .
  • COMPOSITION 2 may be employed with an a priori release layer, such as those known in the prior art, to further improve release properties.
  • Another manner by which to improve the release properties of mold 28 includes conditioning the pattern of mold 28 by exposing the same to a conditioning mixture including an additive that will remain on mold 28 to reduce the surface energy of the mold surface.
  • An exemplary additive is a surfactant.
  • mold 28 was exposed to a mixture that included approximately 0.1% or more of ZONYL® FSO-100 with the remainder comprising isopropyl alcohol (IPA).
  • Exposure of the pattern may be achieved by virtually any manner known in the art, including dipping the pattern into a volume of the conditioning mixture, wiping the pattern with a cloth saturated with the conditioning mixture and spraying a stream of the conditioning mixture onto the surface.
  • the IPA in the conditioning mixture is then allowed to evaporate before using the mold 28 . In this manner, the IPA facilitates removing, from the pattern, undesired contaminants while leaving the additive, thereby conditioning the surface of the pattern.
  • the conditioning mixture may be employed with COMPOSITION 2 to augment improvement of the release properties provided by COMPOSITION 2.
  • the additive in the conditioning mixture may be the same or differ from the additive in COMPOSITION 2.
  • the conditioning mixture may be employed with COMPOSITION 1, or any other polymerizable material suitable for imprint lithography, as well as other imprint processes such as the hot embossing and laser assisted imprint processes.
  • Pattern priming is achieved by selectively contacting the conformable region with the pattern a sufficient number of times to accurately reproduce, in the conformable region, a pattern complementary to the initial pattern. Specifically, it was found that by repeatably contacting imprint material 36 a , shown in FIG. 3 , the complementary pattern formed improves with each successive imprint. After a sufficient number of imprints, an accurate complementary reproduction of the pattern in mold 28 is formed.
  • the pattern priming technique may be employed in combination with the aforementioned conditioning mixture and either COMPOSITION 1 or COMPOSITION 2 and, or with COMPOSITION 2, alone, i.e., COMPOSITION 2 without use of the conditioning mixture.
  • the number of imprints required to be produced before an accurate complementary reproduction of the pattern occurs is inversely proportional to the quantity of additive in COMPOSITION 2. Specifically, it is believed that by increasing the quantity of the additive in COMPOSITION 2 that the number of imprints required before an accurate complementary reproduction of the pattern occurs is reduced. This results from a transfer of the surfactant molecules onto the mold surface upon contact by the mold with COMPOSTION 2 resulting from the surfactant being attracted thereto by formation of hydrogen bonds with the mold.
  • imprint priming would include forming a conformable material on a first substrate, defining a priming substrate at step 300 .
  • mold 28 contacts the conformable region a sufficient number of times to accurately reproduce, in the conformable material on the priming substrate, the pattern on mold 28 .
  • mold 28 is placed in contact with a first sub-portion of the conformable material. Thereafter, the first sub-portion is polymerized and mold 28 is spaced-part therefrom. Mold 28 is then placed in contact with a second sub-portion of the conformable material, which is spaced-apart from the first sub-portion.
  • the conformable material associated with the second sub-portion is polymerized and the process is repeated until an accurate pattern is recorded in the conformable material that is complementary to the pattern on mold 28 .
  • a primed mold is generated.
  • the primed mold is placed in contact with conformable material on a second substrate, referred to as a process substrate.
  • the pattern may be recorded therein by polymerizing the conformable material using well known imprint lithography techniques. In this manner, the primed mold may be employed to complete the patterning of the process substrate.

Abstract

The present invention provides a method to reduce adhesion between a conformable region on a substrate and a pattern of a mold, which selectively comes into contact with the conformable region. The method features forming a conformable material on the substrate and contacting the conformable material with the surface. A conditioned layer is formed from the conformable material. The conditioned layer has first and second sub-portions, with the first sub-portion being solidified and the second sub-portion having a first affinity for the surface and a second affinity for the first sub-portion. The first affinity is greater than the second affinity. In this fashion, upon separation of the mold from the conditioned layer, a subset of the second sub-portion maintains contact with the mold, thereby reducing the probability that a pattern formed in the conditioned layer becomes compromised.

Description

    CROSS-REFERENCE TO RELATED APPLCIATIONS
  • The present application is a continuation of U.S. application Ser. No. 10/463,396 (published as U.S. Pat. No. 2004-0256764-A1), filed Jun. 17, 2003, entitled “Method to Reduce Adhesion Between a Conformable Region and a Pattern of a Mold”, listing Byung-Jin Choi, Frank Y. Xu, Nicholas A. Stacey, Van N. Truskett, and Michael P. C. Watts as inventors. This aforementioned patent application is incorporated herein by reference.
  • BACKGROUND OF THE INVENTION
  • The field of invention relates generally to micro-fabrication of structures. More particularly, the present invention is directed to patterning substrates in furtherance of the formation of structures.
  • Micro-fabrication involves the fabrication of very small structures, e.g., having features on the order of micro-meters or smaller. One area in which micro-fabrication has had a sizeable impact is in the processing of integrated circuits. As the semiconductor processing industry continues to strive for larger production yields while increasing the circuits per unit area formed on a substrate, micro-fabrication becomes increasingly important. Micro-fabrication provides greater process control while allowing a reduction in the minimum feature dimension of the structures formed. Other areas of development in which micro-fabrication has been employed include biotechnology, optical technology, mechanical systems and the like.
  • An exemplary micro-fabrication technique is shown in U.S. Pat. No. 6,334,960 to Willson et al. Willson et al. disclose a method of forming a relief image in a structure. The method includes providing a substrate having a transfer layer. The transfer layer is covered with a polymerizable fluid composition. A mold makes mechanical contact with the polymerizable fluid. The mold includes a relief structure, and the polymerizable fluid composition fills the relief structure. The polymerizable fluid composition is then subjected to conditions to solidify and polymerize the same, forming a solidified polymeric material on the transfer layer that contains a relief structure complimentary to that of the mold. The mold is then separated from the solid polymeric material such that a replica of the relief structure in the mold is formed in the solidified polymeric material. The transfer layer and the solidified polymeric material are subjected to an environment to selectively etch the transfer layer relative to the solidified polymeric material such that a relief image is formed in the transfer layer.
  • An important characteristic with accurately forming the pattern in the polymeric material is to reduce, if not prevent, adhesion of the polymeric material, and/or, transfer layer, to the mold. These are referred to as release characteristics. In this manner, the pattern recorded in the polymeric material and/or transfer layer is not distorted during separation of the mold therefrom. To improve the release characteristics, Willson et al. form a release layer on the surface of the mold. The release layer is typically hydrophobic and/or has low surface energy. The release layer adheres to the mold and to either the transfer layer or the polymeric material. Providing the transfer layer with improved release characteristics minimizes distortions in the pattern recorded into the polymeric material and/or the transfer layer that are attributable to mold separation. This type of release layer is referred to, for purposes of the present discussion, as an a priori release layer, i.e., a release layer that is solidified to the mold.
  • Another prior art attempt to improve release characteristics is described by Bender et al. in Multiple Imprinting in UV-based Nanoimprint Lithography: Related Material Issues, Microeletronic Engineering 61-62 (2002), pp. 407-413. Specifically, Bender et al. employ a mold having an a priori release layer in conjunction with a fluorine-treated UV curable material. To that end, a UV curable layer is applied to a substrate by spin-coating a 200 CPS UV curable fluid to form a UV curable layer. The UV curable layer is enriched with fluorine groups to improve the release characteristics.
  • A priori release layers, however, typically have a limited operational life. As a result, a single mold may be coated multiple times with an a priori release layer. This can result in several hours of down-time for a given mold, reducing throughput. Additionally, the molecular structure of the a priori release layer may limit the minimization of the minimum feature dimension that is printed.
  • There is a need, therefore, to improve the release characteristics of a mold employed in imprint lithography processes.
  • SUMMARY OF THE INVENTION
  • The present invention provides a method to reduce adhesion between a substrate and a pattern of a mold. The method features forming a conformable material on the substrate and contacting the conformable material with the surface. A conditioned layer is formed from the conformable material. The conditioned layer has first and second sub-portions, with the first sub-portion being solidified and the second sub-portion having a first affinity for the surface and a second affinity for the first sub-portion. The first is greater than the second affinity. In this fashion, upon separation of the mold from the conditioned layer, a subset of the second sub-portion maintains contact with the mold, thereby reducing the probability that a pattern formed in the conditioned layer becomes compromised. These and other embodiments are described herein.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a perspective view of a lithographic system in accordance with the present invention;
  • FIG. 2 is a simplified elevation view of a lithographic system shown in FIG. 1;
  • FIG. 3 is a simplified representation of material from which an imprinting layer, shown in FIG. 2, is comprised before being polymerized and cross-linked;
  • FIG. 4 is a simplified representation of cross-linked polymer material into which the material shown in FIG. 3 is transformed after being subjected to radiation;
  • FIG. 5 is a simplified elevation view of a mold spaced-apart from the imprinting layer, shown in FIG. 1, after patterning of the imprinting layer;
  • FIG. 6 is a simplified elevation view of imprint material disposed on a substrate in accordance with the present invention;
  • FIG. 7 is a simplified elevation view of imprint material disposed on a substrate in accordance with an alternate embodiment;
  • FIG. 8 is a simplified elevation view of imprint material, shown in FIG. 6, after contact with the mold; and
  • FIG. 9 is a flow diagram showing pattern priming in accordance with an alternate embodiment of the present invention.
  • DETAILED DESCRIPTION OF THE INVENTION
  • FIG. 1 depicts a lithographic system 10 in accordance with one embodiment of the present invention that includes a pair of spaced-apart bridge supports 12 having a bridge 14 and a stage support 16 extending therebetween. Bridge 14 and stage support 16 are spaced-apart. Coupled to bridge 14 is an imprint head 18, which extends from bridge 14 toward stage support 16. Disposed upon stage support 16 to face imprint head 18 is a motion stage 20. Motion stage 20 is configured to move with respect to stage support 16 along X and Y axes. A radiation source 22 is coupled to system 10 to impinge actinic radiation upon motion stage 20. As shown, radiation source 22 is coupled to bridge 14 and includes a power generator 23 connected to radiation source 22.
  • Referring to both FIGS. 1 and 2, connected to imprint head 18 is a template 26 having a mold 28 thereon. Mold 28 includes a plurality of features defined by a plurality of spaced-apart recessions 28 a and protrusions 28 b. The plurality of features defines an original pattern that is to be transferred into a substrate 31 positioned on motion stage 20. Substrate 31 may comprise of a bare wafer or a wafer with one or more layers disposed thereon. To that end, imprint head 18 is adapted to move along the Z axis and vary a distance “d” between mold 28 and substrate 31. In this manner, the features on mold 28 may be imprinted into a conformable region of substrate 31, discussed more fully below. Radiation source 22 is located so that mold 28 is positioned between radiation source 22 and substrate 31. As a result, mold 28 is fabricated from material that allows it to be substantially transparent to the radiation produced by radiation source 22.
  • Referring to both FIGS. 2 and 3, a conformable region, such as an imprinting layer 34, is disposed on a portion of surface 32 that presents a substantially planar profile. It should be understood that the conformable region may be formed using any known technique to produce conformable material on such as a hot embossing process disclosed in U.S. Pat. No. 5,772,905 to Chou, which is incorporated by reference in its entirety herein, or a laser assisted direct imprinting (LADI) process of the type described by Chou et al. in Ultrafast and Direct Imprint of Nanostructures in Silicon, Nature, Col. 417, pp. 835-837, June 2002. In the present embodiment, however, conformable region consists of imprinting layer 34 being deposited as a plurality of spaced-apart discrete droplets 36 of material 36 a on substrate 31, discussed more fully below. Imprinting layer 34 is formed from a material 36 a that may be selectively polymerized and cross-linked to record the original pattern therein, defining a recorded pattern. Material 36 a is shown in FIG. 4 as being cross-linked at points 36 b, forming cross-linked polymer material 36 c.
  • Referring to FIGS. 2, 3 and 5, the pattern recorded in imprinting layer 34 is produced, in part, by mechanical contact with mold 28. To that end, imprint head 18 reduces the distance “d” to allow imprinting layer 34 to come into mechanical contact with mold 28, spreading droplets 36 so as to form imprinting layer 34 with a contiguous formation of material 36 a over surface 32. In one embodiment, distance “d” is reduced to allow sub-portions 34 a of imprinting layer 34 to ingress into and fill recessions 28 a.
  • To facilitate filling of recessions 28 a, material 36 a is provided with the requisite properties to completely fill recessions 28 a while covering surface 32 with a contiguous formation of material 36 a. In the present embodiment, sub-portions 34 b of imprinting layer 34 in superimposition with protrusions 28 b remain after the desired, usually minimum distance “d”, has been reached, leaving sub-portions 34 a with a thickness t1, and sub-portions 34 b with a thickness, t2. Thicknesses “t1” and “t2” may be any thickness desired, dependent upon the application. Typically, t1 is selected so as to be no greater than twice the width u of sub-portions 34 a, i.e., t1 2 u, shown more clearly in FIG. 5.
  • Referring to FIGS. 2, 3 and 4, after a desired distance “d” has been reached, radiation source 22 produces actinic radiation that polymerizes and cross-links material 36 a, forming polymer material 36 c in which a substantial portion thereof is cross-linked. As a result, material 36 a transforms to material 36 c, which is a solid, forming imprinting layer 134, shown in FIG. 5. Specifically, material 36 c is solidified to provide side 34 c of imprinting layer 134 with a shape conforming to a shape of a surface 28 c of mold 28, with imprinting layer 134 having recesses 30. After imprinting layer 134 is transformed to consist of material 36 c, shown in FIG. 4, imprint head 18, shown in FIG. 2, is moved to increase distance “d” so that mold 28 and imprinting layer 134 are spaced-apart.
  • Referring to FIG. 5, additional processing may be employed to complete the patterning of substrate 31. For example, substrate 31 and imprinting layer 134 may be etched to transfer the pattern of imprinting layer 134 into substrate 31, providing a patterned surface (not shown). To facilitate etching, the material from which imprinting layer 134 is formed may be varied to define a relative etch rate with respect to substrate 31, as desired.
  • To that end, imprinting layer 134 may be provided with an etch differential with respect to photo-resist material (not shown) selectively disposed thereon. The photo-resist material (not shown) may be provided to further pattern imprinting layer 134, using known techniques. Any etch process may be employed, dependent upon the etch rate desired and the underlying constituents that form substrate 31 and imprinting layer 134. Exemplary etch processes may include plasma etching, reactive ion etching, chemical wet etching and the like.
  • Referring to both FIGS. 1 and 2, an exemplary radiation source 22 may produce ultraviolet radiation; however, any known radiation source may be employed. The selection of radiation employed to initiate the polymerization of the material in imprinting layer 34 is known to one skilled in the art and typically depends on the specific application which is desired. Furthermore, the plurality of features on mold 28 are shown as recessions 28 a extending along a direction parallel to protrusions 28 b that provide a cross-section of mold 28 with a shape of a battlement. However, recessions 28 a and protrusions 28 b may correspond to virtually any feature required to create an integrated circuit and may be as small as a few tenths of nanometers.
  • Referring to FIGS. 1, 2 and 5, the pattern produced by the present patterning technique may be transferred into substrate 31 to provide features having aspect ratios as great as 30:1. To that end, one embodiment of mold 28 has recessions 28 a defining an aspect ratio in a range of 1:1 to 10:1. Specifically, protrusions 28 b have a width W1 in a range of about 10 nm to about 5000 μm, and recessions 28 a have a width W2 in a range of 10 nm to about 5000 μm. As a result, mold 28 and/or template 26, may be formed from various conventional materials, such as, but not limited to, fused-silica, quartz, silicon, organic polymers, siloxane polymers, borosilicate glass, fluorocarbon polymers, metal, hardened sapphire and the like.
  • Referring to FIGS. 1, 2 and 3, the characteristics of material 36 a are important to efficiently pattern substrate 31 in light of the unique deposition process employed. As mentioned above, material 36 a is deposited on substrate 31 as a plurality of discrete and spaced-apart droplets 36. The combined volume of droplets 36 is such that the material 36 a is distributed appropriately over an area of surface 32 where imprinting layer 34 is to be formed. As a result, imprinting layer 34 is spread and patterned concurrently, with the pattern being subsequently set into imprinting layer 34 by exposure to radiation, such as ultraviolet radiation. As a result of the deposition process, it is desired that material 36 a have certain characteristics to facilitate rapid and even spreading of material 36 a in droplets 36 over surface 32 so that all thicknesses t1 are substantially uniform and all thicknesses t2 are substantially uniform. The desirable characteristics include having a low viscosity, e.g., in a range of 0.5 to 5 centepoise (csp), as well as the ability to wet surface of substrate 31 and/or mold 28 and to avoid subsequent pit or hole formation after polymerization. With these characteristics satisfied, imprinting layer 34 may be made sufficiently thin while avoiding formation of pits or holes in the thinner regions, such as sub-portions portions 34 b, shown in FIG. 5.
  • The constituent components that form material 36 a to provide the aforementioned characteristics may differ. This results from substrate 31 being formed from a number of different materials. As a result, the chemical composition of surface 32 varies dependent upon the material from which substrate 31 is formed. For example, substrate 31 may be formed from silicon, plastics, gallium arsenide, mercury telluride, and composites thereof. Additionally, substrate 31 may include one or more layers in sub-portion 34 b, e.g., dielectric layer, metal layer, semiconductor layer, planarization layer and the like.
  • Referring to FIGS. 1, 2 and 3, an exemplary composition for material 36 a is as follows:
  • Composition 1
    • isobornyl acrylate
    • n-hexyl acrylate
    • ethylene glycol diacrylate
    • 2-hydroxy-2-methyl-1-phenyl-propan-1-one
      In an exemplary composition, isobornyl acrylate comprises approximately 55% of the composition, n-hexyl acrylate comprised approximately 27%, ethylene glycol diacrylate comprises approximately 15% and the initiator 2-hydroxy-2-methyl-1-phenyl-propan-1-one comprised approximately 3%. The initiator is sold under the trade name DAROCUR® 1173 by CIBA® of Tarrytown, N.Y. The aboveindentified composition also includes stabilizers that are well known in the chemical art to increase the operational life of the composition. To provide suitable release properties, COMPOSITION 1 is typically employed with a template treated to have a mold surface that is hydrophobic and/or low surface energy, i.e. an a priori release layer.
  • To improve the release properties of mold 28 and imprinting layer 34 and to ensure that imprinting layer 34 does not adhere to mold 28, the composition from which material 36 a is formed may include an additive that reduces the surface tension of COMPOSITION 1. To that end, material 36 a may include, as an additive, a surfactant. For purposes of this invention a surfactant is defined as any molecule, one tail of which is hydrophobic. Surfactants may be either fluorine containing, e.g., include a fluorine chain, or may not include any fluorine in the surfactant molecule structure. An exemplary surfactant is a non-ionic surfactant available under the trade name ZONYL® FSO-100 from DUPONT™ that has a general structure of R1R2 where R1 =F(CF2CF2)Y, with y being in a range of 1 to 7, inclusive and R2=CH2CH2O(CH2CH2O)xH, where X is in a range of 0 to 15, inclusive. This provides material 36 a with the following composition:
  • Composition 2
    • isobornyl acrylate
    • n-hexyl acrylate
    • ethylene glycol diacrylate
    • 2-hydroxy-2-methyl-1-phenyl-propan-1-one
    • R CH2CH2O(CH2CH2O)xH,
      The ZONYL® FSO-100 additive comprises less than 1% of the composition, with the relative amounts of the remaining components being as discussed above with respect to COMPOSITION 1. However, the percentage of ZONYL® FSO-100 may be greater than 1%.
  • An advantage provided by COMPOSITION 2 is that it abrogates the need for an a priori release layer, i.e., a separate hydrophobic and/or low surface energy release layer disposed on mold 28. Specifically, COMPOSITION 2 provides desirable release properties to mold 28 and imprinting layer 34 so that material 36 c, shown in FIG. 4, does not adhere to mold 28 with sufficient force to distort the pattern recorded therein. It is believed that the ZONYL® FSO-100 becomes concentrated in a first region of material 36 a, shown in FIG. 3. The polymerizable compound becomes concentrated in a second region of material 36 a.
  • Referring to FIG. 6 droplets 36 would have a higher concentration of the ZONYL® FSO-100 additive in region 136, compared with region 137, in which the polymerizable compound is concentrated. Were spin-on techniques employed, the additive would be concentrated in a region 236, and the polymerizable compound being concentrated in region 237, shown in FIG. 7.
  • Referring to FIGS. 3, 4 and 8, regardless of the deposition process involved, upon contact with material 36 a and exposure to actinic radiation, material 36 a is transformed to material 36 c and a first interface 136 a is defined between region 136 and mold 28. A second interface 137 a is formed between regions 136 and 137. It is believed that some portion of material 36 c associated with region 136, if not all, has an affinity for the mold 28 that is greater than the attraction between that portion and the material 36 c associated with region 137. As a result, upon separation of mold 28 from material 36 c, a sub-section, or all of sub-portions 34 a and 34 b, shown in FIG. 5, separates from region 137, thereby minimizing damage to the pattern recorded in material 36 c due to adhesion forces between mold 28 and material 36 c.
  • Specifically, interface 136 a defines a first interfacial energy step associated therewith, and second interface 137 a defines a second interfacial energy step, with the first interfacial energy step being greater than the second interfacial energy step. The first interfacial energy step is defined by the difference in surface energy of mold 28 and surface tension of material 36 c in region 136. The second interfacial surface energy is defined by the adhesion of material 36 c associated with region 136 for material 36 c associated with region 137. In the present example, COMPOSITION 2 provides region 136 with a surface tension in a range of 20-35 milli-Newtons/meter, with one milli-Joule/cm2 =1 milli-Newton/meter. As a result, the interfacial surface energy step at interface 136 a is sufficiently large to overcome the interfacial energy step at interface 137.
  • Referring to FIG. 2, an additional advantage provided by COMPOSITION 2 is that the time required to wet mold 28 and, therefore, spread droplets 36 may be reduced. Specifically, by abrogating the need to have an a priori release layer on mold 28, the surface of mold 28 may be provided with a high surface energy, e.g., 60 to 250 milli-Newtons/meter. The wettability of the surface of mold 28 for COMPOSITION 2, as defined by the contact angle method, may be in a range of 10 degrees or less. This minimizes the time required to fill the features of the pattern on mold 28. Further, the ZONYL® FSO-100 additive provides COMPOSITION 2 with a wettability, as defined by the contact angle method, in a range of 75 to 90 degrees, thereby augmenting the wettability of mold 28, thereby further reducing the time required to spread droplets 36. Of course, COMPOSITION 2 may be employed with an a priori release layer, such as those known in the prior art, to further improve release properties.
  • Another manner by which to improve the release properties of mold 28 includes conditioning the pattern of mold 28 by exposing the same to a conditioning mixture including an additive that will remain on mold 28 to reduce the surface energy of the mold surface. An exemplary additive is a surfactant.
  • In a specific example, mold 28 was exposed to a mixture that included approximately 0.1% or more of ZONYL® FSO-100 with the remainder comprising isopropyl alcohol (IPA). Exposure of the pattern may be achieved by virtually any manner known in the art, including dipping the pattern into a volume of the conditioning mixture, wiping the pattern with a cloth saturated with the conditioning mixture and spraying a stream of the conditioning mixture onto the surface. The IPA in the conditioning mixture is then allowed to evaporate before using the mold 28. In this manner, the IPA facilitates removing, from the pattern, undesired contaminants while leaving the additive, thereby conditioning the surface of the pattern. The conditioning mixture may be employed with COMPOSITION 2 to augment improvement of the release properties provided by COMPOSITION 2. The additive in the conditioning mixture may be the same or differ from the additive in COMPOSITION 2. Alternatively, the conditioning mixture may be employed with COMPOSITION 1, or any other polymerizable material suitable for imprint lithography, as well as other imprint processes such as the hot embossing and laser assisted imprint processes.
  • Another technique for conditioning the pattern of mold 28 employs pattern priming. Pattern priming is achieved by selectively contacting the conformable region with the pattern a sufficient number of times to accurately reproduce, in the conformable region, a pattern complementary to the initial pattern. Specifically, it was found that by repeatably contacting imprint material 36 a, shown in FIG. 3, the complementary pattern formed improves with each successive imprint. After a sufficient number of imprints, an accurate complementary reproduction of the pattern in mold 28 is formed. The pattern priming technique may be employed in combination with the aforementioned conditioning mixture and either COMPOSITION 1 or COMPOSITION 2 and, or with COMPOSITION 2, alone, i.e., COMPOSITION 2 without use of the conditioning mixture. It is believed that the number of imprints required to be produced before an accurate complementary reproduction of the pattern occurs is inversely proportional to the quantity of additive in COMPOSITION 2. Specifically, it is believed that by increasing the quantity of the additive in COMPOSITION 2 that the number of imprints required before an accurate complementary reproduction of the pattern occurs is reduced. This results from a transfer of the surfactant molecules onto the mold surface upon contact by the mold with COMPOSTION 2 resulting from the surfactant being attracted thereto by formation of hydrogen bonds with the mold.
  • Referring to FIGS. 2 and 9, in operation, imprint priming would include forming a conformable material on a first substrate, defining a priming substrate at step 300. At step 302, mold 28 contacts the conformable region a sufficient number of times to accurately reproduce, in the conformable material on the priming substrate, the pattern on mold 28. In one example, mold 28 is placed in contact with a first sub-portion of the conformable material. Thereafter, the first sub-portion is polymerized and mold 28 is spaced-part therefrom. Mold 28 is then placed in contact with a second sub-portion of the conformable material, which is spaced-apart from the first sub-portion. The conformable material associated with the second sub-portion is polymerized and the process is repeated until an accurate pattern is recorded in the conformable material that is complementary to the pattern on mold 28. In this manner a primed mold is generated. At step 304 the primed mold is placed in contact with conformable material on a second substrate, referred to as a process substrate. Thereafter, the pattern may be recorded therein by polymerizing the conformable material using well known imprint lithography techniques. In this manner, the primed mold may be employed to complete the patterning of the process substrate.
  • The embodiments of the present invention described above are exemplary. Many changes and modifications may be made to the disclosure recited above, while remaining within the scope of the invention. The scope of the invention should, therefore, be determined not with reference to the above description, but instead should be determined with reference to the appended claims along with their full scope of equivalents.

Claims (8)

1-10. (canceled)
11. A method for providing desirable wetting and release characteristics between a mold, having a surface, and a polymerizable composition, said method comprising:
coating said surface with a volume of surfactant containing solution, with said surfactant including a hydrophobic component consisting essentially of a plurality of atoms, with a distribution of said fluorine atoms throughout said volume providing a desired contact angle with respect to said polymerizable composition.
12. The method as recited in claim 11 wherein coating further includes arranging said volume of said surfactant-containing-solution to have a surfactant-rich region and a surfactant-depleted region, disposed between said surfactant-rich-region and said surface.
13. The method as recited in claim 11 wherein coating further includes depositing a quantity of said surfactant-containing-solution on said surface and contacting said polymerizable composition with said quantity to generate said volume.
14. The method as recited in claim 11 wherein coating further includes depositing a quantity of said surfactant-containing-solution on said surface and contacting said polymerizable composition with said quantity to generate said volume to have a surfactant-rich region and a surfactant-depleted region, disposed between said surfactant-rich-region and said surface.
15. The method as recited in claim 11 wherein said plurality of fluorine atoms are included in fluorine-containing molecules having CF3 and CF2 groups.
16. A method for providing desirable wetting and release characteristics between a mold, having a surface, and a polymerizable composition, said method comprising:
disposing a layer of a surfactant-containing solution on said surface;
contacting said polymerizable composition with said layer, defining an interface, with said layer and said polymerizable composition each including a surfactant component, with an aggregate quantity of said surfactant component at said interface being sufficient to generate a lamella layer.
17. The method as recited in claim 16 wherein said surfactant component further includes fluorine-containing molecules selected from a set of fluorine-containing molecules consisting essentially of CF3 and CF2 groups.
US11/459,797 2003-06-17 2006-07-25 Method for providing desirable wetting and release characteristics between a mold and a polymerizable composition Abandoned US20060279024A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US11/459,797 US20060279024A1 (en) 2003-06-17 2006-07-25 Method for providing desirable wetting and release characteristics between a mold and a polymerizable composition
US12/404,024 US8152511B2 (en) 2003-06-17 2009-03-13 Composition to reduce adhesion between a conformable region and a mold

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/463,396 US7157036B2 (en) 2003-06-17 2003-06-17 Method to reduce adhesion between a conformable region and a pattern of a mold
US11/459,797 US20060279024A1 (en) 2003-06-17 2006-07-25 Method for providing desirable wetting and release characteristics between a mold and a polymerizable composition

Related Parent Applications (2)

Application Number Title Priority Date Filing Date
US10/463,396 Continuation US7157036B2 (en) 2003-06-17 2003-06-17 Method to reduce adhesion between a conformable region and a pattern of a mold
US1237504A Continuation-In-Part 2003-06-17 2004-12-15

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/837,757 Continuation-In-Part US20070272825A1 (en) 2003-06-17 2007-08-13 Composition to Reduce Adhesion Between a Conformable Region and a Mold

Publications (1)

Publication Number Publication Date
US20060279024A1 true US20060279024A1 (en) 2006-12-14

Family

ID=33517095

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/463,396 Expired - Lifetime US7157036B2 (en) 2003-06-17 2003-06-17 Method to reduce adhesion between a conformable region and a pattern of a mold
US11/459,797 Abandoned US20060279024A1 (en) 2003-06-17 2006-07-25 Method for providing desirable wetting and release characteristics between a mold and a polymerizable composition

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/463,396 Expired - Lifetime US7157036B2 (en) 2003-06-17 2003-06-17 Method to reduce adhesion between a conformable region and a pattern of a mold

Country Status (8)

Country Link
US (2) US7157036B2 (en)
EP (1) EP1633545B1 (en)
JP (1) JP4791357B2 (en)
KR (1) KR101202653B1 (en)
CN (1) CN100572032C (en)
MY (1) MY136832A (en)
TW (1) TWI358608B (en)
WO (1) WO2005000552A2 (en)

Cited By (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070212494A1 (en) * 2005-07-22 2007-09-13 Molecular Imprints, Inc. Method for Imprint Lithography Utilizing an Adhesion Primer Layer
US20070272825A1 (en) * 2004-01-23 2007-11-29 Molecular Imprints, Inc. Composition to Reduce Adhesion Between a Conformable Region and a Mold
US20080000871A1 (en) * 2005-08-29 2008-01-03 Kahp-Yang Suh Method for forming nanostructure having high aspect ratio and method for forming nanopattern using the same
US20080230959A1 (en) * 2002-12-12 2008-09-25 Board Of Regents, University Of Texas System Compositions for Dark-Field Polymerization and Method of Using the Same for Imprint Lithography Processes
US20090136654A1 (en) * 2005-10-05 2009-05-28 Molecular Imprints, Inc. Contact Angle Attenuations on Multiple Surfaces
US20090155583A1 (en) * 2005-07-22 2009-06-18 Molecular Imprints, Inc. Ultra-thin Polymeric Adhesion Layer
US20090197057A1 (en) * 2008-02-05 2009-08-06 Molecular Imprints, Inc. Controlling Template Surface Composition in Nano-Imprint Lithography
US20100109195A1 (en) * 2008-11-05 2010-05-06 Molecular Imprints, Inc. Release agent partition control in imprint lithography
US20100112236A1 (en) * 2008-10-30 2010-05-06 Molecular Imprints, Inc. Facilitating Adhesion Between Substrate and Patterned Layer
US7759407B2 (en) 2005-07-22 2010-07-20 Molecular Imprints, Inc. Composition for adhering materials together
US7811505B2 (en) 2004-12-07 2010-10-12 Molecular Imprints, Inc. Method for fast filling of templates for imprint lithography using on template dispense
US7837921B2 (en) 2004-01-23 2010-11-23 Molecular Imprints, Inc. Method of providing desirable wetting and release characteristics between a mold and a polymerizable composition
US20110165412A1 (en) * 2009-11-24 2011-07-07 Molecular Imprints, Inc. Adhesion layers in nanoimprint lithograhy
US20110215503A1 (en) * 2004-11-24 2011-09-08 Molecular Imprints, Inc. Reducing Adhesion between a Conformable Region and a Mold
US8557351B2 (en) 2005-07-22 2013-10-15 Molecular Imprints, Inc. Method for adhering materials together
US8647554B2 (en) 2004-06-15 2014-02-11 Molecular Imprints, Inc. Residual layer thickness measurement and correction
US20170285462A1 (en) * 2016-03-31 2017-10-05 Canon Kabushiki Kaisha Pattern forming method as well as production methods for processed substrate, optical component, circuit board, electronic component and imprint mold
US10208183B2 (en) 2013-08-30 2019-02-19 Canon Kabushiki Kaisha Curable composition, film, and method of producing film
US10578965B2 (en) 2016-03-31 2020-03-03 Canon Kabushiki Kaisha Pattern forming method
US10754244B2 (en) 2016-03-31 2020-08-25 Canon Kabushiki Kaisha Pattern forming method as well as production methods for processed substrate, optical component, circuit board, electronic component and imprint mold
US10754245B2 (en) 2016-03-31 2020-08-25 Canon Kabushiki Kaisha Pattern forming method as well as production methods for processed substrate, optical component, circuit board, electronic component and imprint mold
US10829644B2 (en) 2016-03-31 2020-11-10 Canon Kabushiki Kaisha Pattern forming method as well as production methods for processed substrate, optical component, circuit board, electronic component and imprint mold
US10845700B2 (en) 2016-03-31 2020-11-24 Canon Kabushiki Kaisha Pattern forming method as well as production methods for processed substrate, optical component, circuit board, electronic component and imprint mold
US10883006B2 (en) 2016-03-31 2021-01-05 Canon Kabushiki Kaisha Pattern forming method as well as production methods for processed substrate, optical component, circuit board, electronic component and imprint mold
US10935884B2 (en) 2017-03-08 2021-03-02 Canon Kabushiki Kaisha Pattern forming method and methods for manufacturing processed substrate, optical component and quartz mold replica as well as coating material for imprint pretreatment and set thereof with imprint resist
US11281097B2 (en) 2017-03-08 2022-03-22 Canon Kabushiki Kaisha Method for forming pattern by using photo-nanoimprint technology, imprint apparatus, and curable composition
US11327397B2 (en) 2017-03-08 2022-05-10 Canon Kabushiki Kaisha Pattern forming method, coating material for imprint pretreatment and substrate pretreatment method

Families Citing this family (157)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2270592B1 (en) * 2000-07-17 2015-09-02 Board of Regents, The University of Texas System Method of forming a pattern on a substrate
US20060005657A1 (en) * 2004-06-01 2006-01-12 Molecular Imprints, Inc. Method and system to control movement of a body for nano-scale manufacturing
US8294025B2 (en) 2002-06-08 2012-10-23 Solarity, Llc Lateral collection photovoltaics
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US20040112862A1 (en) * 2002-12-12 2004-06-17 Molecular Imprints, Inc. Planarization composition and method of patterning a substrate using the same
US8211214B2 (en) * 2003-10-02 2012-07-03 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US7122482B2 (en) * 2003-10-27 2006-10-17 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography
US7906180B2 (en) * 2004-02-27 2011-03-15 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
JP4393244B2 (en) * 2004-03-29 2010-01-06 キヤノン株式会社 Imprint device
WO2005120834A2 (en) * 2004-06-03 2005-12-22 Molecular Imprints, Inc. Fluid dispensing and drop-on-demand dispensing for nano-scale manufacturing
US7939131B2 (en) 2004-08-16 2011-05-10 Molecular Imprints, Inc. Method to provide a layer with uniform etch characteristics
JP4904742B2 (en) * 2004-09-16 2012-03-28 旭硝子株式会社 Pattern forming method and article having pattern
US20060062922A1 (en) * 2004-09-23 2006-03-23 Molecular Imprints, Inc. Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
US20060081557A1 (en) 2004-10-18 2006-04-20 Molecular Imprints, Inc. Low-k dielectric functional imprinting materials
US7670530B2 (en) 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
CN104317161A (en) * 2005-12-08 2015-01-28 分子制模股份有限公司 Method and system for double-sided patterning of substrates
US20070138699A1 (en) * 2005-12-21 2007-06-21 Asml Netherlands B.V. Imprint lithography
US8944804B2 (en) * 2006-01-04 2015-02-03 Liquidia Technologies, Inc. Nanostructured surfaces for biomedical/biomaterial applications and processes thereof
JP4810319B2 (en) * 2006-06-09 2011-11-09 キヤノン株式会社 Processing apparatus and device manufacturing method
US7613538B2 (en) * 2006-07-24 2009-11-03 Hewlett-Packard Development Company, L.P. Compensation for distortion in contact lithography
US20080110557A1 (en) * 2006-11-15 2008-05-15 Molecular Imprints, Inc. Methods and Compositions for Providing Preferential Adhesion and Release of Adjacent Surfaces
WO2008157640A2 (en) 2007-06-18 2008-12-24 Illumina, Inc. Microfabrication methods for the optimal patterning of substrates
US8142702B2 (en) * 2007-06-18 2012-03-27 Molecular Imprints, Inc. Solvent-assisted layer formation for imprint lithography
US7854877B2 (en) * 2007-08-14 2010-12-21 Asml Netherlands B.V. Lithography meandering order
JP5727788B2 (en) * 2007-11-21 2015-06-03 モレキュラー・インプリンツ・インコーポレーテッド Porous templates and imprint stacks for nanoimprint lithography
US20090148619A1 (en) * 2007-12-05 2009-06-11 Molecular Imprints, Inc. Controlling Thickness of Residual Layer
US20100072671A1 (en) * 2008-09-25 2010-03-25 Molecular Imprints, Inc. Nano-imprint lithography template fabrication and treatment
US8470188B2 (en) * 2008-10-02 2013-06-25 Molecular Imprints, Inc. Nano-imprint lithography templates
US20100090341A1 (en) * 2008-10-14 2010-04-15 Molecular Imprints, Inc. Nano-patterned active layers formed by nano-imprint lithography
US8415010B2 (en) * 2008-10-20 2013-04-09 Molecular Imprints, Inc. Nano-imprint lithography stack with enhanced adhesion between silicon-containing and non-silicon containing layers
US8075299B2 (en) * 2008-10-21 2011-12-13 Molecular Imprints, Inc. Reduction of stress during template separation
US20100095862A1 (en) * 2008-10-22 2010-04-22 Molecular Imprints, Inc. Double Sidewall Angle Nano-Imprint Template
US20100104852A1 (en) * 2008-10-23 2010-04-29 Molecular Imprints, Inc. Fabrication of High-Throughput Nano-Imprint Lithography Templates
US8652393B2 (en) * 2008-10-24 2014-02-18 Molecular Imprints, Inc. Strain and kinetics control during separation phase of imprint process
US8309008B2 (en) * 2008-10-30 2012-11-13 Molecular Imprints, Inc. Separation in an imprint lithography process
US20100112310A1 (en) * 2008-10-30 2010-05-06 Molecular Imprints, Inc. Substrate Patterning
US20100112220A1 (en) * 2008-11-03 2010-05-06 Molecular Imprints, Inc. Dispense system set-up and characterization
US20100109205A1 (en) * 2008-11-04 2010-05-06 Molecular Imprints, Inc. Photocatalytic reactions in nano-imprint lithography processes
JP2010171338A (en) * 2009-01-26 2010-08-05 Toshiba Corp Pattern generation method, and pattern formation method
KR20120001768A (en) * 2009-03-23 2012-01-04 인테벡, 인코포레이티드 A process for optimization of island to trench ratio in patterned media
US9164375B2 (en) 2009-06-19 2015-10-20 Canon Nanotechnologies, Inc. Dual zone template chuck
US8913230B2 (en) 2009-07-02 2014-12-16 Canon Nanotechnologies, Inc. Chucking system with recessed support feature
US20110031650A1 (en) * 2009-08-04 2011-02-10 Molecular Imprints, Inc. Adjacent Field Alignment
US20110030770A1 (en) 2009-08-04 2011-02-10 Molecular Imprints, Inc. Nanostructured organic solar cells
NL2004945A (en) * 2009-08-14 2011-02-15 Asml Netherlands Bv Imprint lithography apparatus and method.
EP2470956B1 (en) 2009-08-26 2018-02-14 Molecular Imprints, Inc. Functional nanoparticles
US20110048518A1 (en) * 2009-08-26 2011-03-03 Molecular Imprints, Inc. Nanostructured thin film inorganic solar cells
US8802747B2 (en) * 2009-08-26 2014-08-12 Molecular Imprints, Inc. Nanoimprint lithography processes for forming nanoparticles
US20110084417A1 (en) * 2009-10-08 2011-04-14 Molecular Imprints, Inc. Large area linear array nanoimprinting
US20110140304A1 (en) 2009-12-10 2011-06-16 Molecular Imprints, Inc. Imprint lithography template
US8616873B2 (en) * 2010-01-26 2013-12-31 Molecular Imprints, Inc. Micro-conformal templates for nanoimprint lithography
US8980751B2 (en) * 2010-01-27 2015-03-17 Canon Nanotechnologies, Inc. Methods and systems of material removal and pattern transfer
US8691134B2 (en) 2010-01-28 2014-04-08 Molecular Imprints, Inc. Roll-to-roll imprint lithography and purging system
US20110180127A1 (en) 2010-01-28 2011-07-28 Molecular Imprints, Inc. Solar cell fabrication by nanoimprint lithography
US20110189329A1 (en) * 2010-01-29 2011-08-04 Molecular Imprints, Inc. Ultra-Compliant Nanoimprint Lithography Template
JP5769734B2 (en) 2010-02-05 2015-08-26 モレキュラー・インプリンツ・インコーポレーテッド Template with high contrast alignment mark
WO2011100050A2 (en) 2010-02-09 2011-08-18 Molecular Imprints, Inc. Process gas confinement for nano-imprinting
TWI576229B (en) 2010-04-27 2017-04-01 分子壓模公司 Safe separation for nano imprinting
US9070803B2 (en) 2010-05-11 2015-06-30 Molecular Imprints, Inc. Nanostructured solar cell
US8891080B2 (en) 2010-07-08 2014-11-18 Canon Nanotechnologies, Inc. Contaminate detection and substrate cleaning
US8541053B2 (en) 2010-07-08 2013-09-24 Molecular Imprints, Inc. Enhanced densification of silicon oxide layers
JP5618663B2 (en) * 2010-07-15 2014-11-05 株式会社東芝 Imprint template and pattern forming method
TWI538011B (en) 2010-09-24 2016-06-11 分子壓模公司 High contrast alignment marks through multiple stage imprinting
EP2635522A4 (en) 2010-11-05 2014-06-11 Molecular Imprints Inc Nanoimprint lithography formation of functional nanoparticles using dual release layers
US8828297B2 (en) 2010-11-05 2014-09-09 Molecular Imprints, Inc. Patterning of non-convex shaped nanostructures
US8926888B2 (en) 2011-02-25 2015-01-06 Board Of Regents, The University Of Texas System Fluorinated silazane release agents in nanoimprint lithography
KR101970147B1 (en) 2011-04-25 2019-04-22 캐논 나노테크놀로지즈 인코퍼레이티드 Optically absorptive material for alignment marks
JP5829177B2 (en) 2011-07-12 2015-12-09 富士フイルム株式会社 Curable composition for imprint, pattern forming method and pattern
JP5611912B2 (en) * 2011-09-01 2014-10-22 株式会社東芝 Imprint resist material, pattern forming method, and imprint apparatus
WO2013048577A1 (en) * 2011-09-26 2013-04-04 Solarity, Inc. Substrate and superstrate design and process for nano-imprinting lithography of light and carrier collection management devices
TWI570771B (en) 2011-12-19 2017-02-11 分子壓模公司 Fabrication of seamless large area master templates for imprint lithography using step and repeat tools
US9616614B2 (en) 2012-02-22 2017-04-11 Canon Nanotechnologies, Inc. Large area imprint lithography
JP5932501B2 (en) 2012-06-06 2016-06-08 キヤノン株式会社 Curable composition and pattern forming method using the same
JP5857014B2 (en) 2012-09-27 2016-02-10 富士フイルム株式会社 Curable composition for photoimprint, pattern forming method and pattern
KR102170524B1 (en) 2013-03-15 2020-10-27 캐논 나노테크놀로지즈 인코퍼레이티드 Nano imprinting with reusable polymer template with metallic or oxide coating
JP2015009171A (en) 2013-06-27 2015-01-19 富士フイルム株式会社 Ink jet discharge method, pattern formation method, and pattern
TWI637234B (en) 2013-07-12 2018-10-01 美商佳能奈米科技股份有限公司 Drop pattern generation for imprint lithography with directionally-patterned templates
JP6029558B2 (en) 2013-09-30 2016-11-24 富士フイルム株式会社 Curable composition for optical imprint, pattern formation method, fine pattern, and method for manufacturing semiconductor device
WO2015070054A1 (en) 2013-11-08 2015-05-14 Canon Nanotechnologies, Inc. Low contact imprint lithography template chuck system for improved overlay correction
US9513543B2 (en) 2013-11-20 2016-12-06 Eastman Kodak Company Method for forming a non-deformable patterned template
US9193198B2 (en) 2013-11-20 2015-11-24 Eastman Kodak Company PDMS imprinting stamp with embedded flexure
CN105793777B (en) 2013-12-10 2020-02-18 佳能纳米技术公司 Imprint lithography template and method for zero gap imprinting
CN105829134A (en) 2013-12-18 2016-08-03 普利司通美国轮胎运营有限责任公司 Tires and other objects having an aerodynamic/hydrodynamic surface treatment
WO2015103232A1 (en) 2013-12-30 2015-07-09 Canon Nanotechnologies, Inc. Methods for uniform imprint pattern transfer of sub-20 nm features
WO2015103370A1 (en) 2013-12-31 2015-07-09 Canon Nanotechnologies, Inc. Asymmetric template shape modulation for partial field imprinting
JP6472189B2 (en) 2014-08-14 2019-02-20 キヤノン株式会社 Imprint apparatus, imprint method, and article manufacturing method
WO2016048053A1 (en) * 2014-09-26 2016-03-31 한국기계연구원 Substrate on which multiple nanogaps are formed, and manufacturing method therefor
US10488753B2 (en) 2015-09-08 2019-11-26 Canon Kabushiki Kaisha Substrate pretreatment and etch uniformity in nanoimprint lithography
US20170066208A1 (en) 2015-09-08 2017-03-09 Canon Kabushiki Kaisha Substrate pretreatment for reducing fill time in nanoimprint lithography
US10131134B2 (en) 2015-10-30 2018-11-20 Canon Kabushiki Kaisha System and method for discharging electrostatic charge in nanoimprint lithography processes
US10211051B2 (en) 2015-11-13 2019-02-19 Canon Kabushiki Kaisha Method of reverse tone patterning
JP6961495B2 (en) 2016-01-25 2021-11-05 キヤノン株式会社 Pattern formation method, processed circuit board manufacturing method, optical component manufacturing method, circuit board manufacturing method, electronic component manufacturing method, imprint mold manufacturing method
JP6380445B2 (en) * 2016-03-30 2018-08-29 大日本印刷株式会社 Nanoimprint method
US10654216B2 (en) 2016-03-30 2020-05-19 Canon Kabushiki Kaisha System and methods for nanoimprint lithography
US10134588B2 (en) 2016-03-31 2018-11-20 Canon Kabushiki Kaisha Imprint resist and substrate pretreatment for reducing fill time in nanoimprint lithography
US10095106B2 (en) 2016-03-31 2018-10-09 Canon Kabushiki Kaisha Removing substrate pretreatment compositions in nanoimprint lithography
US10620539B2 (en) 2016-03-31 2020-04-14 Canon Kabushiki Kaisha Curing substrate pretreatment compositions in nanoimprint lithography
US10509313B2 (en) 2016-06-28 2019-12-17 Canon Kabushiki Kaisha Imprint resist with fluorinated photoinitiator and substrate pretreatment for reducing fill time in nanoimprint lithography
US10035296B2 (en) 2016-10-13 2018-07-31 Canon Kabushiki Kaisha Methods for controlling spread of imprint material
US10627715B2 (en) 2016-10-31 2020-04-21 Canon Kabushiki Kaisha Method for separating a nanoimprint template from a substrate
US11454883B2 (en) 2016-11-14 2022-09-27 Canon Kabushiki Kaisha Template replication
US10969680B2 (en) 2016-11-30 2021-04-06 Canon Kabushiki Kaisha System and method for adjusting a position of a template
US10578984B2 (en) 2016-12-20 2020-03-03 Canon Kabushiki Kaisha Adaptive chucking system
US10288999B2 (en) 2016-12-20 2019-05-14 Canon Kabushiki Kaisha Methods for controlling extrusions during imprint template replication processes
US10991582B2 (en) 2016-12-21 2021-04-27 Canon Kabushiki Kaisha Template for imprint lithography including a recession, an apparatus of using the template, and a method of fabricating an article
US10712660B2 (en) 2016-12-21 2020-07-14 Canon Kabushiki Kaisha Template for imprint lithography including a recession and an apparatus and method of using the template
US10079152B1 (en) 2017-02-24 2018-09-18 Canon Kabushiki Kaisha Method for forming planarized etch mask structures over existing topography
US9971249B1 (en) 2017-02-27 2018-05-15 Canon Kabushiki Kaisha Method and system for controlled ultraviolet light exposure
US10317793B2 (en) 2017-03-03 2019-06-11 Canon Kabushiki Kaisha Substrate pretreatment compositions for nanoimprint lithography
US10303049B2 (en) 2017-03-22 2019-05-28 Canon Kabushiki Kaisha Reducing electric charge in imprint lithography
US10534259B2 (en) 2017-03-28 2020-01-14 Canon Kabushiki Kaisha Method and system for imprint force control
CN111279261B (en) * 2017-05-09 2023-10-24 赫普塔冈微光有限公司 Method for refurbishing a replication tool and related method for manufacturing a large number of devices
US10866510B2 (en) 2017-07-31 2020-12-15 Canon Kabushiki Kaisha Overlay improvement in nanoimprint lithography
US10996560B2 (en) 2017-07-31 2021-05-04 Canon Kabushiki Kaisha Real-time correction of template deformation in nanoimprint lithography
US10580659B2 (en) 2017-09-14 2020-03-03 Canon Kabushiki Kaisha Planarization process and apparatus
US11448958B2 (en) 2017-09-21 2022-09-20 Canon Kabushiki Kaisha System and method for controlling the placement of fluid resist droplets
US10935883B2 (en) 2017-09-29 2021-03-02 Canon Kabushiki Kaisha Nanoimprint template with light blocking material and method of fabrication
US10895806B2 (en) 2017-09-29 2021-01-19 Canon Kabushiki Kaisha Imprinting method and apparatus
US11036130B2 (en) 2017-10-19 2021-06-15 Canon Kabushiki Kaisha Drop placement evaluation
US10788749B2 (en) 2017-11-30 2020-09-29 Canon Kabushiki Kaisha System and method for improving the throughput of a nanoimprint system
US10663869B2 (en) 2017-12-11 2020-05-26 Canon Kabushiki Kaisha Imprint system and imprinting process with spatially non-uniform illumination
US10409178B2 (en) 2017-12-18 2019-09-10 Canon Kabushiki Kaisha Alignment control in nanoimprint lithography based on real-time system identification
US10996561B2 (en) 2017-12-26 2021-05-04 Canon Kabushiki Kaisha Nanoimprint lithography with a six degrees-of-freedom imprint head module
US11194247B2 (en) 2018-01-31 2021-12-07 Canon Kabushiki Kaisha Extrusion control by capillary force reduction
JP7023744B2 (en) * 2018-02-28 2022-02-22 キヤノン株式会社 Imprint method and manufacturing method
US11249405B2 (en) 2018-04-30 2022-02-15 Canon Kabushiki Kaisha System and method for improving the performance of a nanoimprint system
US10739675B2 (en) 2018-05-31 2020-08-11 Canon Kabushiki Kaisha Systems and methods for detection of and compensation for malfunctioning droplet dispensing nozzles
US10921706B2 (en) 2018-06-07 2021-02-16 Canon Kabushiki Kaisha Systems and methods for modifying mesa sidewalls
US10990004B2 (en) 2018-07-18 2021-04-27 Canon Kabushiki Kaisha Photodissociation frame window, systems including a photodissociation frame window, and methods of using a photodissociation frame window
US11294277B2 (en) 2018-07-25 2022-04-05 Canon Kabushiki Kaisha Process of imprinting a substrate with fluid control features
JP2020035924A (en) * 2018-08-30 2020-03-05 キオクシア株式会社 Original plate
US10976657B2 (en) 2018-08-31 2021-04-13 Canon Kabushiki Kaisha System and method for illuminating edges of an imprint field with a gradient dosage
US11131923B2 (en) 2018-10-10 2021-09-28 Canon Kabushiki Kaisha System and method of assessing surface quality by optically analyzing dispensed drops
US11281095B2 (en) 2018-12-05 2022-03-22 Canon Kabushiki Kaisha Frame curing template and system and method of using the frame curing template
US10754078B2 (en) 2018-12-20 2020-08-25 Canon Kabushiki Kaisha Light source, a shaping system using the light source and an article manufacturing method
US11243466B2 (en) 2019-01-31 2022-02-08 Canon Kabushiki Kaisha Template with mass velocity variation features, nanoimprint lithography apparatus that uses the template, and methods that use the template
US11442359B2 (en) 2019-03-11 2022-09-13 Canon Kabushiki Kaisha Method of separating a template from a shaped film on a substrate
US11209730B2 (en) 2019-03-14 2021-12-28 Canon Kabushiki Kaisha Methods of generating drop patterns, systems for shaping films with the drop pattern, and methods of manufacturing an article with the drop pattern
US11181819B2 (en) 2019-05-31 2021-11-23 Canon Kabushiki Kaisha Frame curing method for extrusion control
US11402749B2 (en) 2019-06-19 2022-08-02 Canon Kabushiki Kaisha Drop pattern correction for nano-fabrication
US11373861B2 (en) 2019-07-05 2022-06-28 Canon Kabushiki Kaisha System and method of cleaning mesa sidewalls of a template
US11164302B2 (en) 2019-08-08 2021-11-02 Canon Kabushiki Kaisha Systems and methods for classifying images of an imprinted film
US11549020B2 (en) 2019-09-23 2023-01-10 Canon Kabushiki Kaisha Curable composition for nano-fabrication
US11429022B2 (en) 2019-10-23 2022-08-30 Canon Kabushiki Kaisha Systems and methods for curing a shaped film
US11327409B2 (en) 2019-10-23 2022-05-10 Canon Kabushiki Kaisha Systems and methods for curing an imprinted field
US11215921B2 (en) 2019-10-31 2022-01-04 Canon Kabushiki Kaisha Residual layer thickness compensation in nano-fabrication by modified drop pattern
US11550216B2 (en) 2019-11-25 2023-01-10 Canon Kabushiki Kaisha Systems and methods for curing a shaped film
US11366384B2 (en) 2019-12-18 2022-06-21 Canon Kabushiki Kaisha Nanoimprint lithography system and method for adjusting a radiation pattern that compensates for slippage of a template
US11567401B2 (en) 2019-12-20 2023-01-31 Canon Kabushiki Kaisha Nanofabrication method with correction of distortion within an imprint system
US11126079B1 (en) 2020-04-09 2021-09-21 Canon Kabushiki Kaisha Nano-fabrication system with cleaning system for cleaning a faceplate of a dispenser and method of cleaning the faceplate
US11262651B2 (en) 2020-05-28 2022-03-01 Canon Kabushiki Kaisha System for detecting accumulated material on a faceplate of a dispenser and method of inspecting the faceplate
US11262652B2 (en) 2020-06-25 2022-03-01 Canon Kabushiki Kaisha Nanofabrication method with correction of distortion within an imprint system
US11774849B2 (en) 2020-09-22 2023-10-03 Canon Kabushiki Kaisha Method and system for adjusting edge positions of a drop pattern
US11747731B2 (en) 2020-11-20 2023-09-05 Canon Kabishiki Kaisha Curing a shaped film using multiple images of a spatial light modulator
US11815811B2 (en) 2021-03-23 2023-11-14 Canon Kabushiki Kaisha Magnification ramp scheme to mitigate template slippage
US11614693B2 (en) 2021-06-30 2023-03-28 Canon Kabushiki Kaisha Method of determining the initial contact point for partial fields and method of shaping a surface
JP2023034120A (en) * 2021-08-30 2023-03-13 キヤノン株式会社 Molding apparatus, molding method and article manufacturing method

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4585829A (en) * 1983-09-30 1986-04-29 Union Carbide Corporation Internal mold release for reaction injection molded polyurethanes
US6054034A (en) * 1990-02-28 2000-04-25 Aclara Biosciences, Inc. Acrylic microchannels and their use in electrophoretic applications
US20010055727A1 (en) * 2000-05-12 2001-12-27 Hiroshi Kubota Resist material and method for pattern formation
US6375870B1 (en) * 1998-11-17 2002-04-23 Corning Incorporated Replicating a nanoscale pattern
US20020084553A1 (en) * 2000-12-13 2002-07-04 Creavis Gesellschaft Fuer Techn. Und Innov. Mbh Process for molding hydrophobic polymers to produce surfaces with stable water- and oil-repellent properties
US20020135099A1 (en) * 2001-01-19 2002-09-26 Robinson Timothy R. Mold with metal oxide surface compatible with ionic release agents
US6957608B1 (en) * 2002-08-02 2005-10-25 Kovio, Inc. Contact print methods

Family Cites Families (163)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3527062A (en) 1968-09-25 1970-09-08 Singer General Precision Universal joint flexure hinge
US3810874A (en) 1969-03-10 1974-05-14 Minnesota Mining & Mfg Polymers prepared from poly(perfluoro-alkylene oxide) compounds
US3783520A (en) * 1970-09-28 1974-01-08 Bell Telephone Labor Inc High accuracy alignment procedure utilizing moire patterns
US3807027A (en) * 1972-03-31 1974-04-30 Johns Manville Method of forming the bell end of a bell and spigot joint
US3807029A (en) * 1972-09-05 1974-04-30 Bendix Corp Method of making a flexural pivot
US3811665A (en) * 1972-09-05 1974-05-21 Bendix Corp Flexural pivot with diaphragm means
US3919351A (en) 1973-08-29 1975-11-11 Ppg Industries Inc Composition useful in making extensible films
FR2325018A1 (en) * 1975-06-23 1977-04-15 Ibm INTERVAL MEASURING DEVICE FOR DEFINING THE DISTANCE BETWEEN TWO OR MORE FACES
IT1068535B (en) 1975-11-03 1985-03-21 Ibm APPARATUS AND GRAPHIC ELECTROLYTE PROCESS
US4062600A (en) 1976-04-05 1977-12-13 Litton Systems, Inc. Dual-gimbal gyroscope flexure suspension
US4098001A (en) 1976-10-13 1978-07-04 The Charles Stark Draper Laboratory, Inc. Remote center compliance system
US4155169A (en) * 1978-03-16 1979-05-22 The Charles Stark Draper Laboratory, Inc. Compliant assembly system device
US4201800A (en) * 1978-04-28 1980-05-06 International Business Machines Corp. Hardened photoresist master image mask process
JPS6053675B2 (en) * 1978-09-20 1985-11-27 富士写真フイルム株式会社 Spin coating method
US4202107A (en) * 1978-10-23 1980-05-13 Watson Paul C Remote axis admittance system
US4326805A (en) * 1980-04-11 1982-04-27 Bell Telephone Laboratories, Incorporated Method and apparatus for aligning mask and wafer members
US4337579A (en) 1980-04-16 1982-07-06 The Charles Stark Draper Laboratory, Inc. Deformable remote center compliance device
JPS573875A (en) 1980-06-11 1982-01-09 Tamura Kaken Kk Photopolymerizable ink composition
US4355469A (en) 1980-11-28 1982-10-26 The Charles Stark Draper Laboratory, Inc. Folded remote center compliance device
US4414750A (en) 1981-10-19 1983-11-15 The Charles Stark Draper Laboratory, Inc. Single stage remote center compliance device
DE3208081A1 (en) 1982-03-06 1983-09-08 Braun Ag, 6000 Frankfurt METHOD FOR PRODUCING A SHEET-LIKE SHEAR FILM FOR AN ELECTRICALLY OPERATED DRY SHAVER WITH Raises On Its Face Facing The Skin
US4617238A (en) 1982-04-01 1986-10-14 General Electric Company Vinyloxy-functional organopolysiloxane compositions
US4426247A (en) * 1982-04-12 1984-01-17 Nippon Telegraph & Telephone Public Corporation Method for forming micropattern
US4440804A (en) 1982-08-02 1984-04-03 Fairchild Camera & Instrument Corporation Lift-off process for fabricating self-aligned contacts
US4544572A (en) 1982-09-07 1985-10-01 Minnesota Mining And Manufacturing Company Coated ophthalmic lenses and method for coating the same
JPS5972727A (en) 1982-10-19 1984-04-24 Matsushita Electric Ind Co Ltd Positioning table
US4451507A (en) * 1982-10-29 1984-05-29 Rca Corporation Automatic liquid dispensing apparatus for spinning surface of uniform thickness
FR2538923A1 (en) 1982-12-30 1984-07-06 Thomson Csf METHOD AND DEVICE FOR OPTICALLY ALIGNING PATTERNS IN TWO PLANS RECONCILED IN AN EXPOSURE APPARATUS COMPRISING A DIVERGENT RADIATION SOURCE
US4639897A (en) * 1983-08-31 1987-01-27 Rca Corporation Priority encoded spare element decoder
US4514439A (en) * 1983-09-16 1985-04-30 Rohm And Haas Company Dust cover
US4507331A (en) * 1983-12-12 1985-03-26 International Business Machines Corporation Dry process for forming positive tone micro patterns
US4512848A (en) * 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4517337A (en) * 1984-02-24 1985-05-14 General Electric Company Room temperature vulcanizable organopolysiloxane compositions and method for making
US4552833A (en) 1984-05-14 1985-11-12 International Business Machines Corporation Radiation sensitive and oxygen plasma developable resist
US4614667A (en) 1984-05-21 1986-09-30 Minnesota Mining And Manufacturing Company Composite low surface energy liner of perfluoropolyether
DE3583707D1 (en) 1984-06-26 1991-09-12 Asahi Glass Co Ltd TRANSPARENT HEAVY DIRTING ITEM WITH LOW REFLECTION.
US4694703A (en) 1984-06-28 1987-09-22 Lear Siegler, Inc. Circumferentially oriented flexure suspension
JPS61116358A (en) * 1984-11-09 1986-06-03 Mitsubishi Electric Corp Photomask material
US4908298A (en) * 1985-03-19 1990-03-13 International Business Machines Corporation Method of creating patterned multilayer films for use in production of semiconductor circuits and systems
US4657845A (en) * 1986-01-14 1987-04-14 International Business Machines Corporation Positive tone oxygen plasma developable photoresist
US4692205A (en) 1986-01-31 1987-09-08 International Business Machines Corporation Silicon-containing polyimides as oxygen etch stop and dual dielectric coatings
US4724222A (en) * 1986-04-28 1988-02-09 American Telephone And Telegraph Company, At&T Bell Laboratories Wafer chuck comprising a curved reference surface
US4737425A (en) * 1986-06-10 1988-04-12 International Business Machines Corporation Patterned resist and process
KR900004269B1 (en) 1986-06-11 1990-06-18 가부시기가이샤 도시바 Method and device for positioing 1st body and 2nd body
US4929083A (en) 1986-06-19 1990-05-29 Xerox Corporation Focus and overlay characterization and optimization for photolithographic exposure
EP0255303B1 (en) 1986-07-25 1989-10-11 Oki Electric Industry Company, Limited Negative resist material, method for its manufacture and method for using it
JPS6376330A (en) 1986-09-18 1988-04-06 Oki Electric Ind Co Ltd Manufacture of semiconductor device
FR2604553A1 (en) 1986-09-29 1988-04-01 Rhone Poulenc Chimie RIGID POLYMER SUBSTRATE FOR OPTICAL DISC AND OPTICAL DISCS OBTAINED FROM THE SUBSTRATE
US4707218A (en) 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
JPS63162132A (en) 1986-12-26 1988-07-05 Nippon Thompson Co Ltd Xy table
US4931351A (en) 1987-01-12 1990-06-05 Eastman Kodak Company Bilayer lithographic process
US5736424A (en) * 1987-02-27 1998-04-07 Lucent Technologies Inc. Device fabrication involving planarization
US4731155A (en) * 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US4808511A (en) * 1987-05-19 1989-02-28 International Business Machines Corporation Vapor phase photoresist silylation process
KR930000293B1 (en) 1987-10-26 1993-01-15 마쯔시다덴기산교 가부시기가이샤 Fine pattern forming method
JPH01163027A (en) * 1987-12-21 1989-06-27 Matsushita Electric Ind Co Ltd Method and device for molding optical element
US5028366A (en) 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
DE3805631A1 (en) 1988-02-24 1989-09-07 Teldix Gmbh TURNING VIBRATION DRIVE
US4846931A (en) 1988-03-29 1989-07-11 Bell Communications Research, Inc. Method for lifting-off epitaxial films
US4883561A (en) 1988-03-29 1989-11-28 Bell Communications Research, Inc. Lift-off and subsequent bonding of epitaxial films
US4891303A (en) * 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
JPH0269936A (en) 1988-07-28 1990-03-08 Siemens Ag Method of making resin structure on semiconductor material
US5108875A (en) * 1988-07-29 1992-04-28 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
US4921778A (en) 1988-07-29 1990-05-01 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
EP0355496A3 (en) 1988-08-15 1990-10-10 Sumitomo Heavy Industries Co., Ltd. Position detector employing a sector fresnel zone plate
JP2546350B2 (en) 1988-09-09 1996-10-23 キヤノン株式会社 Alignment device
US4964945A (en) 1988-12-09 1990-10-23 Minnesota Mining And Manufacturing Company Lift off patterning process on a flexible substrate
CA2010169A1 (en) 1989-02-21 1990-08-21 Masakazu Uekita Multi-layer resist
US4999280A (en) * 1989-03-17 1991-03-12 International Business Machines Corporation Spray silylation of photoresist images
US5169494A (en) 1989-03-27 1992-12-08 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
JP3001607B2 (en) 1989-04-24 2000-01-24 シーメンス、アクチエンゲゼルシヤフト Dimensionally stable structure transfer method in two-layer method
ES2103261T3 (en) 1989-04-24 1997-09-16 Siemens Ag PROCEDURE FOR THE GENERATION OF CORROSION RESISTANT STRUCTURES.
US5110514A (en) 1989-05-01 1992-05-05 Soane Technologies, Inc. Controlled casting of a shrinkable material
US5053318A (en) 1989-05-18 1991-10-01 Shipley Company Inc. Plasma processing with metal mask integration
CA2011927C (en) 1989-06-02 1996-12-24 Alan Lee Sidman Microlithographic method for producing thick, vertically-walled photoresist patterns
US4919748A (en) * 1989-06-30 1990-04-24 At&T Bell Laboratories Method for tapered etching
JP2704001B2 (en) 1989-07-18 1998-01-26 キヤノン株式会社 Position detection device
US5151754A (en) 1989-10-06 1992-09-29 Kabushiki Kaisha Toshiba Method and an apparatus for measuring a displacement between two objects and a method and an apparatus for measuring a gap distance between two objects
US5139925A (en) 1989-10-18 1992-08-18 Massachusetts Institute Of Technology Surface barrier silylation of novolak film without photoactive additive patterned with 193 nm excimer laser
US5204381A (en) * 1990-02-13 1993-04-20 The United States Of America As Represented By The United States Department Of Energy Hybrid sol-gel optical materials
JP3197010B2 (en) * 1990-03-05 2001-08-13 株式会社東芝 Interval setting method and interval setting device
US5073230A (en) 1990-04-17 1991-12-17 Arizona Board Of Regents Acting On Behalf Of Arizona State University Means and methods of lifting and relocating an epitaxial device layer
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
JP2586692B2 (en) * 1990-05-24 1997-03-05 松下電器産業株式会社 Pattern forming material and pattern forming method
DE4029912A1 (en) 1990-09-21 1992-03-26 Philips Patentverwaltung METHOD FOR FORMING AT LEAST ONE TRENCH IN A SUBSTRATE LAYER
US5314772A (en) 1990-10-09 1994-05-24 Arizona Board Of Regents High resolution, multi-layer resist for microlithography and method therefor
US5126006A (en) 1990-10-30 1992-06-30 International Business Machines Corp. Plural level chip masking
US5072126A (en) 1990-10-31 1991-12-10 International Business Machines Corporation Promixity alignment using polarized illumination and double conjugate projection lens
US6174931B1 (en) * 1991-02-28 2001-01-16 3M Innovative Properties Company Multi-stage irradiation process for production of acrylic based compositions and compositions made thereby
US5240878A (en) 1991-04-26 1993-08-31 International Business Machines Corporation Method for forming patterned films on a substrate
US5212147A (en) 1991-05-15 1993-05-18 Hewlett-Packard Company Method of forming a patterned in-situ high Tc superconductive film
DE69229924T2 (en) 1991-05-17 1999-12-23 Asahi Glass Co Ltd Surface treated substrate
US5206983A (en) 1991-06-24 1993-05-04 Wisconsin Alumni Research Foundation Method of manufacturing micromechanical devices
US5242711A (en) 1991-08-16 1993-09-07 Rockwell International Corp. Nucleation control of diamond films by microlithographic patterning
JPH0555654A (en) 1991-08-26 1993-03-05 Nec Corp Piezoelectric element displacement magnification mechanism
US5317386A (en) * 1991-09-06 1994-05-31 Eastman Kodak Company Optical monitor for measuring a gap between two rollers
JPH0580530A (en) 1991-09-24 1993-04-02 Hitachi Ltd Production of thin film pattern
US5277749A (en) * 1991-10-17 1994-01-11 International Business Machines Corporation Methods and apparatus for relieving stress and resisting stencil delamination when performing lift-off processes that utilize high stress metals and/or multiple evaporation steps
US5331020A (en) 1991-11-14 1994-07-19 Dow Corning Limited Organosilicon compounds and compositions containing them
US5204739A (en) * 1992-02-07 1993-04-20 Karl Suss America, Inc. Proximity mask alignment using a stored video image
US5244818A (en) 1992-04-08 1993-09-14 Georgia Tech Research Corporation Processes for lift-off of thin film materials and for the fabrication of three dimensional integrated circuits
US5298556A (en) 1992-07-21 1994-03-29 Tse Industries, Inc. Mold release composition and method coating a mold core
US5601641A (en) * 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
US5482768A (en) * 1993-05-14 1996-01-09 Asahi Glass Company Ltd. Surface-treated substrate and process for its production
US5380474A (en) * 1993-05-20 1995-01-10 Sandia Corporation Methods for patterned deposition on a substrate
US5324683A (en) 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
JP2837063B2 (en) * 1993-06-04 1998-12-14 シャープ株式会社 Method of forming resist pattern
US6180239B1 (en) * 1993-10-04 2001-01-30 President And Fellows Of Harvard College Microcontact printing on surfaces and derivative articles
US5534101A (en) * 1994-03-02 1996-07-09 Telecommunication Research Laboratories Method and apparatus for making optical components by direct dispensing of curable liquid
US5670415A (en) * 1994-05-24 1997-09-23 Depositech, Inc. Method and apparatus for vacuum deposition of highly ionized media in an electromagnetic controlled environment
US5512121A (en) * 1994-09-07 1996-04-30 Brown, Jr.; Arthur K. Method of manufacturing a mop
US5743998A (en) * 1995-04-19 1998-04-28 Park Scientific Instruments Process for transferring microminiature patterns using spin-on glass resist media
JP3624476B2 (en) * 1995-07-17 2005-03-02 セイコーエプソン株式会社 Manufacturing method of semiconductor laser device
WO1997007429A1 (en) * 1995-08-18 1997-02-27 President And Fellows Of Harvard College Self-assembled monolayer directed patterning of surfaces
US20040036201A1 (en) * 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US6309580B1 (en) * 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US6518189B1 (en) * 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US6482742B1 (en) * 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
JP2842362B2 (en) * 1996-02-29 1999-01-06 日本電気株式会社 Superposition measurement method
US5725788A (en) * 1996-03-04 1998-03-10 Motorola Apparatus and method for patterning a surface
US6355198B1 (en) * 1996-03-15 2002-03-12 President And Fellows Of Harvard College Method of forming articles including waveguides via capillary micromolding and microtransfer molding
US5942443A (en) * 1996-06-28 1999-08-24 Caliper Technologies Corporation High throughput screening assay systems in microscale fluidic devices
US5888650A (en) * 1996-06-03 1999-03-30 Minnesota Mining And Manufacturing Company Temperature-responsive adhesive article
US5895263A (en) * 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
DE19710420C2 (en) * 1997-03-13 2001-07-12 Helmut Fischer Gmbh & Co Method and device for measuring the thicknesses of thin layers by means of X-ray fluorescence
US6335149B1 (en) * 1997-04-08 2002-01-01 Corning Incorporated High performance acrylate materials for optical interconnects
US6033977A (en) * 1997-06-30 2000-03-07 Siemens Aktiengesellschaft Dual damascene structure
US5877861A (en) * 1997-11-14 1999-03-02 International Business Machines Corporation Method for overlay control system
TW352421B (en) * 1998-04-27 1999-02-11 United Microelectronics Corp Method and process of phase shifting mask
US6713238B1 (en) * 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
US6218316B1 (en) * 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
US6204922B1 (en) * 1998-12-11 2001-03-20 Filmetrics, Inc. Rapid and accurate thin film measurement of individual layers in a multi-layered or patterned sample
US6168845B1 (en) * 1999-01-19 2001-01-02 International Business Machines Corporation Patterned magnetic media and method of making the same using selective oxidation
US6334960B1 (en) * 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6342097B1 (en) * 1999-04-23 2002-01-29 Sdc Coatings, Inc. Composition for providing an abrasion resistant coating on a substrate with a matched refractive index and controlled tintability
US6188150B1 (en) * 1999-06-16 2001-02-13 Euv, Llc Light weight high-stiffness stage platen
US6255022B1 (en) * 1999-06-17 2001-07-03 Taiwan Semiconductor Manufacturing Company Dry development process for a bi-layer resist system utilized to reduce microloading
US6344105B1 (en) * 1999-06-30 2002-02-05 Lam Research Corporation Techniques for improving etch rate uniformity
US6190929B1 (en) * 1999-07-23 2001-02-20 Micron Technology, Inc. Methods of forming semiconductor devices and methods of forming field emission displays
US6517995B1 (en) * 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
DE19958966A1 (en) * 1999-12-07 2001-06-13 Infineon Technologies Ag Generation of resist structures
US6696157B1 (en) * 2000-03-05 2004-02-24 3M Innovative Properties Company Diamond-like glass thin films
WO2002008835A2 (en) * 2000-07-16 2002-01-31 Board Of Regents, The University Of Texas System High-resolution overlay alignment methods and systems for imprint lithography
US7211214B2 (en) * 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
US20050037143A1 (en) * 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
AU2001297642A1 (en) * 2000-10-12 2002-09-04 Board Of Regents, The University Of Texas System Template for room temperature, low pressure micro- and nano-imprint lithography
US6541360B1 (en) * 2001-04-30 2003-04-01 Advanced Micro Devices, Inc. Bi-layer trim etch process to form integrated circuit gate structures
US6534418B1 (en) * 2001-04-30 2003-03-18 Advanced Micro Devices, Inc. Use of silicon containing imaging layer to define sub-resolution gate structures
CN100347608C (en) * 2001-09-25 2007-11-07 米卢塔技术株式会社 Method for forming a micro-pattern on a substrate by using capillary force
JP2003109915A (en) * 2001-09-28 2003-04-11 National Institute Of Advanced Industrial & Technology Method and device for performing in-print lithography in releasable atmosphere
US6716767B2 (en) * 2001-10-31 2004-04-06 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
US7455955B2 (en) * 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6849558B2 (en) * 2002-05-22 2005-02-01 The Board Of Trustees Of The Leland Stanford Junior University Replication and transfer of microstructures and nanostructures
US7179079B2 (en) * 2002-07-08 2007-02-20 Molecular Imprints, Inc. Conforming template for patterning liquids disposed on substrates
US6926929B2 (en) * 2002-07-09 2005-08-09 Molecular Imprints, Inc. System and method for dispensing liquids
US6932934B2 (en) * 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US6908861B2 (en) * 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7027156B2 (en) * 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US6916584B2 (en) * 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US7070405B2 (en) * 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US8349241B2 (en) * 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US20050084804A1 (en) * 2003-10-16 2005-04-21 Molecular Imprints, Inc. Low surface energy templates

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4585829A (en) * 1983-09-30 1986-04-29 Union Carbide Corporation Internal mold release for reaction injection molded polyurethanes
US6054034A (en) * 1990-02-28 2000-04-25 Aclara Biosciences, Inc. Acrylic microchannels and their use in electrophoretic applications
US6375870B1 (en) * 1998-11-17 2002-04-23 Corning Incorporated Replicating a nanoscale pattern
US20010055727A1 (en) * 2000-05-12 2001-12-27 Hiroshi Kubota Resist material and method for pattern formation
US20020084553A1 (en) * 2000-12-13 2002-07-04 Creavis Gesellschaft Fuer Techn. Und Innov. Mbh Process for molding hydrophobic polymers to produce surfaces with stable water- and oil-repellent properties
US20020135099A1 (en) * 2001-01-19 2002-09-26 Robinson Timothy R. Mold with metal oxide surface compatible with ionic release agents
US6957608B1 (en) * 2002-08-02 2005-10-25 Kovio, Inc. Contact print methods

Cited By (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080230959A1 (en) * 2002-12-12 2008-09-25 Board Of Regents, University Of Texas System Compositions for Dark-Field Polymerization and Method of Using the Same for Imprint Lithography Processes
US7906060B2 (en) 2002-12-12 2011-03-15 Board Of Regents, The University Of Texas System Compositions for dark-field polymerization and method of using the same for imprint lithography processes
US8152511B2 (en) 2003-06-17 2012-04-10 Molecular Imprints, Inc. Composition to reduce adhesion between a conformable region and a mold
US20090272875A1 (en) * 2003-06-17 2009-11-05 Molecular Imprints, Inc. Composition to Reduce Adhesion Between a Conformable Region and a Mold
US20070272825A1 (en) * 2004-01-23 2007-11-29 Molecular Imprints, Inc. Composition to Reduce Adhesion Between a Conformable Region and a Mold
US8268220B2 (en) 2004-01-23 2012-09-18 Molecular Imprints, Inc. Imprint lithography method
US20110031651A1 (en) * 2004-01-23 2011-02-10 Molecular Imprints, Inc. Desirable wetting and release between an imprint lithography mold and a polymerizable composition
US7837921B2 (en) 2004-01-23 2010-11-23 Molecular Imprints, Inc. Method of providing desirable wetting and release characteristics between a mold and a polymerizable composition
US8647554B2 (en) 2004-06-15 2014-02-11 Molecular Imprints, Inc. Residual layer thickness measurement and correction
US20110215503A1 (en) * 2004-11-24 2011-09-08 Molecular Imprints, Inc. Reducing Adhesion between a Conformable Region and a Mold
US7811505B2 (en) 2004-12-07 2010-10-12 Molecular Imprints, Inc. Method for fast filling of templates for imprint lithography using on template dispense
US20090155583A1 (en) * 2005-07-22 2009-06-18 Molecular Imprints, Inc. Ultra-thin Polymeric Adhesion Layer
US7759407B2 (en) 2005-07-22 2010-07-20 Molecular Imprints, Inc. Composition for adhering materials together
US20070212494A1 (en) * 2005-07-22 2007-09-13 Molecular Imprints, Inc. Method for Imprint Lithography Utilizing an Adhesion Primer Layer
US8846195B2 (en) 2005-07-22 2014-09-30 Canon Nanotechnologies, Inc. Ultra-thin polymeric adhesion layer
US8808808B2 (en) 2005-07-22 2014-08-19 Molecular Imprints, Inc. Method for imprint lithography utilizing an adhesion primer layer
US8557351B2 (en) 2005-07-22 2013-10-15 Molecular Imprints, Inc. Method for adhering materials together
US7632417B2 (en) * 2005-08-29 2009-12-15 Seoul National University Industry Foundation Method for forming nanostructure having high aspect ratio and method for forming nanopattern using the same
US20080000871A1 (en) * 2005-08-29 2008-01-03 Kahp-Yang Suh Method for forming nanostructure having high aspect ratio and method for forming nanopattern using the same
US8142703B2 (en) 2005-10-05 2012-03-27 Molecular Imprints, Inc. Imprint lithography method
US20090136654A1 (en) * 2005-10-05 2009-05-28 Molecular Imprints, Inc. Contact Angle Attenuations on Multiple Surfaces
US9323143B2 (en) 2008-02-05 2016-04-26 Canon Nanotechnologies, Inc. Controlling template surface composition in nano-imprint lithography
US20090197057A1 (en) * 2008-02-05 2009-08-06 Molecular Imprints, Inc. Controlling Template Surface Composition in Nano-Imprint Lithography
US20100112236A1 (en) * 2008-10-30 2010-05-06 Molecular Imprints, Inc. Facilitating Adhesion Between Substrate and Patterned Layer
US8361546B2 (en) 2008-10-30 2013-01-29 Molecular Imprints, Inc. Facilitating adhesion between substrate and patterned layer
US20100109195A1 (en) * 2008-11-05 2010-05-06 Molecular Imprints, Inc. Release agent partition control in imprint lithography
US8637587B2 (en) 2008-11-05 2014-01-28 Molecular Imprints, Inc. Release agent partition control in imprint lithography
US20110165412A1 (en) * 2009-11-24 2011-07-07 Molecular Imprints, Inc. Adhesion layers in nanoimprint lithograhy
US10208183B2 (en) 2013-08-30 2019-02-19 Canon Kabushiki Kaisha Curable composition, film, and method of producing film
US10754244B2 (en) 2016-03-31 2020-08-25 Canon Kabushiki Kaisha Pattern forming method as well as production methods for processed substrate, optical component, circuit board, electronic component and imprint mold
US10578965B2 (en) 2016-03-31 2020-03-03 Canon Kabushiki Kaisha Pattern forming method
US10754243B2 (en) * 2016-03-31 2020-08-25 Canon Kabushiki Kaisha Pattern forming method as well as production methods for processed substrate, optical component, circuit board, electronic component and imprint mold
US20170285462A1 (en) * 2016-03-31 2017-10-05 Canon Kabushiki Kaisha Pattern forming method as well as production methods for processed substrate, optical component, circuit board, electronic component and imprint mold
US10754245B2 (en) 2016-03-31 2020-08-25 Canon Kabushiki Kaisha Pattern forming method as well as production methods for processed substrate, optical component, circuit board, electronic component and imprint mold
US10829644B2 (en) 2016-03-31 2020-11-10 Canon Kabushiki Kaisha Pattern forming method as well as production methods for processed substrate, optical component, circuit board, electronic component and imprint mold
US10845700B2 (en) 2016-03-31 2020-11-24 Canon Kabushiki Kaisha Pattern forming method as well as production methods for processed substrate, optical component, circuit board, electronic component and imprint mold
US10883006B2 (en) 2016-03-31 2021-01-05 Canon Kabushiki Kaisha Pattern forming method as well as production methods for processed substrate, optical component, circuit board, electronic component and imprint mold
US10935884B2 (en) 2017-03-08 2021-03-02 Canon Kabushiki Kaisha Pattern forming method and methods for manufacturing processed substrate, optical component and quartz mold replica as well as coating material for imprint pretreatment and set thereof with imprint resist
US11281097B2 (en) 2017-03-08 2022-03-22 Canon Kabushiki Kaisha Method for forming pattern by using photo-nanoimprint technology, imprint apparatus, and curable composition
US11327397B2 (en) 2017-03-08 2022-05-10 Canon Kabushiki Kaisha Pattern forming method, coating material for imprint pretreatment and substrate pretreatment method

Also Published As

Publication number Publication date
EP1633545B1 (en) 2013-08-07
TWI358608B (en) 2012-02-21
JP4791357B2 (en) 2011-10-12
US7157036B2 (en) 2007-01-02
KR20060024420A (en) 2006-03-16
CN100572032C (en) 2009-12-23
TW200506513A (en) 2005-02-16
US20040256764A1 (en) 2004-12-23
EP1633545A4 (en) 2009-05-06
WO2005000552A3 (en) 2005-06-30
MY136832A (en) 2008-11-28
EP1633545A2 (en) 2006-03-15
KR101202653B1 (en) 2012-11-20
JP2006528088A (en) 2006-12-14
WO2005000552A2 (en) 2005-01-06
CN1805838A (en) 2006-07-19

Similar Documents

Publication Publication Date Title
US7157036B2 (en) Method to reduce adhesion between a conformable region and a pattern of a mold
US20050160934A1 (en) Materials and methods for imprint lithography
US8076386B2 (en) Materials for imprint lithography
US7122482B2 (en) Methods for fabricating patterned features utilizing imprint lithography
US7708926B2 (en) Capillary imprinting technique
US7906060B2 (en) Compositions for dark-field polymerization and method of using the same for imprint lithography processes
US7179079B2 (en) Conforming template for patterning liquids disposed on substrates
US20050156357A1 (en) Planarization method of patterning a substrate
US7452574B2 (en) Method to reduce adhesion between a polymerizable layer and a substrate employing a fluorine-containing layer
US20030235787A1 (en) Low viscosity high resolution patterning material
US20060035029A1 (en) Method to provide a layer with uniform etch characteristics
WO2006023297A1 (en) Method and composition to provide a layer with uniform etch characteristics
Watts et al. Method to reduce adhesion between a conformable region and a pattern of a mold
Watts et al. Methods for fabricating patterned features utilizing imprint lithography

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION