US20060235563A1 - Method and apparatus for providing intra-tool monitoring and control - Google Patents

Method and apparatus for providing intra-tool monitoring and control Download PDF

Info

Publication number
US20060235563A1
US20060235563A1 US11/420,916 US42091606A US2006235563A1 US 20060235563 A1 US20060235563 A1 US 20060235563A1 US 42091606 A US42091606 A US 42091606A US 2006235563 A1 US2006235563 A1 US 2006235563A1
Authority
US
United States
Prior art keywords
tool
semiconductor wafer
control parameters
response
barrier
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/420,916
Inventor
Suketu Parikh
Robin Cheung
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US11/420,916 priority Critical patent/US20060235563A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHEUNG, ROBIN, PARIKH, SUKETU
Publication of US20060235563A1 publication Critical patent/US20060235563A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • G05B19/41865Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM] characterised by job scheduling, process planning, material flow
    • G05B19/4187Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM] characterised by job scheduling, process planning, material flow by tool management
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • G05B19/41875Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM] characterised by quality surveillance of production
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32179Quality control, monitor production tool with multiple sensors
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32182If state of tool, product deviates from standard, adjust system, feedback
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Definitions

  • the present invention generally relates to semiconductor wafer processing systems and, more particularly, the invention relates to a method and apparatus for monitoring and controlling a plurality of tools within a semiconductor wafer processing system.
  • Semiconductor wafer processing systems generally comprise a plurality of distinct tools for performing certain process steps on a wafer (or other form of substrate) to create integrated circuits (or other forms of micro-electronic circuits). Additional tools comprise metrology stations that are used for testing wafers in-between process steps. Generally, the metrology testing is performed to determine the specific accuracy and efficacy of the processes conducted by a particular tool. Depending upon the results of the metrology testing, certain parameters of a particular tool may be adjusted to facilitate improving the function of the tool. However, in such systems the metrology monitoring and control processing does not consider the interactions of multiple tools upon wafer processing.
  • the metrology station measuring wafers at the output of a first tool may determine that the wafers are within tolerances for the particular process conducted in the first tool, while the metrology station measuring wafers at the output of a second tool may find that those wafers are within tolerances for processing from the second tool.
  • the combination of the inaccuracies in the first tool and the second tool may cause the processing of the wafer to inaccurately form integrated circuits on the wafer.
  • the present invention generally provides a method and apparatus for performing intra-tool monitoring and control within a multi-step processing system.
  • the method monitors the processing of a workpiece as the workpiece is processed by independently operating processing tools and produces control parameters for the various independently operating processing tools to optimize the processing of the workpiece.
  • the invention provides one or more metrology stations that can be used between processing steps of each tool in a plurality of tools such that measurements can be made on wafers as they are passed from one tool to another providing intra-tool monitoring.
  • the data collected by the metrology station is coupled to a metrology data analyzer, which determines whether any of the plurality of wafer processing tools should be adjusted to improve the processing of the overall wafer.
  • the output of the metrology data analyzer provides control parameters to process controllers connected to each of the tools within the semiconductor wafer processing system. Consequently, the metrology station(s) and the metrology data analyzer provide both feed-forward and feedback data to control the tools based upon information that is gathered within the metrology station at specific instances in time or after particular process steps.
  • FIG. 1 is a block diagram of a semiconductor wafer processing system utilizing the present invention
  • FIG. 2 is a flow diagram of a process in accordance with the present invention.
  • FIG. 3 depicts a flow diagram of a process for manufacturing a copper interconnect in accordance with the present invention.
  • FIG. 1 depicts a block diagram of a semiconductor wafer processing system 100 comprising a plurality of tools 102 , 104 , 106 for processing semiconductor wafers in a serial manner, a plurality of processor controllers 108 , 110 , 112 , one or more metrology stations 114 and a metrology data analyzer 116 .
  • Each process controller 108 , 110 , and 112 is respectively coupled to a tool 102 , 104 , and 106 .
  • the thick arrows represent wafer movement and the thin arrows represent electrical signals or data signals.
  • a wafer is illustratively placed in tool A 102 and processed, then passed to tool B 104 and then to tool C 106 .
  • the completed wafer containing certain integrated circuits or intermediate structures for producing integrated circuits is output from tool C 106 .
  • the tools are independently operating tools such as etch chambers, electrochemical plating (ECP) cells, chemical-mechanical polishing (CMP) tools, and deposition chambers such as physical vapor deposition (PVD) and chemical vapor deposition (CVD).
  • FIG. 1 the sequential ordering of the three tools shown in FIG. 1 is only illustrative of the invention and wafers during processing may be passed in both directions within the semiconductor wafer processing system or additional tools may be used within the system.
  • the illustrative embodiments of the invention are described herein with respect to manufacturing integrated circuits on a semiconductor wafer.
  • the invention is useful many other manufacturing environments where precise control of multi-step processing is required. Such manufacturing may include component machining, microelectronics fabrication, flat panel display fabrication, and the like.
  • the “wafer” in the following descriptions is one embodiment of a workpiece that is sequentially processed in various process steps to fabricate a product.
  • wafers are removed from processing and placed in the metrology station(s) 114 .
  • the wafers are measured to identify whether or not the layers, structures or features are within certain parameters.
  • the wafer selected for the metrology station(s) may be certain types of test wafers such as blanket wafers or patterned wafers that are used for identifying certain anomalies that may occur as a result of processing by one or more of the tools.
  • the wafers being tested may be selected from actual process wafers. Every process wafer or a subset may be tested.
  • the data from the metrology station(s) 114 is coupled to the metrology data analyzer 116 .
  • the metrology data is analyzed to determine if the tools 102 , 104 , 106 need to be adjusted to better process the wafers.
  • the control signals from the metrology data analyzer 116 are coupled to the process controllers 108 , 110 , 112 for each tool 102 , 104 , 106 such that the metrology data analyzer 116 can use data collected from each tool to either feed-forward or feedback control signals to improve processing of the wafers.
  • metrology station(s) 114 may find that tool A 102 is not correctly processing the wafer such that the metrology data analyzer 116 will feedback a signal to the process controller 108 for tool A 102 to correct the error before another wafer is processed. Additionally, the metrology station(s) 114 may also pass information to the metrology data analyzer 116 such that the process controller 110 for tool B 104 may be adjusted to compensate for the errors that were generated in tool A 102 and thus perform a feed-forward process for wafers that had already been processed incorrectly by tool A 102 . In this manner, the invention provides an intra-tool monitoring and control system that can provide both feed-forward and feedback control of tools within a semiconductor wafer processing system.
  • the tools may be integrated with the process controllers and one or more metrology stations may be integrated into one or more of the tools.
  • FIG. 2 depicts a flow diagram of an illustrative process 200 performed by the metrology station 114 and the metrology data analyzer 116 .
  • the process begins at step 202 when a wafer is received by a metrology station.
  • the method 200 queries whether the wafer requires metrology processing for patterned wafers or blanket wafers. A single wafer may have regions that are patterned and other regions that are blanket. As such, each region can be tested separately. If “patterned” is selected, the method proceeds to step 206 where one or more patterned wafer tests are selected to test the particular wafer. The selected patterned wafer test or tests depend on which tool processed the wafer in the last process step.
  • the selected patterned wafer test or tests are performed. If multiple tests are selected, each test is performed sequentially.
  • Such patterned wafer testing includes:
  • Blanket wafer tests include:
  • the method generates process control parameters for either feedback or feed-forward to the various process controllers.
  • the process parameters may be changed to improve wafer processing.
  • the deposition process can be controlled by controlling power, pressure, bias, time of gas flows and the like to change the thickness or side wall coverage.
  • ECP electrochemical plating
  • CMP chemical-mechanical polishing
  • the process can be controlled to minimize copper loss and achieve controlled thickness including controlling total pressure, radial pressure, slurry flow, rotation speed and time of CMP processing. Defects that are discovered in metrology testing can be controlled by eliminating some of the residue and particles produced in a prior process step by polishing or a longer cleaning period.
  • each wafer is moved to a metrology station after ECP deposition of a copper layer.
  • the metrology station performs a blanket test to measure the thickness and uniformity of the copper layer.
  • the measurement results are processed to produce knowledge of the copper layer thickness a various locations on the wafer.
  • the process 200 generates control parameters for a CMP tool that will optimize the polishing of the copper layer with respect to the known thickness and uniformity.
  • the control parameters include radial pressure profile (e.g., CMP pad pressure from center to edge) and the rotational speed of the polishing pad.
  • the polished wafer is moved to the next process tool.
  • FIG. 3 depicts a flow diagram of a method 300 representing a specific application of the invention in controlling the thickness of a copper interconnect.
  • the process steps to be performed to produce a copper interconnect include etching a trench in the wafer (step 302 ), depositing a barrier layer of TaN and depositing a copper seed layer (step 304 ), depositing a copper layer (step 306 ) and then polishing the deposited copper back to the TaN (step 308 ) to form a copper interconnect in the trench.
  • a metrology station measures the thickness of the layers at step 310 .
  • the results of the thickness measurements are used to produce control signals that are coupled to the deposition step 304 and the ECP step 306 .
  • the barrier and seed layer deposition can be optimized for the next wafer and the ECP process can be used to compensate for anomalies in the seed layer thickness.
  • the copper thickness and resistivity can be measured at step 312 .
  • the results are used to adjust the deposition step 304 and the ECP step 306 to correct any anomalies.
  • the results are also used to control the CMP process 308 .
  • the CMP step 308 can be used to correct the non-uniformity by adjusting the polishing rate, duration, slurry or other parameters.
  • metrology station measures the copper interconnect uniformity, residue remaining on the wafer and defects.
  • the defects may be processed by a defect source identifier (as described in commonly assigned U.S. patent application Ser. No. 09/905,607, filed Jul. 13, 2001, (Attorney Docket 4748 FET/MDR) or other know defect analysis system that can identify defect sources. This information is used to adjust the deposition step 304 , the ECP step 306 and the CMP step 308 to optimize the processing of any new wafers as well as those in any intermediate step.
  • the deposition step 304 may be preceded by a metrology station measurement (step 316 ) that tests the geometry of the trench, e.g., depth, slope and the like. These measurements can be used to optimize any one or all of the following steps (e.g., steps 304 , 306 , and 308 ) in view of the trench geometry.

Abstract

An apparatus for performing intra-tool monitoring and control within a multi-step processing system. The apparatus provides a metrology station located between each of a plurality of semiconductor wafer processing tools such that measurements can be made on wafers as they are passed from one tool to another providing intra tool monitoring. The data collected by the metrology station is coupled to a metrology data analyzer, which determines whether any of the plurality of wafer processing tools should be adjusted to improve the processing of the overall wafer. As such, the output of the metrology data analyzer provides control parameters to process controllers connected controllers connected to each of the tools within the semiconductor wafer processing system. Consequently, the operation of the metrology stations and the metrology data analyzer provides both feed forward and feed back data to control the tools based upon certain information that is gathered within the metrology station.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • This application is a divisional of co-pending U.S. patent application Ser. No. 10/804,324, filed Mar. 19, 2004 (APPM/5865D01), which is a divisional of co-pending U.S. patent application Ser. No. 09/939,073, filed Aug. 24, 2001 (APPM/5865), both of which are hereby incorporated by reference in their entireties.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention generally relates to semiconductor wafer processing systems and, more particularly, the invention relates to a method and apparatus for monitoring and controlling a plurality of tools within a semiconductor wafer processing system.
  • 2. Description of the Related Art
  • Semiconductor wafer processing systems generally comprise a plurality of distinct tools for performing certain process steps on a wafer (or other form of substrate) to create integrated circuits (or other forms of micro-electronic circuits). Additional tools comprise metrology stations that are used for testing wafers in-between process steps. Generally, the metrology testing is performed to determine the specific accuracy and efficacy of the processes conducted by a particular tool. Depending upon the results of the metrology testing, certain parameters of a particular tool may be adjusted to facilitate improving the function of the tool. However, in such systems the metrology monitoring and control processing does not consider the interactions of multiple tools upon wafer processing. As such, the metrology station measuring wafers at the output of a first tool may determine that the wafers are within tolerances for the particular process conducted in the first tool, while the metrology station measuring wafers at the output of a second tool may find that those wafers are within tolerances for processing from the second tool. However, the combination of the inaccuracies in the first tool and the second tool may cause the processing of the wafer to inaccurately form integrated circuits on the wafer.
  • Therefore, there is a need in the art for a method and apparatus that provides intra-tool monitoring and control to more effectively process semiconductor wafers.
  • SUMMARY OF THE INVENTION
  • The present invention generally provides a method and apparatus for performing intra-tool monitoring and control within a multi-step processing system. The method monitors the processing of a workpiece as the workpiece is processed by independently operating processing tools and produces control parameters for the various independently operating processing tools to optimize the processing of the workpiece.
  • More specifically, the invention provides one or more metrology stations that can be used between processing steps of each tool in a plurality of tools such that measurements can be made on wafers as they are passed from one tool to another providing intra-tool monitoring. The data collected by the metrology station is coupled to a metrology data analyzer, which determines whether any of the plurality of wafer processing tools should be adjusted to improve the processing of the overall wafer. As such, the output of the metrology data analyzer provides control parameters to process controllers connected to each of the tools within the semiconductor wafer processing system. Consequently, the metrology station(s) and the metrology data analyzer provide both feed-forward and feedback data to control the tools based upon information that is gathered within the metrology station at specific instances in time or after particular process steps.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the present invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings.
  • It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIG. 1 is a block diagram of a semiconductor wafer processing system utilizing the present invention;
  • FIG. 2 is a flow diagram of a process in accordance with the present invention;
  • FIG. 3 depicts a flow diagram of a process for manufacturing a copper interconnect in accordance with the present invention.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • FIG. 1 depicts a block diagram of a semiconductor wafer processing system 100 comprising a plurality of tools 102, 104, 106 for processing semiconductor wafers in a serial manner, a plurality of processor controllers 108, 110, 112, one or more metrology stations 114 and a metrology data analyzer 116. Each process controller 108, 110, and 112 is respectively coupled to a tool 102, 104, and 106. In FIG. 1 (and FIG. 3 below) the thick arrows represent wafer movement and the thin arrows represent electrical signals or data signals. To process a wafer, a wafer is illustratively placed in tool A 102 and processed, then passed to tool B 104 and then to tool C 106. The completed wafer containing certain integrated circuits or intermediate structures for producing integrated circuits is output from tool C 106. Generally, the tools are independently operating tools such as etch chambers, electrochemical plating (ECP) cells, chemical-mechanical polishing (CMP) tools, and deposition chambers such as physical vapor deposition (PVD) and chemical vapor deposition (CVD).
  • Those skilled in the art will realize that the sequential ordering of the three tools shown in FIG. 1 is only illustrative of the invention and wafers during processing may be passed in both directions within the semiconductor wafer processing system or additional tools may be used within the system. Furthermore, the illustrative embodiments of the invention are described herein with respect to manufacturing integrated circuits on a semiconductor wafer. However, the invention is useful many other manufacturing environments where precise control of multi-step processing is required. Such manufacturing may include component machining, microelectronics fabrication, flat panel display fabrication, and the like. As such, the “wafer” in the following descriptions is one embodiment of a workpiece that is sequentially processed in various process steps to fabricate a product.
  • During intermediate process steps, wafers are removed from processing and placed in the metrology station(s) 114. Within the metrology station or stations 114, the wafers are measured to identify whether or not the layers, structures or features are within certain parameters. The wafer selected for the metrology station(s) may be certain types of test wafers such as blanket wafers or patterned wafers that are used for identifying certain anomalies that may occur as a result of processing by one or more of the tools. Alternatively, the wafers being tested may be selected from actual process wafers. Every process wafer or a subset may be tested.
  • The data from the metrology station(s) 114 is coupled to the metrology data analyzer 116. The metrology data is analyzed to determine if the tools 102, 104, 106 need to be adjusted to better process the wafers. The control signals from the metrology data analyzer 116 are coupled to the process controllers 108, 110, 112 for each tool 102, 104, 106 such that the metrology data analyzer 116 can use data collected from each tool to either feed-forward or feedback control signals to improve processing of the wafers.
  • Consequently, metrology station(s) 114 may find that tool A 102 is not correctly processing the wafer such that the metrology data analyzer 116 will feedback a signal to the process controller 108 for tool A 102 to correct the error before another wafer is processed. Additionally, the metrology station(s) 114 may also pass information to the metrology data analyzer 116 such that the process controller 110 for tool B 104 may be adjusted to compensate for the errors that were generated in tool A 102 and thus perform a feed-forward process for wafers that had already been processed incorrectly by tool A 102. In this manner, the invention provides an intra-tool monitoring and control system that can provide both feed-forward and feedback control of tools within a semiconductor wafer processing system.
  • The foregoing description describes the tools, processes controllers and metrology stations as being separate physical elements. In practical systems, the tools may be integrated with the process controllers and one or more metrology stations may be integrated into one or more of the tools.
  • FIG. 2 depicts a flow diagram of an illustrative process 200 performed by the metrology station 114 and the metrology data analyzer 116. The process begins at step 202 when a wafer is received by a metrology station. At step 204, the method 200 queries whether the wafer requires metrology processing for patterned wafers or blanket wafers. A single wafer may have regions that are patterned and other regions that are blanket. As such, each region can be tested separately. If “patterned” is selected, the method proceeds to step 206 where one or more patterned wafer tests are selected to test the particular wafer. The selected patterned wafer test or tests depend on which tool processed the wafer in the last process step. At step 208, the selected patterned wafer test or tests are performed. If multiple tests are selected, each test is performed sequentially. Such patterned wafer testing includes:
      • 1. Barrier seed step coverage of a trench and via having a specific size aspect ratio.
      • 2. ECP gap fill based in a standard trench and via structure to detect voids.
      • 3. ECP planarization in a particular trench/via structure.
      • 4. CMP dishing and erosion in standard pattern structure (trenches with varying line width and spaces).
      • 5. Copper thickness for various lines.
      • 6. Trench depth after trench etch and dielectric constant after processing.
      • 7. Residual metal on a comb structure.
      • 8. Via or snake open in a standard structure—based on a voltage contrast or two-probe measurement.
  • If, at step 204, a blanket wafer is to be tested, then at step 210 the method 200 selects one or more blanket wafer tests. At step 212, the blanket wafer test(s) are performed. Blanket wafer tests include:
      • 9. Barrier thickness.
      • 10. Copper seed (CVD or PVD) thickness.
      • 11. ECP copper thickness and bulk resistance.
      • 12. Copper thickness.
      • 13. Dielectric thickness, dielectric constant.
      • 14. Defects such as particles, residue and systematic process defects.
  • Once the test results are produced, those test results are processed in step 214. At step 216, the method generates process control parameters for either feedback or feed-forward to the various process controllers. The process parameters may be changed to improve wafer processing. For example, in generating a barrier layer and a seed layer, the deposition process can be controlled by controlling power, pressure, bias, time of gas flows and the like to change the thickness or side wall coverage. In an electrochemical plating (ECP) gap fill process, the electroless thickness, patch thickness, current or pulse sequence, or additives to compensate for voids or planarization issues. In a chemical-mechanical polishing (CMP) process, the process can be controlled to minimize copper loss and achieve controlled thickness including controlling total pressure, radial pressure, slurry flow, rotation speed and time of CMP processing. Defects that are discovered in metrology testing can be controlled by eliminating some of the residue and particles produced in a prior process step by polishing or a longer cleaning period.
  • At step 218, the wafers removed from the metrology station and either discarded or moved to the next tool in the process sequence.
  • In one specific example, at step 202, each wafer is moved to a metrology station after ECP deposition of a copper layer. At steps 204, 210 and 212, the metrology station performs a blanket test to measure the thickness and uniformity of the copper layer. At step 214, the measurement results are processed to produce knowledge of the copper layer thickness a various locations on the wafer. At step 216, the process 200 generates control parameters for a CMP tool that will optimize the polishing of the copper layer with respect to the known thickness and uniformity. The control parameters include radial pressure profile (e.g., CMP pad pressure from center to edge) and the rotational speed of the polishing pad. At step 218, the polished wafer is moved to the next process tool.
  • FIG. 3 depicts a flow diagram of a method 300 representing a specific application of the invention in controlling the thickness of a copper interconnect. The process steps to be performed to produce a copper interconnect include etching a trench in the wafer (step 302), depositing a barrier layer of TaN and depositing a copper seed layer (step 304), depositing a copper layer (step 306) and then polishing the deposited copper back to the TaN (step 308) to form a copper interconnect in the trench.
  • After the barrier and seed layers are deposited, a metrology station measures the thickness of the layers at step 310. The results of the thickness measurements are used to produce control signals that are coupled to the deposition step 304 and the ECP step 306. In this manner, the barrier and seed layer deposition can be optimized for the next wafer and the ECP process can be used to compensate for anomalies in the seed layer thickness.
  • After ECP processing at step 306, the copper thickness and resistivity can be measured at step 312. The results are used to adjust the deposition step 304 and the ECP step 306 to correct any anomalies. The results are also used to control the CMP process 308. As such, if the copper thickness was not uniform after ECP step 306, the CMP step 308 can be used to correct the non-uniformity by adjusting the polishing rate, duration, slurry or other parameters.
  • Once the wafer exits the CMP step 308, metrology station measures the copper interconnect uniformity, residue remaining on the wafer and defects. The defects may be processed by a defect source identifier (as described in commonly assigned U.S. patent application Ser. No. 09/905,607, filed Jul. 13, 2001, (Attorney Docket 4748 FET/MDR) or other know defect analysis system that can identify defect sources. This information is used to adjust the deposition step 304, the ECP step 306 and the CMP step 308 to optimize the processing of any new wafers as well as those in any intermediate step.
  • To further enhance the processing the deposition step 304 may be preceded by a metrology station measurement (step 316) that tests the geometry of the trench, e.g., depth, slope and the like. These measurements can be used to optimize any one or all of the following steps (e.g., steps 304, 306, and 308) in view of the trench geometry.
  • While the foregoing is directed to the preferred embodiment of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (20)

1. Apparatus for monitoring and controlling a multi-step semiconductor wafer processing system comprising:
a plurality of independently operating processing tools;
at least one metrology station for testing a semiconductor wafer after one or more process steps are performed by the plurality of independently operating processing tools;
a metrology data analyzer for analyzing data produced by the at least one metrology station and producing control parameters for said plurality of independently operating processing tools; and
a plurality of process controllers for selectively applying the control parameters to the plurality of independently operating processing tools.
2. The apparatus of claim 1 wherein said at least one metrology station performs blanket and patterned wafer tests.
3. The apparatus of claim 1 wherein the independently operating processing tools comprise one or more of:
an etch chamber, a chemical-mechanical polishing tool, an electrochemical plating cell, a physical vapor deposition chamber and a chemical vapor deposition chamber.
4. The apparatus of claim 1 wherein the multi-step semiconductor wafer processing system produces a copper interconnect using independently operating processing tools comprising:
a barrier and seed layer deposition tool, an electrochemical plating cell and a chemical-mechanical polishing tool.
5. The apparatus of claim 4 further comprising a controller containing a computer-readable medium having stored thereon a plurality of instructions, the plurality of instructions including instructions which, when executed by the controller, cause the multi-step semiconductor wafer processing system to perform the steps of:
depositing a barrier and seed layer within a trench formed in the semiconductor wafer;
generating, in response to deposition results, first control parameters;
performing electrochemical plating to deposit a copper layer upon the barrier and seed layer;
generating, in response to plating results, second control parameters;
polishing upon the copper layer;
generating, in response to polishing results, third control parameters; and
controlling at least one of the polishing process in response to the first control parameters or the deposition process in response to the third control parameters.
6. The apparatus of claim 5, wherein the computer-readable medium further contains instructions, which, when executed by the controller, cause the multi-step semiconductor wafer processing system to perform the steps of:
etching the trench into the semiconductor wafer;
testing a trench geometry;
generating, in response to the trench geometry, fourth control parameters for the electrochemical plating tool, the barrier and seed layer deposition tool, and the chemical-mechanical polishing tool; and
using the fourth control parameters to process the semiconductor wafer having the trench geometry.
7. The apparatus of claim 5, wherein the computer-readable medium further contains instructions, which, when executed by the controller, cause the multi-step semiconductor wafer processing system to perform the steps of:
controlling at least one of control radial pressure profile and the rotational speed of the polishing pad in response to at least one of the first, second or third control parameters.
8. The apparatus of claim 5, wherein the computer-readable medium further contains instructions, which, when executed by the controller, cause the multi-step semiconductor wafer processing system to perform the steps of:
setting at least one of total pressure, radial pressure, slurry flow, rotation speed and time of CMP processing in response to at least one of the first, second or third control parameters.
9. The apparatus of claim 5, wherein the computer-readable medium further contains instructions, which, when executed by the controller, cause the multi-step semiconductor wafer processing system to perform the steps of:
controlling at least one of power, pressure, bias, time of gas flows to change deposition thickness in response to at least one of the first, second or third control parameters.
10. The apparatus of claim 5, wherein the computer-readable medium further contains instructions, which, when executed by the controller, cause the multi-step semiconductor wafer processing system to perform the steps of:
controlling at least one of electroless thickness, patch thickness, current or pulse sequence, or additives to compensate for at least one of voids or planarization issues.
11. The apparatus of claim 5, wherein the computer-readable medium further contains instructions, which, when executed by the controller, cause the multi-step semiconductor wafer processing system to perform the steps of:
tuning plating parameters of subsequently plated wafers in response to gap fill information.
12. The apparatus of claim 11, wherein the computer-readable medium further contains instructions, which, when executed by the controller, cause the multi-step semiconductor wafer processing system to perform the steps of:
controlling at least one of current density, rotation speed, and anode to wafer distance in response to the gap fill information.
13. The apparatus of claim 11, wherein the computer-readable medium further contains instructions, which, when executed by the controller, cause the multi-step semiconductor wafer processing system to perform the steps of:
generating control parameters selected from the group consisting of barrier seed step coverage of a trench and via having a specific size aspect ratio, gap fill, void detection, planarization, dishing, erosion, copper thickness, trench depth, dielectric constant, residual metal on a comb structure, via or snake open in a standard structure based on a voltage contrast or two-probe measurement, barrier thickness, copper seed thickness, copper thickness, copper bulk resistance, dielectric thickness, dielectric constant, presence of particles, presence of residue and systematic process defects.
14. The apparatus of claim 4 further comprising a controller containing a computer-readable medium having stored thereon a plurality of instructions, the plurality of instructions including instructions which, when executed by the controller, cause the multi-step semiconductor wafer processing system to perform the steps of:
depositing a barrier and seed layer within a trench formed in the semiconductor wafer;
testing a barrier and seed layer thickness;
generating, in response to the barrier and seed layer thickness, first control parameters for the electrochemical plating tool and the barrier and seed layer deposition tool;
performing electrochemical plating to deposit a copper layer upon the barrier and seed layer in accordance with the control parameters;
testing at least one of copper thickness and resistivity;
generating, in response to the testing at least one of copper thickness and resistivity, second control parameters for the electrochemical plating tool, the barrier and seed layer deposition tool, and the chemical-mechanical polishing tool;
performing chemical-mechanical polishing upon the copper layer in accordance with the second control parameters;
testing a copper uniformity and residue of the polished semiconductor wafer;
generating, in response to the copper uniformity and residue, third control parameters for the electrochemical plating tool, the barrier and seed layer deposition tool, and the chemical-mechanical polishing tool; and
using the third control parameters in processing subsequent semiconductor wafers.
15. The apparatus of claim 14, wherein the computer-readable medium further contains instructions, which, when executed by the controller, cause the multi-step semiconductor wafer processing system to perform the steps of:
etching the trench into the semiconductor wafer;
testing a trench geometry;
generating, in response to the trench geometry, fourth control parameters for the electrochemical plating tool, the barrier and seed layer deposition tool, and the chemical-mechanical polishing tool; and
using the fourth control parameters to process the semiconductor wafer having the trench geometry.
16. The apparatus of claim 14, wherein the computer-readable medium further contains instructions, which, when executed by the controller, cause the multi-step semiconductor wafer processing system to perform the steps of:
setting at least one of control radial pressure profile and the rotational speed of the polishing pad in response to at least one of the second or third control parameters.
17. The apparatus of claim 14, wherein the computer-readable medium further contains instructions, which, when executed by the controller, cause the multi-step semiconductor wafer processing system to perform the steps of:
setting at least one of total pressure, radial pressure, slurry flow, rotation speed and time of CMP processing in response to at least one of the first or third control parameters; and
controlling at least one of power, pressure, bias, time of gas flows to change deposition thickness in response to at least one of the first, second or third control parameters.
18. The apparatus of claim 14, wherein the computer-readable medium further contains instructions, which, when executed by the controller, cause the multi-step semiconductor wafer processing system to perform the steps of:
tuning plating parameters of subsequently plated wafers in response to gap fill information.
19. An apparatus comprising:
a barrier and seed layer deposition tool;
a electrochemical plating tool;
a chemical-mechanical polishing tool;
at least one metrology station for testing a semiconductor wafer after one or more process steps are performed by the deposition tool, plating tool and the polishing tool;
a controller interfaced with the at least one metrology station, the deposition tool, plating tool and the polishing tool; and
a computer-readable medium having stored thereon a plurality of instructions, the plurality of instructions including instructions which, when executed by the controller, cause the deposition tool, plating tool and the polishing tool to perform the steps of:
depositing a barrier and seed layer within a trench formed in the semiconductor wafer;
generating, in response to deposition results, first control parameters;
performing electrochemical plating to deposit a copper layer upon the barrier and seed layer;
polishing upon the copper layer; and
controlling the polishing process in response to the first control parameters.
20. An apparatus comprising:
a barrier and seed layer deposition tool;
a electrochemical plating tool;
a chemical-mechanical polishing tool;
at least one metrology station for testing a semiconductor wafer after one or more process steps are performed by the deposition tool, plating tool and the polishing tool;
a controller interfaced with the at least one metrology station, the deposition tool, plating tool and the polishing tool; and
depositing a barrier and seed layer within a trench formed in the semiconductor wafer;
performing electrochemical plating to deposit a copper layer upon the barrier and seed layer;
polishing upon the copper layer;
generating, in response to polishing results, first control parameters; and
controlling the deposition process in response to the first control parameters.
US11/420,916 2001-08-24 2006-05-30 Method and apparatus for providing intra-tool monitoring and control Abandoned US20060235563A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/420,916 US20060235563A1 (en) 2001-08-24 2006-05-30 Method and apparatus for providing intra-tool monitoring and control

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/939,073 US6842659B2 (en) 2001-08-24 2001-08-24 Method and apparatus for providing intra-tool monitoring and control
US10/804,324 US7074626B2 (en) 2001-08-24 2004-03-19 Method and apparatus for providing intra-tool monitoring and control
US11/420,916 US20060235563A1 (en) 2001-08-24 2006-05-30 Method and apparatus for providing intra-tool monitoring and control

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/804,324 Division US7074626B2 (en) 2001-08-24 2004-03-19 Method and apparatus for providing intra-tool monitoring and control

Publications (1)

Publication Number Publication Date
US20060235563A1 true US20060235563A1 (en) 2006-10-19

Family

ID=25472502

Family Applications (3)

Application Number Title Priority Date Filing Date
US09/939,073 Expired - Fee Related US6842659B2 (en) 2001-08-24 2001-08-24 Method and apparatus for providing intra-tool monitoring and control
US10/804,324 Expired - Lifetime US7074626B2 (en) 2001-08-24 2004-03-19 Method and apparatus for providing intra-tool monitoring and control
US11/420,916 Abandoned US20060235563A1 (en) 2001-08-24 2006-05-30 Method and apparatus for providing intra-tool monitoring and control

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US09/939,073 Expired - Fee Related US6842659B2 (en) 2001-08-24 2001-08-24 Method and apparatus for providing intra-tool monitoring and control
US10/804,324 Expired - Lifetime US7074626B2 (en) 2001-08-24 2004-03-19 Method and apparatus for providing intra-tool monitoring and control

Country Status (1)

Country Link
US (3) US6842659B2 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080140590A1 (en) * 2006-12-12 2008-06-12 Taiwan Semiconductor Manufacturing Co., Ltd. Process control integration systems and methods
US20110313562A1 (en) * 2008-12-24 2011-12-22 Canon Anelva Corporation Data collection system for vacuum processing apparatus
US20200348633A1 (en) * 2018-01-10 2020-11-05 Spiro Control Ltd Process control system and method
US11049770B2 (en) 2019-03-24 2021-06-29 Applied Materials, Inc. Methods and apparatus for fabrication of self aligning interconnect structure

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4884594B2 (en) * 2001-03-22 2012-02-29 東京エレクトロン株式会社 Semiconductor manufacturing apparatus and control method
US7047099B2 (en) * 2001-06-19 2006-05-16 Applied Materials Inc. Integrating tool, module, and fab level control
US7082345B2 (en) * 2001-06-19 2006-07-25 Applied Materials, Inc. Method, system and medium for process control for the matching of tools, chambers and/or other semiconductor-related entities
US6999835B2 (en) * 2001-07-23 2006-02-14 Fuji Machine Mfg. Co., Ltd. Circuit-substrate working system and electronic-circuit fabricating process
JP4751538B2 (en) * 2001-08-28 2011-08-17 東京エレクトロン株式会社 Processing system
US6718224B2 (en) * 2001-09-17 2004-04-06 Yield Dynamics, Inc. System and method for estimating error in a manufacturing process
US6706158B2 (en) * 2001-09-28 2004-03-16 Intel Corporation Electrochemical mechanical planarization
US6708075B2 (en) * 2001-11-16 2004-03-16 Advanced Micro Devices Method and apparatus for utilizing integrated metrology data as feed-forward data
WO2003095669A1 (en) * 2002-05-10 2003-11-20 The Texas A & M University System Stochastic sensing through covalent interactions
US20040007325A1 (en) * 2002-06-11 2004-01-15 Applied Materials, Inc. Integrated equipment set for forming a low K dielectric interconnect on a substrate
WO2004048038A1 (en) * 2002-11-22 2004-06-10 Applied Materials Inc. Methods and apparatus for polishing control
US20060043071A1 (en) * 2004-09-02 2006-03-02 Liang-Lun Lee System and method for process control using in-situ thickness measurement
US7296103B1 (en) * 2004-10-05 2007-11-13 Advanced Micro Devices, Inc. Method and system for dynamically selecting wafer lots for metrology processing
US20060129263A1 (en) * 2004-12-13 2006-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for controlling tool process parameters
US7065425B1 (en) * 2005-06-22 2006-06-20 Internaitonal Business Machines Corporation Metrology tool error log analysis methodology and system
US20070082479A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Chemical mechanical polishing techniques for integrated circuit fabrication
US7672749B1 (en) * 2005-12-16 2010-03-02 GlobalFoundries, Inc. Method and apparatus for hierarchical process control
US7781154B2 (en) * 2006-03-28 2010-08-24 Applied Materials, Inc. Method of forming damascene structure
US20070227633A1 (en) * 2006-04-04 2007-10-04 Basol Bulent M Composition control for roll-to-roll processed photovoltaic films
US7618889B2 (en) * 2006-07-18 2009-11-17 Applied Materials, Inc. Dual damascene fabrication with low k materials
US20080124924A1 (en) * 2006-07-18 2008-05-29 Applied Materials, Inc. Scheme for copper filling in vias and trenches
US7571070B2 (en) * 2006-08-30 2009-08-04 International Business Machines Corporation Measurement system fleet optimization
US20080125883A1 (en) * 2006-09-11 2008-05-29 Christopher Gould Method and apparatus for consolidating process control
US20100044943A1 (en) * 2007-03-27 2010-02-25 Koninklijke Philips Electronics N.V. Split axes stage design for semiconductor applications
GB2452320B (en) * 2007-09-03 2012-04-11 Dek Int Gmbh Workpiece processing system and method
JP5313474B2 (en) * 2007-09-28 2013-10-09 スパンション エルエルシー Semiconductor device and manufacturing method thereof
DE102008053765A1 (en) * 2008-10-21 2010-04-22 Khs Ag Method for controlling a plant
NL2006321A (en) * 2010-03-19 2011-09-20 Asml Netherlands Bv Control method and apparatus.
US8549012B2 (en) * 2010-05-12 2013-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Processing exception handling
CN102154670B (en) * 2011-03-17 2016-01-27 上海集成电路研发中心有限公司 Electrocoppering method
US8728934B2 (en) 2011-06-24 2014-05-20 Tessera, Inc. Systems and methods for producing flat surfaces in interconnect structures
CN103019193B (en) * 2013-01-22 2015-06-24 国电南瑞南京控制系统有限公司 Monitoring control method of multi-type steering supports in photovoltaic power station
US9437479B2 (en) 2013-11-19 2016-09-06 Applied Materials, Inc. Methods for forming an interconnect pattern on a substrate
GB201708730D0 (en) 2017-06-01 2017-07-19 Renishaw Plc Production and measurement of work workpieces
CN109585315B (en) * 2017-09-29 2020-11-03 联华电子股份有限公司 Method for manufacturing semiconductor structure
GB201721309D0 (en) 2017-12-19 2018-01-31 Renishaw Plc Production and measurement of workpieces
CN109901514B (en) * 2019-03-21 2021-09-17 西北工业大学 Complex part numerical control process optimization and adjustment method oriented to process reuse

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4411982A (en) * 1979-09-26 1983-10-25 Matsushita Electric Industrial Co., Ltd. Method of making flexible printed circuits
US5719495A (en) * 1990-12-31 1998-02-17 Texas Instruments Incorporated Apparatus for semiconductor device fabrication diagnosis and prognosis
US5791969A (en) * 1994-11-01 1998-08-11 Lund; Douglas E. System and method of automatically polishing semiconductor wafers
US5966312A (en) * 1995-12-04 1999-10-12 Advanced Micro Devices, Inc. Method for monitoring and analyzing manufacturing processes using statistical simulation with single step feedback
US6110011A (en) * 1997-11-10 2000-08-29 Applied Materials, Inc. Integrated electrodeposition and chemical-mechanical polishing tool
US6132287A (en) * 1997-08-19 2000-10-17 Kuralt; Richard Blake Transforming tracked toy vehicle
US6208751B1 (en) * 1998-03-24 2001-03-27 Applied Materials, Inc. Cluster tool
US6230069B1 (en) * 1998-06-26 2001-05-08 Advanced Micro Devices, Inc. System and method for controlling the manufacture of discrete parts in semiconductor fabrication using model predictive control
US6433561B1 (en) * 1999-12-14 2002-08-13 Kla-Tencor Corporation Methods and apparatus for optimizing semiconductor inspection tools

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU7597798A (en) 1997-05-29 1998-12-30 Paul P. Castrucci Semiconductor wafer processing apparatus and method with defect eradication
US6161054A (en) 1997-09-22 2000-12-12 On-Line Technologies, Inc. Cell control method and apparatus
US6132289A (en) 1998-03-31 2000-10-17 Lam Research Corporation Apparatus and method for film thickness measurement integrated into a wafer load/unload unit
US6228768B1 (en) * 1998-11-02 2001-05-08 Advanced Micro Devices, Inc. Storage-annealing plated CU interconnects
US6010962A (en) * 1999-02-12 2000-01-04 Taiwan Semiconductor Manufacturing Company Copper chemical-mechanical-polishing (CMP) dishing
US6200908B1 (en) 1999-08-04 2001-03-13 Memc Electronic Materials, Inc. Process for reducing waviness in semiconductor wafers
US6225223B1 (en) * 1999-08-16 2001-05-01 Taiwan Semiconductor Manufacturing Company Method to eliminate dishing of copper interconnects
US6610151B1 (en) * 1999-10-02 2003-08-26 Uri Cohen Seed layers for interconnects and methods and apparatus for their fabrication
US6640151B1 (en) * 1999-12-22 2003-10-28 Applied Materials, Inc. Multi-tool control system, method and medium
US6231743B1 (en) * 2000-01-03 2001-05-15 Motorola, Inc. Method for forming a semiconductor device
AU2001259504A1 (en) * 2000-05-24 2001-12-03 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US6489240B1 (en) * 2001-05-31 2002-12-03 Advanced Micro Devices, Inc. Method for forming copper interconnects
US6816806B2 (en) * 2001-05-31 2004-11-09 Veeco Instruments Inc. Method of characterizing a semiconductor surface
US20020192944A1 (en) * 2001-06-13 2002-12-19 Sonderman Thomas J. Method and apparatus for controlling a thickness of a copper film
US6444481B1 (en) * 2001-07-02 2002-09-03 Advanced Micro Devices, Inc. Method and apparatus for controlling a plating process

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4411982A (en) * 1979-09-26 1983-10-25 Matsushita Electric Industrial Co., Ltd. Method of making flexible printed circuits
US5719495A (en) * 1990-12-31 1998-02-17 Texas Instruments Incorporated Apparatus for semiconductor device fabrication diagnosis and prognosis
US5791969A (en) * 1994-11-01 1998-08-11 Lund; Douglas E. System and method of automatically polishing semiconductor wafers
US5966312A (en) * 1995-12-04 1999-10-12 Advanced Micro Devices, Inc. Method for monitoring and analyzing manufacturing processes using statistical simulation with single step feedback
US6132287A (en) * 1997-08-19 2000-10-17 Kuralt; Richard Blake Transforming tracked toy vehicle
US6110011A (en) * 1997-11-10 2000-08-29 Applied Materials, Inc. Integrated electrodeposition and chemical-mechanical polishing tool
US6208751B1 (en) * 1998-03-24 2001-03-27 Applied Materials, Inc. Cluster tool
US6230069B1 (en) * 1998-06-26 2001-05-08 Advanced Micro Devices, Inc. System and method for controlling the manufacture of discrete parts in semiconductor fabrication using model predictive control
US6433561B1 (en) * 1999-12-14 2002-08-13 Kla-Tencor Corporation Methods and apparatus for optimizing semiconductor inspection tools

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080140590A1 (en) * 2006-12-12 2008-06-12 Taiwan Semiconductor Manufacturing Co., Ltd. Process control integration systems and methods
US20110313562A1 (en) * 2008-12-24 2011-12-22 Canon Anelva Corporation Data collection system for vacuum processing apparatus
US9054142B2 (en) * 2008-12-24 2015-06-09 Canon Anelva Corporation Data collection system for vacuum processing apparatus
US20200348633A1 (en) * 2018-01-10 2020-11-05 Spiro Control Ltd Process control system and method
US11625010B2 (en) * 2018-01-10 2023-04-11 Spiro Control Ltd Process control system and method
US11049770B2 (en) 2019-03-24 2021-06-29 Applied Materials, Inc. Methods and apparatus for fabrication of self aligning interconnect structure

Also Published As

Publication number Publication date
US20040173464A1 (en) 2004-09-09
US20030040830A1 (en) 2003-02-27
US7074626B2 (en) 2006-07-11
US6842659B2 (en) 2005-01-11

Similar Documents

Publication Publication Date Title
US7074626B2 (en) Method and apparatus for providing intra-tool monitoring and control
EP1050902B1 (en) Method for forming a copper layer over a semiconductor wafer
US6428673B1 (en) Apparatus and method for electrochemical processing of a microelectronic workpiece, capable of modifying processing based on metrology
US6747734B1 (en) Apparatus and method for processing a microelectronic workpiece using metrology
US8927415B2 (en) Graphene barrier layers for interconnects and methods for forming the same
US20060246683A1 (en) Integrated equipment set for forming a low K dielectric interconnect on a substrate
US6912437B2 (en) Method and apparatus for controlling a fabrication process based on a measured electrical characteristic
US20040206621A1 (en) Integrated equipment set for forming a low K dielectric interconnect on a substrate
US6553332B2 (en) Method for evaluating process chambers used for semiconductor manufacturing
US7332360B2 (en) Early detection of metal wiring reliability using a noise spectrum
US6701206B1 (en) Method and system for controlling a process tool
US20050245086A1 (en) Adaptive electropolishing using thickness measurement and removal of barrier and sacrificial layers
US20030074098A1 (en) Integrated equipment set for forming an interconnect on a substrate
US6800494B1 (en) Method and apparatus for controlling copper barrier/seed deposition processes
CN110622288B (en) Monitoring surface oxide on seed layer during electroplating
US20050067290A1 (en) Method and system for automatically controlling a current distribution of a multi-anode arrangement during the plating of a metal on a substrate surface
US20080305563A1 (en) Method and system for controlling copper chemical mechanical polish uniformity
US20050014299A1 (en) Control of metal resistance in semiconductor products via integrated metrology
US20220228287A1 (en) Electrochemical deposition system including optical probes
US20060226014A1 (en) Method and process for improved uniformity of electrochemical plating films produced in semiconductor device processing
KR20060090822A (en) A method and a system for automatically controlling a current distribution of a multi-anode arrangement during the plating of a metal on a substrate surface
US20180366300A1 (en) Plasma power tool matching using dc voltage feedback
Levert et al. A NOVEL SPIN-ETCH PLANARIZATION PROCESS FOR DUAL-DAMASCENE COPPER INTERCONNECTS

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:PARIKH, SUKETU;CHEUNG, ROBIN;REEL/FRAME:017692/0289

Effective date: 20010823

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION