US20060223320A1 - Polishing technique to minimize abrasive removal of material and composition therefor - Google Patents

Polishing technique to minimize abrasive removal of material and composition therefor Download PDF

Info

Publication number
US20060223320A1
US20060223320A1 US11/093,578 US9357805A US2006223320A1 US 20060223320 A1 US20060223320 A1 US 20060223320A1 US 9357805 A US9357805 A US 9357805A US 2006223320 A1 US2006223320 A1 US 2006223320A1
Authority
US
United States
Prior art keywords
composition
recited
corrosion inhibitor
complexing agent
polishing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/093,578
Inventor
Kevin Cooper
Jennifer Cooper
Janos Farkas
John Flake
Johannes Groschopf
Yuri Solomentsev
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
NXP USA Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US11/093,578 priority Critical patent/US20060223320A1/en
Assigned to ADVANCED MICRO DEVICES, INC., FREESCALE SEMICONDUCTOR, INC. reassignment ADVANCED MICRO DEVICES, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: FARKAS, JANOS, FLAKE, JOHN C., COOPER, JENNIFER, Cooper, Kevin E., GROSCHOPF, JOHANNES, SOLOMENTSEV, YURI
Publication of US20060223320A1 publication Critical patent/US20060223320A1/en
Assigned to CITIBANK, N.A. AS COLLATERAL AGENT reassignment CITIBANK, N.A. AS COLLATERAL AGENT SECURITY AGREEMENT Assignors: FREESCALE ACQUISITION CORPORATION, FREESCALE ACQUISITION HOLDINGS CORP., FREESCALE HOLDINGS (BERMUDA) III, LTD., FREESCALE SEMICONDUCTOR, INC.
Assigned to FREESCALE SEMICONDUCTOR, INC. reassignment FREESCALE SEMICONDUCTOR, INC. PATENT RELEASE Assignors: CITIBANK, N.A., AS COLLATERAL AGENT
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • B24B37/044Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor characterised by the composition of the lapping agent
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/04Aqueous dispersions

Definitions

  • the field of invention relates generally to the fabrication of integrated circuits. More particularly, the invention relates to compositions and methods for using polishing layers of material in furtherance of fabricating semiconductor circuits.
  • the fabrication of modern semiconductor devices includes forming multiple layers of conductive and dielectric materials on substrates. To that end two various processes are employed to deposit and to remove material associated with the layer. Exemplary deposition techniques include electrochemical deposition, chemical vapor deposition (CVD), plasma enhanced CVD (PECVD), atomic layer deposition (ALD), physical vapor deposition (PVD) and the like. Exemplary removal techniques include etching, such as chemical or plasma etching, as well as polishing.
  • CVD chemical vapor deposition
  • PECVD plasma enhanced CVD
  • ALD atomic layer deposition
  • PVD physical vapor deposition
  • Exemplary removal techniques include etching, such as chemical or plasma etching, as well as polishing.
  • CMP Chemical-mechanical polishing
  • polishing slurries are well known and widely used techniques for polishing layers to provide the same with a smooth, if not planar, shape.
  • the surface being polished has regions with differing materials present, e.g., materials with differing mechanical properties and chemical reactivity.
  • the removal rate over the surface is not uniform, which makes obtaining the desired planarization of the surface difficult, while minimizing roughness over the area thereof.
  • minimization of dishing is difficult. Dishing results from one of the regions, e.g., the metal region, being removed at a greater rate than the rate at which the other regions of the surface are removed.
  • polishing slurries for use in CMP contain fine, suspended abrasive particles to facilitate mechanical polishing of the surface, as well as acidic or basic chemical components to facilitate chemical polishing of the surface.
  • the rate at which polishing occurs for a given material and operating conditions is related to the quantity of abrasive particles in the slurry.
  • the damage to the surface being polished is also related to the size of the particles in the slurry.
  • Chemical component selection may also dramatically affect polish rate and quality for a given material and operating conditions.
  • FIG. 1 is a cross-sectional view of a chemical-mechanical polishing machine known in the art but which can be used in practicing the present invention
  • FIG. 2 is a schematic cross-sectional view of a chemical-mechanical polishing machine known in the art but which can be used in practicing the present invention
  • FIG. 3 is a cross-sectional view showing an exemplary structure to undergo polishing in accordance with the present invention
  • FIG. 4 is a cross-sectional view showing a slurry composition in accordance with the present invention, being disposed between the exemplary structure of FIG. 3 and a polishing pad of the polishing machine shown in FIG. 1 in accordance with the present invention;
  • FIG. 5 is a cross-sectional view showing the exemplary structure of FIG. 3 having a surface undergoing polishing
  • FIG. 6 is a cross-sectional view showing the exemplary structure of FIG. 3 after polishing in accordance with the present invention.
  • Polishing machine 10 has a platen 12 , a wafer carrier 14 , a polishing pad 16 , and a slurry 18 on polishing pad 16 .
  • An under-pad 20 is typically attached to the upper surface 22 of platen 12 , and polishing pad 16 is positioned on under-pad 20 .
  • a drive assembly 24 rotates platen 12 as indicated by arrow A.
  • drive assembly 24 may cause platen 12 to reciprocate as indicated by arrow B.
  • Wafer carrier 14 has a lower surface 26 to which a wafer 28 may be attached, or wafer 28 may be attached to a resilient pad 30 positioned between wafer 28 and lower surface 26 .
  • Wafer carrier 14 may be a weighted, free-floating wafer carrier, or an actuator assembly 32 may be attached to wafer carrier 14 to impart axial and rotational motion, as indicated by arrows C and D, respectively.
  • Polishing pad 16 may be embodied as a conventional polishing pad, a web-type polishing pad, a belt-type polishing pad, or any other polishing pad format known in the art. Polishing pad 16 may also be employed as a fixed-abrasive polishing pad. Such a fixed-abrasive polishing pad 16 may be impregnated with particulate abrasives including, but not limited to, alumina, titanium dioxide, silicon dioxide, and cerium dioxide. The abrasives in a fixed-abrasive polishing pad 16 are typically leached therefrom during polishing of wafer 28 .
  • an exemplary wafer 28 that undergoes polishing in accordance with the present invention includes a substrate 40 having a recess 42 disposed within a surface 44 .
  • substrate 40 includes a dielectric layer and recess 42 is formed within the dielectric layer.
  • a metal layer 48 such as copper, is disposed on surface 44 and substantially fills recess 42 .
  • a liner 50 is disposed between substrate 40 and metal layer 48 , and is located on surface 44 and surfaces 46 of recess 42 .
  • Wafer 28 may comprise various other layers adjacent to recess 42 , surface 44 , liner 50 , and/or metal layer 48 , but for the purposes of simplicity of discussion, no other such structures are depicted.
  • polishing pad 16 is placed in close proximity to metal layer 48 . Subsequently, polishing pad 16 is brought in frictional contact with metal layer 48 and, in combination with slurry 18 , removes portions of metal layer 48 . To attenuate, if not prevent, “dishing,” portions 52 of metal layer 48 are removed before portions 54 of metal layer 48 , which are more distant from polishing pad 16 . Once metal layer 48 is substantially removed outside the trench region, liner 50 is subsequently removed from surface 44 outside the trench region by continued polishing with slurry 18 and polishing pad 16 .
  • a new surface 144 is defined having first and second regions 146 and 148 .
  • First region 146 is comprised of metal from the remaining portions of metal layer 48 , with second region 148 comprising substrate 40 and liner 50 .
  • surface 144 has varying material properties across an area thereof, with region 146 typically being harder than region 148 , e.g. when region 148 is a dielectric material.
  • the polish rate of region 146 may be greater than the polish rate of region 148 . This may present as “dishing” in which region 146 has a concave shape.
  • the present invention significantly attenuates dishing by changing the rate limiting step of the polishing operation.
  • metal removal is controlled more by dissolution rather than kinetics during polishing of metal layer 48 .
  • dishing may be avoided while at the same time minimizing roughness.
  • the polishing operation can be understood to have two principle operating mechanisms or steps, dissolution and kinetics.
  • the kinetic step of removal can be defined as the reaction to form soluble metal oxides
  • dissolution can be defined as the removal of the metal oxide by dissolving the same in a solvent.
  • copper itself does not dissolve in solvents but copper oxide does.
  • the removal process is principally governed or controlled by the removal of the oxides from the surface by dissolution, and not by kinetics at the metal interface.
  • Kinetic removal of material from surface 144 in accordance with the invention has less of an influence in the polishing rate in large part as a result of providing a neutral pH environment.
  • this is accomplished using a reactive liquid (RL) slurry having a neutral pH.
  • RL slurries are generally characterized by containing little or no abrasives, i.e., particles. Removal of materials is achieved primarily through chemical reaction of the material being polished with the RL slurry components.
  • a composition in accordance with an embodiment of the present invention is provided with a pH that is generally in the range of 5 to 8. Optimal results were achieved using a pH of approximately 7.5. If present at all, particles in the slurry are generally no greater than 250 parts per million of the slurry composition or 0.0025 weight percent. Also included in the composition is a corrosion inhibitor that further minimizes kinetic removal of material from surface 144 during polishing. Other components of the composition may include an oxidizing agent, as well as a complexing agent that controls the rate of dissolution of the material from surface 144 . An exemplary material from which region 146 is formed is copper. As a result, it is desired that the RL composition facilitate removal of copper.
  • An exemplary corrosion inhibitor for the slurry composition may be a triazole-based compound, such as 1,2,4-triazole, C 2 H 3 N 3 , and benzotriazole.
  • Other suitable inhibitors may include imidazole, polyvinylimidazole, theophiline, bipyridyl, mercapto benzothizole,phenyl marcapto tetrazole, or pyrazole compounds.
  • An exemplary oxidizing agent may be hydrogen peroxide, H 2 O 2 .
  • An exemplary complexing agent may be dibasic ammonium citrate, (NH 4 ) 2 HC 6 H 5 O 7 , or more generally ammonium salts of citric, oxalic, tartaric, succinic, or actetic acids.
  • a first embodiment of the present invention may be as follows: COMPOSIITON 1 hydrogen peroxide dibasic ammonium citrate 1,2,4-triazole water Hydrogen peroxide consists of approximately 0.1% to 3%, and more preferably 1% to 3%, by weight of COMPOSITION 1, and dibasic ammonium citrate consists of approximately 0.1% to 12% by weight of COMPOSITION 1. 1,2,4-Triazole consists of approximately 1% to 6% by weight of COMPOSITION 1, with the remaining portion of the COMPOSITION 1 consisting of a carrier including water.
  • a second embodiment of the present invention may be as follows: COMPOSITION 2 hydrogen peroxide dibasic ammonium citrate benzotriazole water Hydrogen peroxide consists of approximately 0.1% to 3%, and more preferably 1% to 3%, by weight of COMPOSITION 2, and dibasic ammonium citrate consists of approximately 0.1% to 12% by weight of COMPOSITION 2. Benzotriazole consists of approximately 0.0001% to 3% by weight of COMPOSITION 2, with the remaining portion of COMPOSITION 2 consisting of a carrier including water.
  • a neutral pH RL slurry of the present invention offers many advantages over conventional slurries, including improved planarity. Specifically, copper is passivated when exposed to neutral pH compositions. It is believed that the passivation of copper during polishing provides improved planarization. Additionally, the neutral pH slurry of the present invention reduces the corrosion of the copper during polishing, thereby minimizing the formation of micro-trenches and minimizing roughness. As a result, the present neutral pH RL slurry provides wider process windows, lower defects, and ease of integration into present copper low-K dielectric layers.
  • COMPOSITIONS 1 and 2 are selected to facilitate planarization of surfaces having copper-containing materials and dielectric-containing materials.
  • other components may be employed dependent upon the materials contained in the layer being polished. Therefore, this invention is not limited to the particular forms illustrated above. Nor is the invention limited or restricted to the particular theories, advantages, or perceived properties disclosed above. Rather, the invention should be defined as set forth in the appended claims and will cover all modifications that do not depart from the scope of this invention.

Abstract

The present invention provides a composition and a method of polishing a surface that minimizes abrasive removal of material from the surface. To that end, the composition is formulated to maximize dissolution of the material from the surface.

Description

    BACKGROUND OF THE INVENTION
  • The field of invention relates generally to the fabrication of integrated circuits. More particularly, the invention relates to compositions and methods for using polishing layers of material in furtherance of fabricating semiconductor circuits.
  • The fabrication of modern semiconductor devices includes forming multiple layers of conductive and dielectric materials on substrates. To that end two various processes are employed to deposit and to remove material associated with the layer. Exemplary deposition techniques include electrochemical deposition, chemical vapor deposition (CVD), plasma enhanced CVD (PECVD), atomic layer deposition (ALD), physical vapor deposition (PVD) and the like. Exemplary removal techniques include etching, such as chemical or plasma etching, as well as polishing.
  • Chemical-mechanical polishing (CMP) methods and polishing slurries are well known and widely used techniques for polishing layers to provide the same with a smooth, if not planar, shape. Often, however, the surface being polished has regions with differing materials present, e.g., materials with differing mechanical properties and chemical reactivity. As a result, the removal rate over the surface is not uniform, which makes obtaining the desired planarization of the surface difficult, while minimizing roughness over the area thereof. For example when polishing a surface having a metal region surrounded by dielectric, minimization of dishing is difficult. Dishing results from one of the regions, e.g., the metal region, being removed at a greater rate than the rate at which the other regions of the surface are removed. This results in a concave region in the metal area, which is often undesirable when a planar shape is desired. To avoid the deleterious effects of CMP of surfaces having regions of differing material properties, various CMP slurries have been developed to obtain desirable CMP characteristics: low polish induced damage, high polishing rate, process predictability, high polished surface uniformity, low polished surface roughness, and the use of non-hazardous, low-cost polish materials.
  • Historically, polishing slurries for use in CMP contain fine, suspended abrasive particles to facilitate mechanical polishing of the surface, as well as acidic or basic chemical components to facilitate chemical polishing of the surface. The rate at which polishing occurs for a given material and operating conditions is related to the quantity of abrasive particles in the slurry. However, the damage to the surface being polished is also related to the size of the particles in the slurry. Chemical component selection may also dramatically affect polish rate and quality for a given material and operating conditions.
  • Additionally, advanced integration schemes, e.g., stacks with ultra low dielectric (ULK) and air gap integration schemes, are often structurally compromised by CMP processes through interfacial stress created during the CMP process, erosion, as well as absorption of CMP slurry chemicals.
  • Therefore, a need exists to provide improved techniques for polishing layers in furtherance of producing semiconductor circuits.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a cross-sectional view of a chemical-mechanical polishing machine known in the art but which can be used in practicing the present invention;
  • FIG. 2 is a schematic cross-sectional view of a chemical-mechanical polishing machine known in the art but which can be used in practicing the present invention;
  • FIG. 3 is a cross-sectional view showing an exemplary structure to undergo polishing in accordance with the present invention;
  • FIG. 4 is a cross-sectional view showing a slurry composition in accordance with the present invention, being disposed between the exemplary structure of FIG. 3 and a polishing pad of the polishing machine shown in FIG. 1 in accordance with the present invention;
  • FIG. 5 is a cross-sectional view showing the exemplary structure of FIG. 3 having a surface undergoing polishing;
  • FIG. 6 is a cross-sectional view showing the exemplary structure of FIG. 3 after polishing in accordance with the present invention; and
  • DETAILED DESCRIPTION OF THE INVENTION
  • Referring to FIGS. 1 and 2, a brief overview of a polishing machine 10 is depicted that may be employed in accordance with the present invention. Polishing machine 10 has a platen 12, a wafer carrier 14, a polishing pad 16, and a slurry 18 on polishing pad 16. An under-pad 20 is typically attached to the upper surface 22 of platen 12, and polishing pad 16 is positioned on under-pad 20. A drive assembly 24 rotates platen 12 as indicated by arrow A. In addition, drive assembly 24 may cause platen 12 to reciprocate as indicated by arrow B. The motion of platen 12 is imparted to polishing pad 16 through under-pad 20 because polishing pad 16 frictionally engages under-pad 20. Wafer carrier 14 has a lower surface 26 to which a wafer 28 may be attached, or wafer 28 may be attached to a resilient pad 30 positioned between wafer 28 and lower surface 26.
  • Wafer carrier 14 may be a weighted, free-floating wafer carrier, or an actuator assembly 32 may be attached to wafer carrier 14 to impart axial and rotational motion, as indicated by arrows C and D, respectively. Polishing pad 16 may be embodied as a conventional polishing pad, a web-type polishing pad, a belt-type polishing pad, or any other polishing pad format known in the art. Polishing pad 16 may also be employed as a fixed-abrasive polishing pad. Such a fixed-abrasive polishing pad 16 may be impregnated with particulate abrasives including, but not limited to, alumina, titanium dioxide, silicon dioxide, and cerium dioxide. The abrasives in a fixed-abrasive polishing pad 16 are typically leached therefrom during polishing of wafer 28.
  • Referring to FIGS. 1 and 3, an exemplary wafer 28 that undergoes polishing in accordance with the present invention includes a substrate 40 having a recess 42 disposed within a surface 44. In a preferred embodiment, substrate 40 includes a dielectric layer and recess 42 is formed within the dielectric layer. A metal layer 48, such as copper, is disposed on surface 44 and substantially fills recess 42. A liner 50 is disposed between substrate 40 and metal layer 48, and is located on surface 44 and surfaces 46 of recess 42. Wafer 28 may comprise various other layers adjacent to recess 42, surface 44, liner 50, and/or metal layer 48, but for the purposes of simplicity of discussion, no other such structures are depicted.
  • Referring to FIGS. 4 and 5, to polish metal layer 48 in accordance with the present invention, slurry 18 is disposed between metal layer 48 and polishing pad 16. Polishing pad 16 is placed in close proximity to metal layer 48. Subsequently, polishing pad 16 is brought in frictional contact with metal layer 48 and, in combination with slurry 18, removes portions of metal layer 48. To attenuate, if not prevent, “dishing,” portions 52 of metal layer 48 are removed before portions 54 of metal layer 48, which are more distant from polishing pad 16. Once metal layer 48 is substantially removed outside the trench region, liner 50 is subsequently removed from surface 44 outside the trench region by continued polishing with slurry 18 and polishing pad 16.
  • Referring to FIG. 6, upon removal of metal layer 48 and liner 50 from outside the trench region, a new surface 144 is defined having first and second regions 146 and 148. First region 146 is comprised of metal from the remaining portions of metal layer 48, with second region 148 comprising substrate 40 and liner 50. As a result, surface 144 has varying material properties across an area thereof, with region 146 typically being harder than region 148, e.g. when region 148 is a dielectric material. As a result, were polishing pad 16 to impart a uniform force against surface 144 for a given slurry composition, the polish rate of region 146 may be greater than the polish rate of region 148. This may present as “dishing” in which region 146 has a concave shape.
  • The present invention, however, significantly attenuates dishing by changing the rate limiting step of the polishing operation. In the present invention, metal removal is controlled more by dissolution rather than kinetics during polishing of metal layer 48. Specifically, it was recognized that by controlling or limiting the removal rate by dissolution from surface 144, dishing may be avoided while at the same time minimizing roughness. For purposes of understanding the present invention, the polishing operation can be understood to have two principle operating mechanisms or steps, dissolution and kinetics. The kinetic step of removal can be defined as the reaction to form soluble metal oxides, while dissolution can be defined as the removal of the metal oxide by dissolving the same in a solvent. In the context of polishing copper, copper itself does not dissolve in solvents but copper oxide does. Thus, to effectively remove copper using solvent containing slurries, one has to first react the copper to form copper oxide. In the present invention, the removal process is principally governed or controlled by the removal of the oxides from the surface by dissolution, and not by kinetics at the metal interface.
  • Kinetic removal of material from surface 144 in accordance with the invention has less of an influence in the polishing rate in large part as a result of providing a neutral pH environment. In a preferred embodiment, this is accomplished using a reactive liquid (RL) slurry having a neutral pH. RL slurries are generally characterized by containing little or no abrasives, i.e., particles. Removal of materials is achieved primarily through chemical reaction of the material being polished with the RL slurry components.
  • More specifically, a composition in accordance with an embodiment of the present invention is provided with a pH that is generally in the range of 5 to 8. Optimal results were achieved using a pH of approximately 7.5. If present at all, particles in the slurry are generally no greater than 250 parts per million of the slurry composition or 0.0025 weight percent. Also included in the composition is a corrosion inhibitor that further minimizes kinetic removal of material from surface 144 during polishing. Other components of the composition may include an oxidizing agent, as well as a complexing agent that controls the rate of dissolution of the material from surface 144. An exemplary material from which region 146 is formed is copper. As a result, it is desired that the RL composition facilitate removal of copper. An exemplary corrosion inhibitor for the slurry composition may be a triazole-based compound, such as 1,2,4-triazole, C2H3N3, and benzotriazole. Other suitable inhibitors may include imidazole, polyvinylimidazole, theophiline, bipyridyl, mercapto benzothizole,phenyl marcapto tetrazole, or pyrazole compounds. An exemplary oxidizing agent may be hydrogen peroxide, H2O2. An exemplary complexing agent may be dibasic ammonium citrate, (NH4)2HC6H5O7, or more generally ammonium salts of citric, oxalic, tartaric, succinic, or actetic acids.
  • A first embodiment of the present invention may be as follows:
    COMPOSIITON 1
    hydrogen peroxide
    dibasic ammonium citrate
    1,2,4-triazole
    water

    Hydrogen peroxide consists of approximately 0.1% to 3%, and more preferably 1% to 3%, by weight of COMPOSITION 1, and dibasic ammonium citrate consists of approximately 0.1% to 12% by weight of COMPOSITION 1. 1,2,4-Triazole consists of approximately 1% to 6% by weight of COMPOSITION 1, with the remaining portion of the COMPOSITION 1 consisting of a carrier including water.
  • A second embodiment of the present invention may be as follows:
    COMPOSITION 2
    hydrogen peroxide
    dibasic ammonium citrate
    benzotriazole
    water

    Hydrogen peroxide consists of approximately 0.1% to 3%, and more preferably 1% to 3%, by weight of COMPOSITION 2, and dibasic ammonium citrate consists of approximately 0.1% to 12% by weight of COMPOSITION 2. Benzotriazole consists of approximately 0.0001% to 3% by weight of COMPOSITION 2, with the remaining portion of COMPOSITION 2 consisting of a carrier including water.
  • A neutral pH RL slurry of the present invention offers many advantages over conventional slurries, including improved planarity. Specifically, copper is passivated when exposed to neutral pH compositions. It is believed that the passivation of copper during polishing provides improved planarization. Additionally, the neutral pH slurry of the present invention reduces the corrosion of the copper during polishing, thereby minimizing the formation of micro-trenches and minimizing roughness. As a result, the present neutral pH RL slurry provides wider process windows, lower defects, and ease of integration into present copper low-K dielectric layers.
  • The embodiments of the present invention described above are exemplary. Many changes and modifications may be made to the disclosure recited above, while remaining within the scope of the invention. For example, the components of COMPOSITIONS 1 and 2 are selected to facilitate planarization of surfaces having copper-containing materials and dielectric-containing materials. However, other components may be employed dependent upon the materials contained in the layer being polished. Therefore, this invention is not limited to the particular forms illustrated above. Nor is the invention limited or restricted to the particular theories, advantages, or perceived properties disclosed above. Rather, the invention should be defined as set forth in the appended claims and will cover all modifications that do not depart from the scope of this invention.

Claims (33)

1. A composition comprising:
a carrier solution;
a complexing agent to dissolve a predetermined material; and
a corrosion inhibitor to minimize kinetic removal of said predetermined material,
wherein said carrier solution, said complexing agent and said corrosion inhibitor being present in sufficient quantities to provide said composition with a neutral pH; and
wherein said composition has a particle content no greater than approximately two hundred and fifty parts per million.
2. The composition as recited in claim 1, wherein said neutral pH is in a range of 5 to 8.
3. The composition as recited in claim 1, wherein said carrier solution further includes hydrogen peroxide.
4. The composition as recited in claim 1, wherein said complexing agent comprises ammonium salts of citric, oxalic, tartaric, succinic, or actetic acids.
5. The composition as recited in claim 4 wherein said complexing agent comprises dibasic ammonium citrate.
6. The composition as recited in claim 1, wherein said corrosion inhibitor comprises triazole.
7. The composition as recited in claim 1, further comprising an oxidizing agent, wherein said oxidizing agent includes approximately 0.1% to 3% by weight of said composition of hydrogen peroxide.
8. The composition as recited in claim 1, wherein said complexing agent includes approximately 0.1% to 12% by weight of said composition of dibasic ammonium citrate.
9. The composition as recited in claim 1, wherein said corrosion inhibitor includes approximately 1% to 6% by weight of said composition of an inhibitor selected from the group consisting of triazole, imidazole, polyvinylimidazole, theophiline, bipyridyl, mercapto benzothizole,phenyl marcapto tetrazole, and pyrazole.
10. The composition as recited in claim 1, wherein said corrosion inhibitor includes benzotriazole.
11. The composition as recited in claim 1, wherein said corrosion inhibitor includes 0.0001% to 1% by weight of the composition of benzotriazole.
12. A composition comprising:
a carrier solution including hydrogen peroxide;
a complexing agent; and
a corrosion inhibitor,
wherein said carrier solution, said complexing agent and said corrosion inhibitor are present in sufficient quantities to provide said composition with a neutral pH, with a range of particles contained therein in a range of zero to 250 parts per million.
13. The composition as recited in claim 12, wherein said complexing agent comprises dibasic ammonium citrate.
14. The composition as recited in claim 13, wherein said corrosion inhibitor includes benzotriazole.
15. The composition as recited in claim 13, wherein said corrosion inhibitor comprises triazole.
16. The composition as recited in claim 12, wherein said hydrogen peroxide is present in said composition in a quantity of approximately 1% to 3% by weight.
17. The composition as recited in claim 16, wherein said complexing agent includes approximately 0.1% to 12% by weight of said composition of dibasic ammonium citrate.
18. The composition as recited in claim 17, wherein said corrosion inhibitor includes approximately 1% to 6% by weight of said composition of triazole.
19. The composition as recited in claim 17, wherein said corrosion inhibitor includes 1% to 3% by weight of the composition of benzotriazole.
20. A method for polishing a layer containing conductive material and dielectric material, said method comprising:
removing portions of said layer by exposing said layer to a composition at a rate of removal, with said rate of removal being principally controlled by a dissolution of said layer with said composition,
wherein said composition includes a quantity of particles in a range of zero to two hundred fifty parts per million.
21. The method as recited in claim 20, wherein said composition has a neutral pH and kinetics of oxide formation do not control removal rate.
22. The method as recited in claim 20, wherein said composition has a pH in a range of 5-8.
23. The method as recited in claim 20, wherein said composition has a pH of approximately 7.5.
24. A method for polishing a layer having conductive material and dielectric material, said method comprising:
removing portions of said layer using a composition to generate a substantially smooth surface including first and second regions, with said first region including said conductive material and said second region including said dielectric material, wherein kinetics of conductive oxide formation do not principally control the removal of material from one of said first and second regions.
25. The method as recited in claim 24, wherein removing further includes principally controlling removal rate by dissolution with the composition.
26. The method as recited in claim 24, wherein said composition has a pH in a range of 5-8.
27. The method as recited in claim 26, wherein said composition has a pH of approximately 7.5.
28. A method for making a semiconductor device comprising:
providing a slurry composition having a particle content no greater than approximately two hundred and fifty parts per million and comprising:
a carrier solution;
a complexing agent to dissolve a predetermined material; and
a corrosion inhibitor to minimize kinetic removal of said predetermined material,
wherein said carrier solution, said complexing agent and said corrosion inhibitor being present in sufficient quantities to provide said composition with a neutral pH;
providing a semiconductor substrate having a trench formed within a dielectric layer, the trench having a metal layer therein;
providing a polishing apparatus having a polishing pad;
polishing a surface of the semiconductor substrate using the polishing pad and the slurry composition.
29. The method as recited in claim 28 wherein wherein said neutral pH is in a range of 5 to 8.
30. The method as recited in claim 28 wherein said carrier solution comprises hydrogen peroxide.
31. The method as recited in claim 28 wherein said complexing agent comprises dibasic ammonium citrate.
32. The method as recited in claim 28 wherein said corrosion inhibitor comprises triazole.
33. The method as recited in claim 28 wherein said corrosion inhibitor comprises benzotriazole.
US11/093,578 2005-03-30 2005-03-30 Polishing technique to minimize abrasive removal of material and composition therefor Abandoned US20060223320A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/093,578 US20060223320A1 (en) 2005-03-30 2005-03-30 Polishing technique to minimize abrasive removal of material and composition therefor

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/093,578 US20060223320A1 (en) 2005-03-30 2005-03-30 Polishing technique to minimize abrasive removal of material and composition therefor

Publications (1)

Publication Number Publication Date
US20060223320A1 true US20060223320A1 (en) 2006-10-05

Family

ID=37071132

Family Applications (1)

Application Number Title Priority Date Filing Date
US11/093,578 Abandoned US20060223320A1 (en) 2005-03-30 2005-03-30 Polishing technique to minimize abrasive removal of material and composition therefor

Country Status (1)

Country Link
US (1) US20060223320A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160079084A1 (en) * 2003-01-03 2016-03-17 Air Products And Chemicals, Inc. Composition and Method Used for Chemical Mechanical Planarization of Metals

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5897375A (en) * 1997-10-20 1999-04-27 Motorola, Inc. Chemical mechanical polishing (CMP) slurry for copper and method of use in integrated circuit manufacture
US6117783A (en) * 1996-07-25 2000-09-12 Ekc Technology, Inc. Chemical mechanical polishing composition and process
US6274478B1 (en) * 1999-07-13 2001-08-14 Motorola, Inc. Method for forming a copper interconnect using a multi-platen chemical mechanical polishing (CMP) process
US6276996B1 (en) * 1998-11-10 2001-08-21 Micron Technology, Inc. Copper chemical-mechanical polishing process using a fixed abrasive polishing pad and a copper layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
US20020132560A1 (en) * 2001-01-12 2002-09-19 Qiuliang Luo Polishing method for selective chemical mechanical polishing of semiconductor substrates
US20020182982A1 (en) * 2001-06-04 2002-12-05 Applied Materials, Inc. Additives for pressure sensitive polishing compositions
US20020193051A1 (en) * 1999-04-27 2002-12-19 Hitachi, Ltd. Apparatus and method for producing substrate with electrical wire thereon
US6561883B1 (en) * 1999-04-13 2003-05-13 Hitachi, Ltd. Method of polishing
US6562719B2 (en) * 2000-08-04 2003-05-13 Hitachi, Ltd. Methods of polishing, interconnect-fabrication, and producing semiconductor devices
US6565422B1 (en) * 1999-02-19 2003-05-20 Hitachi, Ltd. Polishing apparatus using substantially abrasive-free liquid with mixture unit near polishing unit, and plant using the polishing apparatus
US20040134873A1 (en) * 1996-07-25 2004-07-15 Li Yao Abrasive-free chemical mechanical polishing composition and polishing process containing same
US6800218B2 (en) * 2001-08-23 2004-10-05 Advanced Technology Materials, Inc. Abrasive free formulations for chemical mechanical polishing of copper and associated materials and method of using same
US20040248412A1 (en) * 2003-06-06 2004-12-09 Liu Feng Q. Method and composition for fine copper slurry for low dishing in ECMP
US20060169597A1 (en) * 2001-03-14 2006-08-03 Applied Materials, Inc. Method and composition for polishing a substrate

Patent Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6635186B1 (en) * 1996-07-25 2003-10-21 Ekc Technology, Inc. Chemical mechanical polishing composition and process
US6313039B1 (en) * 1996-07-25 2001-11-06 Ekc Technology, Inc. Chemical mechanical polishing composition and process
US6117783A (en) * 1996-07-25 2000-09-12 Ekc Technology, Inc. Chemical mechanical polishing composition and process
US20040134873A1 (en) * 1996-07-25 2004-07-15 Li Yao Abrasive-free chemical mechanical polishing composition and polishing process containing same
US5897375A (en) * 1997-10-20 1999-04-27 Motorola, Inc. Chemical mechanical polishing (CMP) slurry for copper and method of use in integrated circuit manufacture
US6276996B1 (en) * 1998-11-10 2001-08-21 Micron Technology, Inc. Copper chemical-mechanical polishing process using a fixed abrasive polishing pad and a copper layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
US6565422B1 (en) * 1999-02-19 2003-05-20 Hitachi, Ltd. Polishing apparatus using substantially abrasive-free liquid with mixture unit near polishing unit, and plant using the polishing apparatus
US6561883B1 (en) * 1999-04-13 2003-05-13 Hitachi, Ltd. Method of polishing
US20020193051A1 (en) * 1999-04-27 2002-12-19 Hitachi, Ltd. Apparatus and method for producing substrate with electrical wire thereon
US6274478B1 (en) * 1999-07-13 2001-08-14 Motorola, Inc. Method for forming a copper interconnect using a multi-platen chemical mechanical polishing (CMP) process
US6562719B2 (en) * 2000-08-04 2003-05-13 Hitachi, Ltd. Methods of polishing, interconnect-fabrication, and producing semiconductor devices
US20030186497A1 (en) * 2000-08-04 2003-10-02 Hitachi, Ltd. Methods of polishing, interconnect-fabrication, and producing semiconductor devices
US20020132560A1 (en) * 2001-01-12 2002-09-19 Qiuliang Luo Polishing method for selective chemical mechanical polishing of semiconductor substrates
US20060169597A1 (en) * 2001-03-14 2006-08-03 Applied Materials, Inc. Method and composition for polishing a substrate
US6783432B2 (en) * 2001-06-04 2004-08-31 Applied Materials Inc. Additives for pressure sensitive polishing compositions
US20020182982A1 (en) * 2001-06-04 2002-12-05 Applied Materials, Inc. Additives for pressure sensitive polishing compositions
US6800218B2 (en) * 2001-08-23 2004-10-05 Advanced Technology Materials, Inc. Abrasive free formulations for chemical mechanical polishing of copper and associated materials and method of using same
US20050026437A1 (en) * 2001-08-23 2005-02-03 Ying Ma Abrasive free formulations for chemical mechanical polishing of copper and associated materials and method of using same
US20040248412A1 (en) * 2003-06-06 2004-12-09 Liu Feng Q. Method and composition for fine copper slurry for low dishing in ECMP

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160079084A1 (en) * 2003-01-03 2016-03-17 Air Products And Chemicals, Inc. Composition and Method Used for Chemical Mechanical Planarization of Metals
US10373842B2 (en) * 2003-01-03 2019-08-06 Versum Materials Us, Llc Composition and method used for chemical mechanical planarization of metals

Similar Documents

Publication Publication Date Title
US6099604A (en) Slurry with chelating agent for chemical-mechanical polishing of a semiconductor wafer and methods related thereto
KR100653797B1 (en) Method for manufacturing semiconductor device
US6267909B1 (en) Planarization composition for removing metal films
EP1490897B1 (en) Tantalum barrier removal solution
TWI583754B (en) Chemical-mechanical planarization of substrates containing copper, ruthenium, and tantalum layers
WO2013112490A1 (en) Slurry for cobalt applications
KR102459037B1 (en) Chemical mechanical polishing method for tungsten
CN108250977B (en) Chemical mechanical polishing solution for barrier layer planarization
TWI294456B (en)
US20060261041A1 (en) Method for manufacturing metal line contact plug of semiconductor device
WO2018120808A1 (en) Chem-mechanical polishing liquid for barrier layer
TW483061B (en) Chemical-mechanical polishing apparatus, polishing pad, and method for manufacturing semiconductor device
WO2008022277A2 (en) Selective chemistry for fixed abrasive cmp
US7465668B2 (en) Method of manufacturing semiconductor device
KR102459544B1 (en) Chemical mechanical polishing method for tungsten using polyglycols and polyglycol derivatives
US20060223320A1 (en) Polishing technique to minimize abrasive removal of material and composition therefor
JP3917593B2 (en) Manufacturing method of semiconductor device
US10640682B2 (en) Chemical mechanical polishing method for tungsten
US6670272B2 (en) Method for reducing dishing in chemical mechanical polishing
US20060138087A1 (en) Copper containing abrasive particles to modify reactivity and performance of copper CMP slurries
Shinn et al. Chemical-mechanical polish
CN111378382B (en) Chemical mechanical polishing solution and application thereof
CN104745085B (en) A kind of chemical mechanical polishing liquid for cobalt barrier polishing
CN108250972B (en) Chemical mechanical polishing solution for barrier layer planarization
WO2002100963A1 (en) Use of a gettering agent in a chemical mechanical polishing and rinsing operation and apparatus therefor

Legal Events

Date Code Title Description
AS Assignment

Owner name: FREESCALE SEMICONDUCTOR, INC., TEXAS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:COOPER, KEVIN E.;COOPER, JENNIFER;FARKAS, JANOS;AND OTHERS;REEL/FRAME:016442/0088;SIGNING DATES FROM 20050228 TO 20050321

Owner name: ADVANCED MICRO DEVICES, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:COOPER, KEVIN E.;COOPER, JENNIFER;FARKAS, JANOS;AND OTHERS;REEL/FRAME:016442/0088;SIGNING DATES FROM 20050228 TO 20050321

AS Assignment

Owner name: CITIBANK, N.A. AS COLLATERAL AGENT, NEW YORK

Free format text: SECURITY AGREEMENT;ASSIGNORS:FREESCALE SEMICONDUCTOR, INC.;FREESCALE ACQUISITION CORPORATION;FREESCALE ACQUISITION HOLDINGS CORP.;AND OTHERS;REEL/FRAME:018855/0129

Effective date: 20061201

Owner name: CITIBANK, N.A. AS COLLATERAL AGENT,NEW YORK

Free format text: SECURITY AGREEMENT;ASSIGNORS:FREESCALE SEMICONDUCTOR, INC.;FREESCALE ACQUISITION CORPORATION;FREESCALE ACQUISITION HOLDINGS CORP.;AND OTHERS;REEL/FRAME:018855/0129

Effective date: 20061201

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: FREESCALE SEMICONDUCTOR, INC., TEXAS

Free format text: PATENT RELEASE;ASSIGNOR:CITIBANK, N.A., AS COLLATERAL AGENT;REEL/FRAME:037354/0225

Effective date: 20151207