US20060223245A9 - T-gate formation - Google Patents

T-gate formation Download PDF

Info

Publication number
US20060223245A9
US20060223245A9 US11/046,045 US4604505A US2006223245A9 US 20060223245 A9 US20060223245 A9 US 20060223245A9 US 4604505 A US4604505 A US 4604505A US 2006223245 A9 US2006223245 A9 US 2006223245A9
Authority
US
United States
Prior art keywords
photoresist
layer
gate
radiation
opening
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US11/046,045
Other versions
US7413942B2 (en
US20050202613A1 (en
Inventor
Rudy Pellens
Frank Linskens
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Rohm and Haas Electronic Materials LLC
Original Assignee
ASML Netherlands BV
Rohm and Haas Electronic Materials LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Assigned to ROHM AND HAAS ELECTRONIC MATERIALS, L.L.C. reassignment ROHM AND HAAS ELECTRONIC MATERIALS, L.L.C. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LINSKENS, FRANK
Assigned to ASML NETHERLANDS B.V. reassignment ASML NETHERLANDS B.V. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: PELLENS, RUDY
Application filed by ASML Netherlands BV, Rohm and Haas Electronic Materials LLC filed Critical ASML Netherlands BV
Priority to US11/046,045 priority Critical patent/US7413942B2/en
Publication of US20050202613A1 publication Critical patent/US20050202613A1/en
Publication of US20060223245A9 publication Critical patent/US20060223245A9/en
Application granted granted Critical
Publication of US7413942B2 publication Critical patent/US7413942B2/en
Expired - Fee Related legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28575Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising AIIIBV compounds
    • H01L21/28587Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising AIIIBV compounds characterised by the sectional shape, e.g. T, inverted T

Definitions

  • the present invention relates generally to the manufacture of electronic devices. More particularly, the present invention relates to the fabrication of T-gate structures used in the manufacture of electronic devices.
  • a T-gate is a gate conductor structure for a semiconductor device in which the top of the gate conductor structure is wider than the base of the gate conductor structure.
  • Such T-gates include, without limitation, structures that are substantially T-shaped, mushroom-shaped, and Y-shaped.
  • gate structures such as T-gates have been advantageously used in several technologies.
  • MOSFETs metal semiconductor field effect transistors
  • HEMTs high electron mobility transistors
  • high speed logic circuits and power modules have employed gate structures with bases smaller than the contact area.
  • These types of gate structures are required in field effect transistors for operation in ultra-high frequency ranges.
  • the narrow base of a T-gate structure provides a short channel length which results in increased speed and decreased power consumption. Parasitic resistances and capacitances that limit device speed are also reduced.
  • the top portion of a T-gate is made wide so that the conductance of the T-gate remains high, for example, for high switching speeds.
  • Electron-beam (“e-beam”) is the most commonly used technique for T-gate fabrication.
  • FIGS. 1A-1D illustrate a process for forming a T-gate using e-beam.
  • substrate 1 is coated with a layer of first poly(methyl methacrylate)-based photoresist 2 , a layer of second poly(methyl methacrylate)-based photoresist 3 , and a layer of third poly(methyl methacrylate)-based photoresist 4 .
  • Photoresist layers 2 to 4 are then exposed to e-beam and developed to provide a patterned photoresist stack having generally T-shaped profile 5 as shown in FIG. 1B .
  • a layer of a conductive material 6 is then deposited on the entire surface inclusive of the surface of substrate 1 exposed by the patterning of the photoresist layers, see FIG. 1C .
  • Photoresist layers 2 to 4 are then removed, lifting-off the conductive material layer on the surface of photoresist layer 4 in the process, to provide T-gate structure 7 on substrate 1 as shown in FIG. 1D .
  • e-beam lithography suffers from poor linewidth control in the multi-layered stacks used in typical T-gate processes because the exposing e-beam must pass through relatively thick resist films (e.g., about one micron). Further, e-beam exposure is a direct write process which is both slow and expensive.
  • T-gates have been developed. Certain of these methods utilize a number of sacrificial inorganic layers which require various etching steps and harsher removal processes than photoresist-based processes. Other methods utilize multiple photoresist layers, however, these multiple photoresist layers are imaged at different wavelengths.
  • U.S. Pat. No. 6,387,783 disclose a process for forming T-gates using a hybrid first photoresist that is imaged using x-rays and a second photoresist that is imaged using I-line radiation. The use of such different wavelengths requires different exposure tools, which increase the costs and complexity of the process. Accordingly, a need exists for improved methods of forming T-gate structures.
  • T-gate structures may be formed using conventional UV exposure tools using a single wavelength and with fewer processing steps.
  • the present invention provides a method for forming a T-gate on a substrate including the steps of: a) providing a substrate; b) optionally disposing an organic planarizing layer on the substrate; c) disposing a layer of a UV-sensitive first photoresist; d) patterning the first photoresist by exposing the first photoresist to UV radiation through a mask and developing the exposed first photoresist to define a first opening for a base of the T-gate; e) transferring the pattern to the planarizing layer if present; f) rendering the pattern insensitive to the UV-radiation; g) disposing a layer of a UV-sensitive second photoresist, the second photoresist being negative-acting; h) patterning the second photoresist by exposing the second photoresist to the UV radiation through a mask and developing the exposed second photoresist to define a second opening for a cap of the T-gate over the first opening; and i) depositing a conductive material within
  • the present invention further provides a method for forming a T-gate structure comprising the steps of: a) providing a substrate; b) disposing an organic planarizing layer on the substrate, disposing a layer of a UV-sensitive first photoresist on the organic planarizing layer, patterning the first photoresist by exposing the first photoresist to UV radiation through a mask and developing the exposed first photoresist to define a first opening for a base of the T-gate, transferring the first opening to the organic planarizing layer, and optionally removing the first photoresist to provide a patterned organic planarizing layer; c) disposing a layer of a UV-sensitive second photoresist wherein the second photoresist is negative-acting; d) patterning the second photoresist by exposing the second photoresist to the UV radiation through a mask and developing the exposed second photoresist to define a second opening for a cap of the T-gate over the first opening; e) depositing a conductive
  • the present invention provides a method for forming a T-gate structure comprising the steps of: a) providing a substrate; b) disposing a layer of a UV-sensitive first photoresist on the substrate; patterning the first photoresist by exposing the first photoresist to UV radiation through a mask and developing the exposed first photoresist to define a first opening for a base of the T-gate; and curing the patterned first photoresist; c) disposing a layer of a UV-sensitive second photoresist on the cured first photoresist wherein the second photoresist is negative-acting; d) patterning the second photoresist by exposing the second photoresist to the UV radiation through a mask and developing the exposed second photoresist to define a second opening for a cap of the T-gate over the first opening; e) depositing a conductive material within the first and second openings to form a T-gate; and f) removing the first and second photoresists
  • FIGS. 1A-1D are a schematic cross-sectional illustration of a conventional process for T-gate formation using e-beam.
  • FIGS. 2A-2F are a schematic cross-sectional illustration of a process for forming a T-gate according to one embodiment of the invention.
  • FIGS. 3A-3H are a schematic cross-sectional illustration of a process for forming a T-gate according to another embodiment of the invention.
  • FIG. 4 is a scanning electron micrograph of a T-gate structure made by the present invention.
  • T-gate refers to any gate conductor structure for an electronic device in which the top of the gate conductor structure is wider than the base of the gate conductor structure.
  • Such T-gate structures may have a variety of shapes including, without limitation, T-shaped, mushroom-shaped, and Y-shaped.
  • the articles “a” and “an” refer to the singular and the plural. All numerical ranges are inclusive and combinable in any order except where it is clear that such numerical range is constrained to add up to 100%. Like reference numerals refer to like elements.
  • T-gate structures are formed on a substrate according to the present method using two lithographic processes, the two lithographic processes being performed using the same wavelength of radiation.
  • a negative-acting photoresist is typically used as the photoresist in the second lithographic process.
  • the present invention provides a method for forming a T-gate structure including the steps of: a) providing a substrate; b) optionally disposing an organic planarizing layer on the substrate; c) disposing a layer of a UV-sensitive first photoresist; d) patterning the first photoresist by exposing the first photoresist to UV radiation through a mask and developing the exposed first photoresist to define a first opening for a base of the T-gate; e) transferring the pattern to the planarizing layer if present; f) rendering the pattern insensitive to the UV-radiation; g) disposing a layer of a UV-sensitive second photoresist, the second photoresist being negative-acting; h) patterning the second photoresist by exposing the second photoresist to the UV radiation through a mask and developing the exposed second photoresist to define a second opening for a cap of the T-gate over the first opening; and i) depositing a conductive material within the steps of
  • Suitable substrates are those used in the manufacture of electronic devices.
  • Exemplary substrates include, without limitation, gallium arsenide (“GaAs”), silicon (“Si”), indium gallium arsenide (“InGaAs”), aluminum gallium arsenide (“AlGaAs”), strained silicon, silicon germanium (“SiGe”), and mixtures thereof.
  • GaAs gallium arsenide
  • Si silicon
  • InGaAs indium gallium arsenide
  • AlGaAs aluminum gallium arsenide
  • strained silicon silicon germanium
  • SiGe silicon germanium
  • the substrate may include an InGaAs/AlGaAs/GaAs film stack grown on a semi-insulating GaAs substrate.
  • Such films may be grown by a variety of means, such as by molecular beam epitaxy (“MBE”), metalorganic chemical vapor deposition (“MOCVD”), physical vapor deposition (“PVD”), liquid phase epitaxy (“LPE”), chemical beam epitaxy (“CBE”) and atomic layer deposition (“ALD”). These film growth techniques are well known to those skilled in the art.
  • the substrates may include one or more additional layers of materials. The choice of such substrates will depend upon the particular electronic device desired and is well within the ability of those skilled in the art.
  • the optional organic planarizing layers that may be used in the present invention include, but are not limited to, one or more layers of via-filling material, antireflective coatings and mixtures thereof.
  • Via-filling materials typically contain one or more cross-linkable polymers, particularly low molecular weight polymers such as those having a weight average molecular weight of less than or equal to about 8000 and a value of M w /M n of at least 1.5, one or more acid catalysts, one or more cross-linking agents and one or more solvents.
  • Such via-filling materials are typically used to fill apertures to protect such apertures from damage during subsequent processing steps.
  • via-filling materials may also function as antireflective coatings upon the inclusion of one or more chromophores.
  • Exemplary via-filling materials are disclosed in U.S. Pat. No. 6,461,717.
  • the antireflective coating is typically an organic antireflective coating.
  • Such antireflective coatings contain one or more light absorbing materials. Such materials may be bound to a polymer or may be admixed with a polymer or incorporated by any other suitable means. Exemplary light absorbing materials include, without limitation, phenyl and anthracenyl, each optionally substituted.
  • the selection of an antireflective coating depends upon the particular wavelength of UV radiation and the photoresist employed. Such selection is within the abilities of those skilled in the art. Multiple layers of antireflective coatings may optionally be used. Exemplary antireflective coating materials are disclosed in U.S. Pat. Nos. 6,528,235; 6,472,128; 6,451,503; 6,165,697; and 5,851,730.
  • the optional planarizing layer may be disposed on the substrate by any suitable means, such as spin coating, roller coating, curtain coating, dipping, and spraying.
  • Spin coating is conventionally used.
  • the thickness of the planarizing layer is determined by the spin speed in the case of spin coating, and by the viscosity of the planarizing material composition.
  • the planarizing layer particularly an antireflective coating layer, has a thickness of up to 500 nm, although greater thicknesses may be used.
  • the thickness of the planarizing layer ranges from 100 to 500 nm, more typically from 150 to 300 nm, and still more typically from 200 to 300 nm.
  • the planarizing material is typically baked to remove solvent and then sufficiently cured by any suitable means to prevent intermixing with the subsequently deposited first photoresist.
  • UV-sensitive photoresists may be used as the first photoresist, such as positive-acting and negative-acting photoresists.
  • Chemically amplified positive photoresists suitably contain a component that has moieties that undergo a deblocking or cleavage reaction in the presence of photogenerated acid, such as photoacid-labile esters or acetals.
  • Positive acting photoresists for sub-300 nm imaging such as 248 nm include a photoacid generator compound such as an iodonium or sulfonium salt and a polymer that comprises phenolic units (or silsesquioxane units or both phenolic units and silsesquioxane units) and acid-labile ester and/or acetal moieties.
  • a photoacid generator compound such as an iodonium or sulfonium salt
  • Exemplary positive-acting photoresists are disclosed in, e.g., U.S. Pat. Nos. 6,042,997; 6,090,526; 5,929,176; and 5,492,793.
  • Negative-acting photoresists for sub-300 nm imaging such as 248 nm typically include a phenolic resin or a silsesquioxane polymer or blends thereof or copolymers containing both phenolic units and silsesquioxane units, a photoacid generator compound such as an iodonium or sulfonium salt, and a cross-linking agent.
  • Suitable negative-acting photoresist cross-linkers include, without limitation, amine-based cross-linkers such as melamine resins.
  • Exemplary negative-acting photoresists are disclosed in, e.g., U.S. Pat. Nos. 5,514,520; 5,340,696; and 5,210,000, and European Published Application EP 042 391.
  • silanequioxane refers to polymers, oligomers, and partial condensates having the formula (RSiO 1.5 ) where R is an organic moiety such as (C 1 -C 6 )alkyl and phenyl, either being optionally substituted.
  • substituted it is meant that one or more hydrogens on the alkyl or phenyl group is replaced by one or more substituent groups, such as hydroxyl, (C 1 -C 6 )alkoxy, (C 1 -C 6 )alkyl, alkoxyalkyl, (C 7 -C 16 )aralkyl, and the like.
  • Exemplary phenolic resins include, without limitation, novolak resins and hydroxystyrene resins.
  • Exemplary silsesquioxane-containing photoresists are those disclosed in U.S. Pat. Nos. 4,745,169 and 5,338,818, and U.S. patent application Ser. No. 2003/0099899.
  • Any UV-sensitive negative-acting photoresist may suitably be used as the second photoresist in the present invention.
  • Exemplary second photoresists include, without limitation, the negative-acting photoresists described above.
  • Exemplary positive-acting and negative-acting photoresists for use in the present invention are SRTM2420 and UVNTM30, respectively, both available from Shipley Company (Marlborough, Mass.).
  • Such first and second photoresists may be imaged at a variety of wavelengths, such as from 190 to 365 nm. Typically, the photoresists are imaged at wavelengths of 190 to 300 nm, and more typically at 248 nm. The first and second photoresists are preferably selected such that they are both imaged at the same wavelength of UV radiation.
  • the first and second photoresists may be disposed by any suitable means, such as spin coating, roller coating, curtain coating, dipping, and spraying.
  • Spin coating is conventionally used.
  • the thickness of the photoresist layer is determined by the spin speed in the case of spin coating, and by the viscosity of the photoresist.
  • the first photoresist layer has a thickness of up to 500 nm, although other thicknesses may be used.
  • the first photoresist layer thickness ranges from 100 to 500 nm, more typically from 100 to 300 nm and still more typically from 150 to 250 nm.
  • the second photoresist layer typically has a thickness of up to 1 ⁇ m (micron), although other thicknesses may suitably be employed.
  • the second photoresist layer has a thickness range of 300 nm to 1 ⁇ m, more typically from 500 nm to 900 nm, and still more typically from 600 to 800 nm. In general, the second photoresist layer has a thickness greater than that of the first photoresist layer.
  • the photoresists are patterned by exposing the photoresists to suitable UV radiation through a mask, followed by development.
  • suitable exposure tools are those manufactured by ASML (Veldhoven, the Netherlands), such as a PAS5500/300 tool.
  • the exposure time and the exposure dose depend upon a variety of factors, such as the particular photoresist selected, and are well within the abilities of those skilled in the art.
  • the photoresists may be developed using a variety of developer solutions. Suitable developer solutions include, but are not limited to, organic solvents and aqueous alkaline compositions.
  • Exemplary aqueous alkaline compositions include, without limitation, tetraalkylammonium hydroxide-based compositions such as 0.15 to 0.26 N tetramethylammonium hydroxide (“TMAH”).
  • TMAH tetraalkylammonium hydroxide
  • Such developers are commercially available from a variety of sources, such as from Shipley Company.
  • the pattern from the first photoresist layer is transferred to the planarizing layer.
  • Such pattern transfer may be achieved by a variety of means such as by etching.
  • the etching of the planarizing material may be performed by a variety of methods including wet etching and dry etching such as reactive ion etching, plasma etching and ion beam milling. In general, such etching step removes the portion of the planarizing layer exposed after patterning of the first photoresist layer.
  • the etching step may be stopped after removal of the planarizing material, thus stopping at the substrate surface, or may optionally remove a portion of the substrate.
  • Such etching techniques are conventional and are well within the abilities of those skilled in the art.
  • the pattern Prior to disposing the second photoresist, the pattern is rendered insensitive to the UV-radiation.
  • the pattern may be rendered insensitive by curing the patterned first photoresist.
  • curing it is meant that the first photoresist is subjected to conditions that render it less soluble, and preferably insoluble, in the second photoresist, the developer solution used to develop the second photoresist or both.
  • curing may be accomplished by exposure to UV radiation, heating, or a combination thereof.
  • a variety of exposure doses and temperatures may be used to cure the first photoresist. For example, exposure doses of 10 ⁇ Eo to 20 ⁇ Eo may be used, as well as doses that are higher and lower than this.
  • the first photoresist may be heated at a temperature of 100 to 130° C., although higher and lower temperatures may be used. In general, the photoresist is heated for 5 to 360 seconds.
  • the pattern may alternatively be rendered insensitive to the UV radiation after transfer of the pattern to the planarizing layer by removing the first photoresist to provide a patterned planarizing layer.
  • planarizing layer is typically insensitive to UV radiation.
  • the first photoresist may be removed using any suitable resist stripper, such as those described below.
  • conductive materials may be used in the present invention, such as metals and conductive polymers.
  • Metals are preferred and include alloys.
  • Exemplary metals and alloys include, without limitation, gallium, arsenic, tantalum, tungsten, molybdenum, titanium, platinum, gold, silver, germanium, aluminum, copper, titanium-platinum-gold, and gold-germanium-platinum/gold.
  • Various other metals and metal alloys may be advantageously used.
  • Such conductive materials are deposited on the second photoresist.
  • a metal and/or alloy is the conductive material, it may be deposited by any suitable means, such as, but not limited to, sputtering, physical vapor deposition, and chemical vapor deposition.
  • the first and second photoresists may be removed by any suitable technique.
  • the photoresists may be removed by contacting them with a polymer remover composition for a period of time and at a temperature sufficient to remove the photoresists.
  • Photoresists are generally contacted with the polymer remover at a temperature of 10° to 90° C.
  • the temperature ranges from 15° to 85° C. and more typically from 20° to 85° C.
  • Exemplary contact times range from 5 seconds to 15 minutes, although shorter or longer times may be used.
  • the contact time is from 5 to 300 seconds and more typically from 10 to 120 seconds. The particular time and temperature will depend upon the photoresist to be removed and the particular polymer remover composition.
  • Exemplary polymer removers include, but are not limited to, organic solvents, bases such as tetraalkylammonium hydroxides, fluoride ion sources such as hydrogen fluoride, ammonium fluoride and ammonium bifluoride, hydroxylamine, and alkanolamines. Such polymer removers may contain one or more additional components such as corrosion inhibitors, solvents, water, wetting agents, antifreeze agents, and thickening agents. Polymer removers are generally commercially available, such as from Shipley Company and EKC Technologies.
  • the second photoresist having a conductive material disposed thereon functions as a lift-off layer when removed. That is, removing the second photoresist by contacting it with a polymer remover also removes the conductive material that has been deposited on the surface of the second photoresist, leaving the conductive material that has been deposited within the first and second openings, i.e. the T-gate structure.
  • T-gate structures are formed according to a method including the steps of: a) providing a substrate; b) disposing a layer of a UV-sensitive first photoresist on the substrate, patterning the first photoresist by exposing the first photoresist to UV radiation through a mask and developing the exposed first photoresist to define a first opening for a base of the T-gate, and curing the patterned first photoresist; c) disposing a layer of a UV-sensitive second photoresist on the cured first photoresist wherein the second photoresist is negative-acting; d) patterning the second photoresist by exposing the second photoresist to the UV radiation through a mask and developing the exposed second photoresist to define a second opening for a cap of the T-gate over the first opening; and e) depositing a conductive material within the first and second openings to form a T-gate.
  • the photoresist layers are then removed and function as lift-off layers by removing the conductive material deposited on the surface of the second photoresist.
  • One or more layers of antireflective coatings and/or via-filling materials may be disposed between the substrate and the first photoresist.
  • the second photoresist is disposed on the first photoresist.
  • the first photoresist is cured prior to the deposition of the second photoresist.
  • the first photoresist is preferably negative-acting.
  • the first photoresist and the second photoresist are the same.
  • the substrate may optionally be etched in the double-coat process following patterning of the first photoresist layer, and preferably etched after patterning of the second photoresist layer. Any of the above described etching techniques may be used to etch the substrate.
  • FIGS. 2A-2E illustrate the double-coat process of the present invention.
  • First photoresist 11 typically a negative-acting photoresist, is disposed on substrate 10 , such as GaAs or silicon.
  • First photoresist 11 is then exposed to UV-radiation, such as 248 nm radiation, through a mask and developed, such as with 0.26 N TMAH, to pattern first photoresist 11 and define first opening 12 fore the base of the T-gate structure. See FIG. 2A .
  • First photoresist 11 is then cured using heat and light and a layer of second photoresist 13 is disposed on cured first photoresist 11 . See FIG. 2B .
  • Second photoresist 13 is then patterned to define second opening 14 for the top of the T-gate by exposing second photoresist 13 to UV-radiation through a mask, the UV-radiation being the same as that used to expose first photoresist 11 , and then developing second photoresist 13 .
  • the patterning of second photoresist 13 is performed in a manner to provide second opening 14 having an undercut profile as illustrated in FIG. 2C .
  • Such undercut profile assists in the lift-off of subsequently deposited conductive material.
  • a portion of substrate 10 exposed by the first and second openings is etched as illustrated by recess 15 which is illustrated in FIG. 2D as undercutting the opening in first photoresist 11 .
  • Gate metallization layer 16 (conductive material) is then deposited within the first and second openings as well as over the surface of second photoresist layer 13 . See FIG. 2E .
  • the first and second photoresists are then removed by contacting the device with a polymer remover.
  • the second photoresist functions to lift-off the gate metallization layer covering the surface of the second photoresist leaving T-gate structure 17 on substrate 10 , as illustrated in FIG. 2F .
  • T-gate structures are formed according to a method including the steps of: a) providing a substrate; b) disposing a layer of a planarizing material on the substrate, disposing a layer of a UV-sensitive first photoresist on the planarizing material layer, patterning the first photoresist by exposing the first photoresist to UV radiation through a mask and developing the exposed first photoresist to define a first opening for a base of the T-gate, transferring the first opening to the planarizing material layer, and optionally removing the first photoresist to provide a patterned planarizing material layer; c) disposing a layer of a UV-sensitive second photoresist wherein the second photoresist is negative-acting; d) patterning the second photoresist by exposing the second photoresist to the UV radiation through a mask and developing the exposed second photoresist to define a second opening for a cap of the T-gate over
  • the first photoresist is positive-acting. In another embodiment of the bi-layer process, the first photoresist is negative acting. In a further embodiment of the bi-layer process, the first photoresist is positive-acting and contains a polymer including silsesquioxane units.
  • FIGS. 3A-3H illustrate the bi-layer process of the present invention.
  • a layer of a polymeric antireflective coating 20 such as ARTM2450 underlayer available from Shipley Company, is spin coated to a thickness of 200 to 250 nm on substrate 10 , such as GaAs or silicon.
  • Antireflective coating 20 contains a phenolic moiety, a thermal acid generator and a cross-linking agent. After spin-coating, antireflective coating 20 is baked and sufficiently cured to prevent intermixing with subsequently applied photoresists.
  • First photoresist 21 is spin coated on antireflective coating 20 to a thickness of, e.g., up to 250 nm.
  • First photoresist 21 may be a positive-acting photoresist such as SRTM2420 which is a silsesquioxane-containing photoresist available from Shipley Company. See FIG. 3A .
  • First photoresist 21 is patterned to define aperture 22 by exposing first photoresist to UV radiation through a mask using a PAS5500/300 tool and then developing the exposed first photoresist. See FIG. 3B .
  • Antireflective coating 20 is then etched to form first opening 23 for the base of the T-gate and then first photoresist 21 is removed, such as by contact with a fluoride-based polymer remover such as PRx417 available from Shipley Company.
  • Negative-acting second photoresist 24 is then spin coated to a thickness of approximately 900 nm on patterned antireflective coating 20 , as illustrated in FIG. 3D .
  • An exemplary second photoresist is UVNTM30, available from Shipley Company.
  • Second photoresist 24 is patterned to define second opening 25 for the top of the T-gate by exposing second photoresist 24 to UV-radiation through a mask, the UV-radiation being the same as that used to expose first photoresist 21 , and then developing second photoresist 24 .
  • the patterning of second photoresist 24 is performed in a manner to provide second opening 25 having an undercut profile as illustrated in FIG. 3E .
  • a portion of substrate 10 exposed by the first and second openings is etched as illustrated by recess 26 which is shown in FIG. 3F as undercutting the opening in antireflective coating 20 .
  • a gate metallization layer (conductive material) 27 is then deposited within the first and second openings as well as over the surface of the second photoresist layer. See FIG. 3G .
  • the second photoresist and the antireflective coating are then removed by contacting the device with a polymer remover.
  • the second photoresist functions to lift-off the gate metallization layer covering the surface of the second photoresist leaving T-gate structure 28 on substrate 10 , as illustrated in FIG. 3H .
  • a layer of negative-acting photoresist (UVNTM 30, Shipley Company) was spin coated on a gallium arsenide substrate to a thickness of approximately 200 nm.
  • the photoresist was patterned by exposure to UV radiation at 248 nm through a mask using a PAS5500/300 tool followed by development using 0.26 N TMAH for 10 seconds to provide approximately 150 nm openings for the base of the T-gate.
  • the photoresist layer was then cured by flood exposure of 200 mJ (approximately 15 ⁇ Eo) followed by heating at 115° c for 60 seconds.
  • a second layer of a negative acting photoresist (UVNTM 30) was spin coated to a thickness of approximately 700 nm on the cured first photoresist layer.
  • the second photoresist layer was then patterned in the same way as the first photoresist layer (248 nm) to define a second opening for the top (or cap) of the T-gate over the first opening.
  • a gate metallization layer was deposited by vapor deposition.
  • the gate metallization layer was titanium-platinum-gold.
  • the first and second photoresist layers were removed by contacting them with a TMAH-containing polymer remover.
  • the second photoresist layer acted as a lift-off layer, removing the gate metallization layer that was deposited over the surface of the second photoresist layer.
  • the resulting T-gate structure shown in FIG. 4 , had a stem CD of approximately 200 nm, a cap CD of approximately 600 nm, and a total height of approximately 500 nm.

Abstract

Methods of forming T-gate structures on a substrate are provided that use only UV-sensitive photoresists. Such methods provide T-gate structures using two lithographic steps using a single wavelength of radiation.

Description

    CROSS-REFERENCE TO RELATED APPLICATION
  • This application claims the benefit under 35 U.S.C. § 19(e) of U.S. Provisional Application No. 60/539,932, filed Jan. 29, 2004, the entire contents of which are incorporated herein by reference.
  • BACKGROUND OF THE INVENTION
  • The present invention relates generally to the manufacture of electronic devices. More particularly, the present invention relates to the fabrication of T-gate structures used in the manufacture of electronic devices.
  • A T-gate is a gate conductor structure for a semiconductor device in which the top of the gate conductor structure is wider than the base of the gate conductor structure. Such T-gates include, without limitation, structures that are substantially T-shaped, mushroom-shaped, and Y-shaped.
  • In general, gate structures such as T-gates have been advantageously used in several technologies. For example, metal semiconductor field effect transistors (“MESFETs”), high electron mobility transistors (“HEMTs”) (variant of gallium arsenide field effect transistor technology) mainly used in satellite broadcasting receivers, high speed logic circuits and power modules have employed gate structures with bases smaller than the contact area. These types of gate structures are required in field effect transistors for operation in ultra-high frequency ranges. The narrow base of a T-gate structure provides a short channel length which results in increased speed and decreased power consumption. Parasitic resistances and capacitances that limit device speed are also reduced. The top portion of a T-gate is made wide so that the conductance of the T-gate remains high, for example, for high switching speeds.
  • Recent advances in CMOS transistor architecture make use of T-gate structures where the polysilicon gate electrode is narrowed in the gate regions and wider on top of the gate. This is due to the ever increasing demand for scaling down semiconductor devices and scaling down power consumption requirements.
  • Electron-beam (“e-beam”) is the most commonly used technique for T-gate fabrication. FIGS. 1A-1D illustrate a process for forming a T-gate using e-beam. Typically, substrate 1 is coated with a layer of first poly(methyl methacrylate)-based photoresist 2, a layer of second poly(methyl methacrylate)-based photoresist 3, and a layer of third poly(methyl methacrylate)-based photoresist 4. Photoresist layers 2 to 4 are then exposed to e-beam and developed to provide a patterned photoresist stack having generally T-shaped profile 5 as shown in FIG. 1B. A layer of a conductive material 6 is then deposited on the entire surface inclusive of the surface of substrate 1 exposed by the patterning of the photoresist layers, see FIG. 1C. Photoresist layers 2 to 4 are then removed, lifting-off the conductive material layer on the surface of photoresist layer 4 in the process, to provide T-gate structure 7 on substrate 1 as shown in FIG. 1D.
  • However, such e-beam techniques suffer from certain drawbacks. For example, e-beam lithography suffers from poor linewidth control in the multi-layered stacks used in typical T-gate processes because the exposing e-beam must pass through relatively thick resist films (e.g., about one micron). Further, e-beam exposure is a direct write process which is both slow and expensive.
  • Other methods of forming T-gates have been developed. Certain of these methods utilize a number of sacrificial inorganic layers which require various etching steps and harsher removal processes than photoresist-based processes. Other methods utilize multiple photoresist layers, however, these multiple photoresist layers are imaged at different wavelengths. For example, U.S. Pat. No. 6,387,783 (Furukawa et al.) disclose a process for forming T-gates using a hybrid first photoresist that is imaged using x-rays and a second photoresist that is imaged using I-line radiation. The use of such different wavelengths requires different exposure tools, which increase the costs and complexity of the process. Accordingly, a need exists for improved methods of forming T-gate structures.
  • SUMMARY OF THE INVENTION
  • The inventors have found that T-gate structures may be formed using conventional UV exposure tools using a single wavelength and with fewer processing steps.
  • The present invention provides a method for forming a T-gate on a substrate including the steps of: a) providing a substrate; b) optionally disposing an organic planarizing layer on the substrate; c) disposing a layer of a UV-sensitive first photoresist; d) patterning the first photoresist by exposing the first photoresist to UV radiation through a mask and developing the exposed first photoresist to define a first opening for a base of the T-gate; e) transferring the pattern to the planarizing layer if present; f) rendering the pattern insensitive to the UV-radiation; g) disposing a layer of a UV-sensitive second photoresist, the second photoresist being negative-acting; h) patterning the second photoresist by exposing the second photoresist to the UV radiation through a mask and developing the exposed second photoresist to define a second opening for a cap of the T-gate over the first opening; and i) depositing a conductive material within the first and second openings to form a T-gate.
  • The present invention further provides a method for forming a T-gate structure comprising the steps of: a) providing a substrate; b) disposing an organic planarizing layer on the substrate, disposing a layer of a UV-sensitive first photoresist on the organic planarizing layer, patterning the first photoresist by exposing the first photoresist to UV radiation through a mask and developing the exposed first photoresist to define a first opening for a base of the T-gate, transferring the first opening to the organic planarizing layer, and optionally removing the first photoresist to provide a patterned organic planarizing layer; c) disposing a layer of a UV-sensitive second photoresist wherein the second photoresist is negative-acting; d) patterning the second photoresist by exposing the second photoresist to the UV radiation through a mask and developing the exposed second photoresist to define a second opening for a cap of the T-gate over the first opening; e) depositing a conductive material within the first and second openings to form a T-gate; and f) removing the second photoresist. Preferably, the organic planarizing layer is an antireflective coating layer.
  • Additionally, the present invention provides a method for forming a T-gate structure comprising the steps of: a) providing a substrate; b) disposing a layer of a UV-sensitive first photoresist on the substrate; patterning the first photoresist by exposing the first photoresist to UV radiation through a mask and developing the exposed first photoresist to define a first opening for a base of the T-gate; and curing the patterned first photoresist; c) disposing a layer of a UV-sensitive second photoresist on the cured first photoresist wherein the second photoresist is negative-acting; d) patterning the second photoresist by exposing the second photoresist to the UV radiation through a mask and developing the exposed second photoresist to define a second opening for a cap of the T-gate over the first opening; e) depositing a conductive material within the first and second openings to form a T-gate; and f) removing the first and second photoresists.
  • BRIEF DESCRIPTION OF THE DRAWING
  • FIGS. 1A-1D are a schematic cross-sectional illustration of a conventional process for T-gate formation using e-beam.
  • FIGS. 2A-2F are a schematic cross-sectional illustration of a process for forming a T-gate according to one embodiment of the invention.
  • FIGS. 3A-3H are a schematic cross-sectional illustration of a process for forming a T-gate according to another embodiment of the invention.
  • FIG. 4 is a scanning electron micrograph of a T-gate structure made by the present invention.
  • DETAILED DESCRIPTION OF THE INVENTION
  • As used throughout this specification, the term “T-gate” refers to any gate conductor structure for an electronic device in which the top of the gate conductor structure is wider than the base of the gate conductor structure. Such T-gate structures may have a variety of shapes including, without limitation, T-shaped, mushroom-shaped, and Y-shaped. The articles “a” and “an” refer to the singular and the plural. All numerical ranges are inclusive and combinable in any order except where it is clear that such numerical range is constrained to add up to 100%. Like reference numerals refer to like elements.
  • T-gate structures are formed on a substrate according to the present method using two lithographic processes, the two lithographic processes being performed using the same wavelength of radiation. A negative-acting photoresist is typically used as the photoresist in the second lithographic process. An advantage of the present invention is that a single exposure tool can be utilized instead of multiple tools, thus reducing costs. Accordingly, the present invention provides a method for forming a T-gate structure including the steps of: a) providing a substrate; b) optionally disposing an organic planarizing layer on the substrate; c) disposing a layer of a UV-sensitive first photoresist; d) patterning the first photoresist by exposing the first photoresist to UV radiation through a mask and developing the exposed first photoresist to define a first opening for a base of the T-gate; e) transferring the pattern to the planarizing layer if present; f) rendering the pattern insensitive to the UV-radiation; g) disposing a layer of a UV-sensitive second photoresist, the second photoresist being negative-acting; h) patterning the second photoresist by exposing the second photoresist to the UV radiation through a mask and developing the exposed second photoresist to define a second opening for a cap of the T-gate over the first opening; and i) depositing a conductive material within the first and second openings to form a T-gate. The remaining photoresist layers are then removed and function as lift-off layers by removing the conductive material deposited on the surface of the second photoresist. The wavelength of the UV radiation used to image the first and second photoresists is the same.
  • A wide variety of substrates may be used in the present invention. Suitable substrates are those used in the manufacture of electronic devices. Exemplary substrates include, without limitation, gallium arsenide (“GaAs”), silicon (“Si”), indium gallium arsenide (“InGaAs”), aluminum gallium arsenide (“AlGaAs”), strained silicon, silicon germanium (“SiGe”), and mixtures thereof. Other suitable substrates are well known to those skilled in the art. For example, the substrate may include an InGaAs/AlGaAs/GaAs film stack grown on a semi-insulating GaAs substrate. Such films may be grown by a variety of means, such as by molecular beam epitaxy (“MBE”), metalorganic chemical vapor deposition (“MOCVD”), physical vapor deposition (“PVD”), liquid phase epitaxy (“LPE”), chemical beam epitaxy (“CBE”) and atomic layer deposition (“ALD”). These film growth techniques are well known to those skilled in the art. The substrates may include one or more additional layers of materials. The choice of such substrates will depend upon the particular electronic device desired and is well within the ability of those skilled in the art.
  • The optional organic planarizing layers that may be used in the present invention include, but are not limited to, one or more layers of via-filling material, antireflective coatings and mixtures thereof. Via-filling materials typically contain one or more cross-linkable polymers, particularly low molecular weight polymers such as those having a weight average molecular weight of less than or equal to about 8000 and a value of Mw/Mn of at least 1.5, one or more acid catalysts, one or more cross-linking agents and one or more solvents. Such via-filling materials are typically used to fill apertures to protect such apertures from damage during subsequent processing steps. It will be appreciated by those skilled in the art that such via-filling materials may also function as antireflective coatings upon the inclusion of one or more chromophores. Exemplary via-filling materials are disclosed in U.S. Pat. No. 6,461,717.
  • The antireflective coating is typically an organic antireflective coating. Such antireflective coatings contain one or more light absorbing materials. Such materials may be bound to a polymer or may be admixed with a polymer or incorporated by any other suitable means. Exemplary light absorbing materials include, without limitation, phenyl and anthracenyl, each optionally substituted. The selection of an antireflective coating depends upon the particular wavelength of UV radiation and the photoresist employed. Such selection is within the abilities of those skilled in the art. Multiple layers of antireflective coatings may optionally be used. Exemplary antireflective coating materials are disclosed in U.S. Pat. Nos. 6,528,235; 6,472,128; 6,451,503; 6,165,697; and 5,851,730.
  • The optional planarizing layer may be disposed on the substrate by any suitable means, such as spin coating, roller coating, curtain coating, dipping, and spraying. Spin coating is conventionally used. The thickness of the planarizing layer is determined by the spin speed in the case of spin coating, and by the viscosity of the planarizing material composition. In general, the planarizing layer, particularly an antireflective coating layer, has a thickness of up to 500 nm, although greater thicknesses may be used. Typically, the thickness of the planarizing layer ranges from 100 to 500 nm, more typically from 150 to 300 nm, and still more typically from 200 to 300 nm. Following deposition, the planarizing material is typically baked to remove solvent and then sufficiently cured by any suitable means to prevent intermixing with the subsequently deposited first photoresist.
  • A wide variety of UV-sensitive photoresists may be used as the first photoresist, such as positive-acting and negative-acting photoresists. Chemically amplified positive photoresists suitably contain a component that has moieties that undergo a deblocking or cleavage reaction in the presence of photogenerated acid, such as photoacid-labile esters or acetals. Positive acting photoresists for sub-300 nm imaging such as 248 nm include a photoacid generator compound such as an iodonium or sulfonium salt and a polymer that comprises phenolic units (or silsesquioxane units or both phenolic units and silsesquioxane units) and acid-labile ester and/or acetal moieties. Exemplary positive-acting photoresists are disclosed in, e.g., U.S. Pat. Nos. 6,042,997; 6,090,526; 5,929,176; and 5,492,793.
  • Negative-acting photoresists for sub-300 nm imaging such as 248 nm typically include a phenolic resin or a silsesquioxane polymer or blends thereof or copolymers containing both phenolic units and silsesquioxane units, a photoacid generator compound such as an iodonium or sulfonium salt, and a cross-linking agent. Suitable negative-acting photoresist cross-linkers include, without limitation, amine-based cross-linkers such as melamine resins. Exemplary negative-acting photoresists are disclosed in, e.g., U.S. Pat. Nos. 5,514,520; 5,340,696; and 5,210,000, and European Published Application EP 042 391.
  • The term “silsesquioxane” refers to polymers, oligomers, and partial condensates having the formula (RSiO1.5) where R is an organic moiety such as (C1-C6)alkyl and phenyl, either being optionally substituted. By “substituted” it is meant that one or more hydrogens on the alkyl or phenyl group is replaced by one or more substituent groups, such as hydroxyl, (C1-C6)alkoxy, (C1-C6)alkyl, alkoxyalkyl, (C7-C16)aralkyl, and the like. Exemplary phenolic resins include, without limitation, novolak resins and hydroxystyrene resins. Exemplary silsesquioxane-containing photoresists are those disclosed in U.S. Pat. Nos. 4,745,169 and 5,338,818, and U.S. patent application Ser. No. 2003/0099899.
  • Any UV-sensitive negative-acting photoresist may suitably be used as the second photoresist in the present invention. Exemplary second photoresists include, without limitation, the negative-acting photoresists described above.
  • Exemplary positive-acting and negative-acting photoresists for use in the present invention are SR™2420 and UVN™30, respectively, both available from Shipley Company (Marlborough, Mass.).
  • Such first and second photoresists may be imaged at a variety of wavelengths, such as from 190 to 365 nm. Typically, the photoresists are imaged at wavelengths of 190 to 300 nm, and more typically at 248 nm. The first and second photoresists are preferably selected such that they are both imaged at the same wavelength of UV radiation.
  • The first and second photoresists may be disposed by any suitable means, such as spin coating, roller coating, curtain coating, dipping, and spraying. Spin coating is conventionally used. The thickness of the photoresist layer is determined by the spin speed in the case of spin coating, and by the viscosity of the photoresist. In general, the first photoresist layer has a thickness of up to 500 nm, although other thicknesses may be used. Typically, the first photoresist layer thickness ranges from 100 to 500 nm, more typically from 100 to 300 nm and still more typically from 150 to 250 nm. The second photoresist layer typically has a thickness of up to 1 μm (micron), although other thicknesses may suitably be employed. In one embodiment, the second photoresist layer has a thickness range of 300 nm to 1 μm, more typically from 500 nm to 900 nm, and still more typically from 600 to 800 nm. In general, the second photoresist layer has a thickness greater than that of the first photoresist layer.
  • The photoresists are patterned by exposing the photoresists to suitable UV radiation through a mask, followed by development. A wide variety of exposure tools may be used with the present process. Suitable exposure tools are those manufactured by ASML (Veldhoven, the Netherlands), such as a PAS5500/300 tool. The exposure time and the exposure dose depend upon a variety of factors, such as the particular photoresist selected, and are well within the abilities of those skilled in the art. The photoresists may be developed using a variety of developer solutions. Suitable developer solutions include, but are not limited to, organic solvents and aqueous alkaline compositions. Exemplary aqueous alkaline compositions include, without limitation, tetraalkylammonium hydroxide-based compositions such as 0.15 to 0.26 N tetramethylammonium hydroxide (“TMAH”). Such developers are commercially available from a variety of sources, such as from Shipley Company.
  • When an organic planarizing layer is used, the pattern from the first photoresist layer is transferred to the planarizing layer. Such pattern transfer may be achieved by a variety of means such as by etching. The etching of the planarizing material may be performed by a variety of methods including wet etching and dry etching such as reactive ion etching, plasma etching and ion beam milling. In general, such etching step removes the portion of the planarizing layer exposed after patterning of the first photoresist layer. The etching step may be stopped after removal of the planarizing material, thus stopping at the substrate surface, or may optionally remove a portion of the substrate. Such etching techniques are conventional and are well within the abilities of those skilled in the art.
  • Prior to disposing the second photoresist, the pattern is rendered insensitive to the UV-radiation. The pattern may be rendered insensitive by curing the patterned first photoresist. By “curing” it is meant that the first photoresist is subjected to conditions that render it less soluble, and preferably insoluble, in the second photoresist, the developer solution used to develop the second photoresist or both. Such curing may be accomplished by exposure to UV radiation, heating, or a combination thereof. A variety of exposure doses and temperatures may be used to cure the first photoresist. For example, exposure doses of 10×Eo to 20×Eo may be used, as well as doses that are higher and lower than this. The first photoresist may be heated at a temperature of 100 to 130° C., although higher and lower temperatures may be used. In general, the photoresist is heated for 5 to 360 seconds.
  • When an organic planarizing layer is used, the pattern may alternatively be rendered insensitive to the UV radiation after transfer of the pattern to the planarizing layer by removing the first photoresist to provide a patterned planarizing layer. Such planarizing layer is typically insensitive to UV radiation. The first photoresist may be removed using any suitable resist stripper, such as those described below.
  • A wide variety of conductive materials may be used in the present invention, such as metals and conductive polymers. Metals are preferred and include alloys. Exemplary metals and alloys include, without limitation, gallium, arsenic, tantalum, tungsten, molybdenum, titanium, platinum, gold, silver, germanium, aluminum, copper, titanium-platinum-gold, and gold-germanium-platinum/gold. Various other metals and metal alloys may be advantageously used. Such conductive materials are deposited on the second photoresist. When a metal and/or alloy is the conductive material, it may be deposited by any suitable means, such as, but not limited to, sputtering, physical vapor deposition, and chemical vapor deposition.
  • In the present invention, the first and second photoresists may be removed by any suitable technique. For example, the photoresists may be removed by contacting them with a polymer remover composition for a period of time and at a temperature sufficient to remove the photoresists. Photoresists are generally contacted with the polymer remover at a temperature of 10° to 90° C. Typically, the temperature ranges from 15° to 85° C. and more typically from 20° to 85° C. Exemplary contact times range from 5 seconds to 15 minutes, although shorter or longer times may be used. Typically, the contact time is from 5 to 300 seconds and more typically from 10 to 120 seconds. The particular time and temperature will depend upon the photoresist to be removed and the particular polymer remover composition.
  • Exemplary polymer removers include, but are not limited to, organic solvents, bases such as tetraalkylammonium hydroxides, fluoride ion sources such as hydrogen fluoride, ammonium fluoride and ammonium bifluoride, hydroxylamine, and alkanolamines. Such polymer removers may contain one or more additional components such as corrosion inhibitors, solvents, water, wetting agents, antifreeze agents, and thickening agents. Polymer removers are generally commercially available, such as from Shipley Company and EKC Technologies.
  • The second photoresist having a conductive material disposed thereon functions as a lift-off layer when removed. That is, removing the second photoresist by contacting it with a polymer remover also removes the conductive material that has been deposited on the surface of the second photoresist, leaving the conductive material that has been deposited within the first and second openings, i.e. the T-gate structure.
  • In a first embodiment (referred to as “double-coat process”), T-gate structures are formed according to a method including the steps of: a) providing a substrate; b) disposing a layer of a UV-sensitive first photoresist on the substrate, patterning the first photoresist by exposing the first photoresist to UV radiation through a mask and developing the exposed first photoresist to define a first opening for a base of the T-gate, and curing the patterned first photoresist; c) disposing a layer of a UV-sensitive second photoresist on the cured first photoresist wherein the second photoresist is negative-acting; d) patterning the second photoresist by exposing the second photoresist to the UV radiation through a mask and developing the exposed second photoresist to define a second opening for a cap of the T-gate over the first opening; and e) depositing a conductive material within the first and second openings to form a T-gate. The photoresist layers are then removed and function as lift-off layers by removing the conductive material deposited on the surface of the second photoresist. One or more layers of antireflective coatings and/or via-filling materials may be disposed between the substrate and the first photoresist.
  • In the double-coat process, the second photoresist is disposed on the first photoresist. The first photoresist is cured prior to the deposition of the second photoresist. In the double-coat process, the first photoresist is preferably negative-acting. In one embodiment of the double-coat process, the first photoresist and the second photoresist are the same. The substrate may optionally be etched in the double-coat process following patterning of the first photoresist layer, and preferably etched after patterning of the second photoresist layer. Any of the above described etching techniques may be used to etch the substrate.
  • FIGS. 2A-2E illustrate the double-coat process of the present invention. First photoresist 11, typically a negative-acting photoresist, is disposed on substrate 10, such as GaAs or silicon. First photoresist 11 is then exposed to UV-radiation, such as 248 nm radiation, through a mask and developed, such as with 0.26 N TMAH, to pattern first photoresist 11 and define first opening 12 fore the base of the T-gate structure. See FIG. 2A. First photoresist 11 is then cured using heat and light and a layer of second photoresist 13 is disposed on cured first photoresist 11. See FIG. 2B. Second photoresist 13 is then patterned to define second opening 14 for the top of the T-gate by exposing second photoresist 13 to UV-radiation through a mask, the UV-radiation being the same as that used to expose first photoresist 11, and then developing second photoresist 13. The patterning of second photoresist 13 is performed in a manner to provide second opening 14 having an undercut profile as illustrated in FIG. 2C. Such undercut profile assists in the lift-off of subsequently deposited conductive material. Optionally, a portion of substrate 10 exposed by the first and second openings is etched as illustrated by recess 15 which is illustrated in FIG. 2D as undercutting the opening in first photoresist 11. Gate metallization layer 16 (conductive material) is then deposited within the first and second openings as well as over the surface of second photoresist layer 13. See FIG. 2E. The first and second photoresists are then removed by contacting the device with a polymer remover. The second photoresist functions to lift-off the gate metallization layer covering the surface of the second photoresist leaving T-gate structure 17 on substrate 10, as illustrated in FIG. 2F.
  • In a second embodiment (referred to as “bi-layer process”), T-gate structures are formed according to a method including the steps of: a) providing a substrate; b) disposing a layer of a planarizing material on the substrate, disposing a layer of a UV-sensitive first photoresist on the planarizing material layer, patterning the first photoresist by exposing the first photoresist to UV radiation through a mask and developing the exposed first photoresist to define a first opening for a base of the T-gate, transferring the first opening to the planarizing material layer, and optionally removing the first photoresist to provide a patterned planarizing material layer; c) disposing a layer of a UV-sensitive second photoresist wherein the second photoresist is negative-acting; d) patterning the second photoresist by exposing the second photoresist to the UV radiation through a mask and developing the exposed second photoresist to define a second opening for a cap of the T-gate over the first opening; and e) depositing a conductive material within the first and second openings to form a T-gate. The second photoresist is then removed.
  • In one embodiment of the present bi-layer process, the first photoresist is positive-acting. In another embodiment of the bi-layer process, the first photoresist is negative acting. In a further embodiment of the bi-layer process, the first photoresist is positive-acting and contains a polymer including silsesquioxane units.
  • FIGS. 3A-3H illustrate the bi-layer process of the present invention. A layer of a polymeric antireflective coating 20, such as AR™2450 underlayer available from Shipley Company, is spin coated to a thickness of 200 to 250 nm on substrate 10, such as GaAs or silicon. Antireflective coating 20 contains a phenolic moiety, a thermal acid generator and a cross-linking agent. After spin-coating, antireflective coating 20 is baked and sufficiently cured to prevent intermixing with subsequently applied photoresists. First photoresist 21 is spin coated on antireflective coating 20 to a thickness of, e.g., up to 250 nm. First photoresist 21 may be a positive-acting photoresist such as SR™2420 which is a silsesquioxane-containing photoresist available from Shipley Company. See FIG. 3A. First photoresist 21 is patterned to define aperture 22 by exposing first photoresist to UV radiation through a mask using a PAS5500/300 tool and then developing the exposed first photoresist. See FIG. 3B. Antireflective coating 20 is then etched to form first opening 23 for the base of the T-gate and then first photoresist 21 is removed, such as by contact with a fluoride-based polymer remover such as PRx417 available from Shipley Company. Negative-acting second photoresist 24 is then spin coated to a thickness of approximately 900 nm on patterned antireflective coating 20, as illustrated in FIG. 3D. An exemplary second photoresist is UVN™30, available from Shipley Company. Second photoresist 24 is patterned to define second opening 25 for the top of the T-gate by exposing second photoresist 24 to UV-radiation through a mask, the UV-radiation being the same as that used to expose first photoresist 21, and then developing second photoresist 24. The patterning of second photoresist 24 is performed in a manner to provide second opening 25 having an undercut profile as illustrated in FIG. 3E. Optionally, a portion of substrate 10 exposed by the first and second openings is etched as illustrated by recess 26 which is shown in FIG. 3F as undercutting the opening in antireflective coating 20. A gate metallization layer (conductive material) 27 is then deposited within the first and second openings as well as over the surface of the second photoresist layer. See FIG. 3G. The second photoresist and the antireflective coating are then removed by contacting the device with a polymer remover. The second photoresist functions to lift-off the gate metallization layer covering the surface of the second photoresist leaving T-gate structure 28 on substrate 10, as illustrated in FIG. 3H.
  • The following example is expected to further illustrate various aspects of the present invention, but is not intended to limit the scope of the invention in any aspect.
  • EXAMPLE
  • A layer of negative-acting photoresist (UVN™ 30, Shipley Company) was spin coated on a gallium arsenide substrate to a thickness of approximately 200 nm. The photoresist was patterned by exposure to UV radiation at 248 nm through a mask using a PAS5500/300 tool followed by development using 0.26 N TMAH for 10 seconds to provide approximately 150 nm openings for the base of the T-gate. The photoresist layer was then cured by flood exposure of 200 mJ (approximately 15×Eo) followed by heating at 115° c for 60 seconds. A second layer of a negative acting photoresist (UVN™ 30) was spin coated to a thickness of approximately 700 nm on the cured first photoresist layer. The second photoresist layer was then patterned in the same way as the first photoresist layer (248 nm) to define a second opening for the top (or cap) of the T-gate over the first opening. Next, a gate metallization layer was deposited by vapor deposition. The gate metallization layer was titanium-platinum-gold. Next, the first and second photoresist layers were removed by contacting them with a TMAH-containing polymer remover. The second photoresist layer acted as a lift-off layer, removing the gate metallization layer that was deposited over the surface of the second photoresist layer. The resulting T-gate structure, shown in FIG. 4, had a stem CD of approximately 200 nm, a cap CD of approximately 600 nm, and a total height of approximately 500 nm.

Claims (11)

1. The present invention provides a method for forming a T-gate on a substrate including the steps of:
a) providing a substrate;
b) optionally disposing an organic planarizing layer on the substrate;
c) disposing a layer of a UV-sensitive first photoresist;
d) patterning the first photoresist by exposing the first photoresist to UV radiation through a mask and developing the exposed first photoresist to define a first opening for a base of the T-gate;
e) transferring the pattern to the planarizing layer if present;
f) rendering the pattern insensitive to the UV-radiation;
g) disposing a layer of a UV-sensitive second photoresist, the second photoresist being negative-acting;
h) patterning the second photoresist by exposing the second photoresist to the UV radiation through a mask and developing the exposed second photoresist to define a second opening for a cap of the T-gate over the first opening; and
i) depositing a conductive material within the first and second openings to form a T-gate.
2. The method of claim 1 wherein the UV radiation has a wavelength of 190 to 300 nm.
3. The method of claim 1 wherein the step of rendering the pattern insensitive to the UV radiation comprises curing the first photoresist.
4. The method of claim 1 further comprising the step of removing the second photoresist.
5. The method of claim 1 wherein the second photoresist layer has a thickness greater than that of the first photoresist layer.
6. The method of claim 1 wherein the organic planarizing layer is chosen from a via-filling layer and an antireflective coating layer.
7. A method for forming a T-gate structure comprising the steps of:
a) providing a substrate;
b) disposing a layer of a UV-sensitive first photoresist on the substrate; patterning the first photoresist by exposing the first photoresist to UV radiation through a mask and developing the exposed first photoresist to define a first opening for a base of the T-gate; and curing the patterned first photoresist;
c) disposing a layer of a UV-sensitive second photoresist on the cured first photoresist wherein the second photoresist is negative-acting;
d) patterning the second photoresist by exposing the second photoresist to the UV radiation through a mask and developing the exposed second photoresist to define a second opening for a cap of the T-gate over the first opening;
e) depositing a conductive material within the first and second openings to form a T-gate; and
f) removing the first and second photoresists.
8. The method of claim 7 wherein the first photoresist is negative acting.
9. The method okf claim 9 wherein the UV radiation has a wavelength of 248 nm.
10. A method for forming a T-gate structure comprising the steps of:
a) providing a substrate;
b) disposing an organic planarizing layer on the substrate, disposing a layer of a UV-sensitive first photoresist on the organic planarizing layer, patterning the first photoresist by exposing the first photoresist to UV radiation through a mask and developing the exposed first photoresist to define a first opening for a base of the T-gate, transferring the first opening to the organic planarizing layer, and removing the first photoresist to provide a patterned organic planarizing layer;
c) disposing a layer of a UV-sensitive second photoresist on the patterned organic planarizing layer wherein the second photoresist is negative-acting;
d) patterning the second photoresist by exposing the second photoresist to the UV radiation through a mask and developing the exposed second photoresist to define a second opening for a cap of the T-gate over the first opening;
e) depositing a conductive material within the first and second openings to form a T-gate; and
f) removing the second photoresist.
11. The method of claim 9 wherein the UV radiation has a wavelength of 248 nm.
US11/046,045 2004-01-29 2005-01-28 T-gate formation Expired - Fee Related US7413942B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/046,045 US7413942B2 (en) 2004-01-29 2005-01-28 T-gate formation

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US53993204P 2004-01-29 2004-01-29
US11/046,045 US7413942B2 (en) 2004-01-29 2005-01-28 T-gate formation

Publications (3)

Publication Number Publication Date
US20050202613A1 US20050202613A1 (en) 2005-09-15
US20060223245A9 true US20060223245A9 (en) 2006-10-05
US7413942B2 US7413942B2 (en) 2008-08-19

Family

ID=34652505

Family Applications (1)

Application Number Title Priority Date Filing Date
US11/046,045 Expired - Fee Related US7413942B2 (en) 2004-01-29 2005-01-28 T-gate formation

Country Status (7)

Country Link
US (1) US7413942B2 (en)
EP (1) EP1560260A1 (en)
JP (2) JP2005236281A (en)
KR (1) KR101125707B1 (en)
CN (1) CN100421217C (en)
SG (1) SG113599A1 (en)
TW (1) TWI380354B (en)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060046446A1 (en) * 2004-08-31 2006-03-02 Fujitsu Limited Semiconductor device and manufacturing method thereof, and gate electrode and manufacturing method thereof
US20070049031A1 (en) * 2005-08-30 2007-03-01 Oki Electric Industry Co., Ltd. Etching method, method of fabricating metal film structure, and etching structure
US20080076073A1 (en) * 2006-09-22 2008-03-27 Tokyo Electron Limited Method for double imaging a developable anti-reflective coating
US20080076069A1 (en) * 2006-09-22 2008-03-27 Tokyo Electron Limited Method of patterning an anti-reflective coating by partial developing
US20080076074A1 (en) * 2006-09-22 2008-03-27 Tokyo Electron Limited Method for double patterning a developable anti-reflective coating
US20080076075A1 (en) * 2006-09-22 2008-03-27 Tokyo Electron Limited Method for double patterning a thin film
US20080171269A1 (en) * 2007-01-15 2008-07-17 Tokyo Electron Limited Method of patterning an organic planarization layer
US20080171293A1 (en) * 2007-01-15 2008-07-17 Tokyo Electron Limited Method of double patterning a thin film using a developable anti-reflective coating and a developable organic planarization layer
US20080251877A1 (en) * 2007-04-12 2008-10-16 Kanti Jain Methods for fabricating complex micro and nanoscale structures and electronic devices and components made by the same
US20090023098A1 (en) * 2007-07-16 2009-01-22 Kanti Jain Method for fabricating dual damascene profiles using sub pixel-voting lithography and devices made by same
US20090305504A1 (en) * 2006-07-21 2009-12-10 Ce Ma Single precursors for atomic layer deposition
US20110057237A1 (en) * 2009-09-04 2011-03-10 Electronics And Telecommunications Research Institute Semiconductor devices and methods of forming thereof

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100647459B1 (en) * 2005-11-29 2006-11-23 한국전자통신연구원 Manufacturing method of t or gamma gate electrode
KR100636597B1 (en) * 2005-12-07 2006-10-23 한국전자통신연구원 Fabrication method of t-gate
JP5013119B2 (en) * 2007-09-20 2012-08-29 信越化学工業株式会社 Pattern forming method and resist material used therefor
JP2009168913A (en) * 2008-01-11 2009-07-30 Canon Inc Film pattern forming method
CN101251713B (en) * 2008-04-07 2010-11-10 中国电子科技集团公司第十三研究所 Method for deep-UV lithography making T type gate
US7608865B1 (en) * 2008-04-28 2009-10-27 Northrop Grumman Space & Mission Systems Corp. Club extension to a T-gate high electron mobility transistor
CN103137441A (en) * 2011-11-22 2013-06-05 上海华虹Nec电子有限公司 Method for manufacturing elongated isolated line pattern in semiconductor process
US9048184B2 (en) * 2013-03-15 2015-06-02 Northrop Grumman Systems Corporation Method of forming a gate contact
US9379327B1 (en) 2014-12-16 2016-06-28 Carbonics Inc. Photolithography based fabrication of 3D structures
CN104701154A (en) * 2015-03-11 2015-06-10 北京工业大学 Preparation method for sub-half-micron T-shaped gate via chemical shrinkage method
CN104851788B (en) * 2015-04-28 2018-08-24 厦门市三安集成电路有限公司 A kind of production method of the T-type grid of GaAs based transistor
CN104900503B (en) * 2015-04-28 2018-05-01 厦门市三安集成电路有限公司 A kind of production method of the T-shaped grid of high ionic mobility transistor
US9443740B1 (en) * 2015-05-15 2016-09-13 Cindy X. Qiu Process for forming gate of thin film transistor devices
CN105511233B (en) * 2015-12-28 2017-09-05 中国电子科技集团公司第十三研究所 The method that T-shaped grid are prepared using electron beam exposure twice
US10340352B2 (en) * 2017-03-14 2019-07-02 Globalfoundries Inc. Field-effect transistors with a T-shaped gate electrode
US10566200B2 (en) * 2018-04-03 2020-02-18 Texas Instruments Incorporated Method of fabricating transistors, including ambient oxidizing after etchings into barrier layers and anti-reflecting coatings
CN108807162A (en) * 2018-05-28 2018-11-13 苏州汉骅半导体有限公司 T-type grid preparation method
CN110429027B (en) * 2019-06-27 2021-10-29 福建省福联集成电路有限公司 Method and device for improving production efficiency of low-linewidth grid device
CN112652540B (en) * 2020-07-01 2022-04-22 腾讯科技(深圳)有限公司 Indium column welding spot preparation method, chip substrate and chip
CN112002641A (en) * 2020-07-21 2020-11-27 中电科工程建设有限公司 Method for manufacturing grid of GaN power device for 5G communication
CN116670835A (en) * 2021-04-30 2023-08-29 华为技术有限公司 Method for forming grid electrode and semiconductor device

Citations (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4679311A (en) * 1985-12-12 1987-07-14 Allied Corporation Method of fabricating self-aligned field-effect transistor having t-shaped gate electrode, sub-micron gate length and variable drain to gate spacing
US4923827A (en) * 1988-05-16 1990-05-08 Eaton Corporation T-type undercut electrical contact process on a semiconductor substrate
US4997778A (en) * 1988-09-05 1991-03-05 Korea Electronics And Telecommunications Research Institute Process for forming a self-aligned FET having a T-shaped gate structure
US5334542A (en) * 1991-11-27 1994-08-02 Oki Electric Industry Co., Ltd. Method of forming T-shaped electrode
US5543253A (en) * 1994-08-08 1996-08-06 Electronics & Telecommunications Research Inst. Photomask for t-gate formation and process for fabricating the same
US5563079A (en) * 1992-06-09 1996-10-08 Goldstar Co., Ltd. Method of making a field effect transistor
US5856232A (en) * 1995-11-21 1999-01-05 Electronics And Telecommunications Research Institute Method for fabricating T-shaped electrode and metal layer having low resistance
US5858824A (en) * 1996-06-14 1999-01-12 Nec Corporation Method of forming fine electrode on semiconductor substrate
US5876901A (en) * 1995-01-31 1999-03-02 Sharp Kabushiki Kaisha Method for fabricating semiconductor device
US5930610A (en) * 1995-12-18 1999-07-27 Lg Semicon Co., Ltd. Method for manufacturing T-gate
US5940697A (en) * 1997-09-30 1999-08-17 Samsung Electronics Co., Ltd. T-gate MESFET process using dielectric film lift-off technique
US6114082A (en) * 1996-09-16 2000-09-05 International Business Machines Corporation Frequency doubling hybrid photoresist having negative and positive tone components and method of preparing the same
US6139995A (en) * 1998-07-08 2000-10-31 Lucent Technologies Inc. Method of manufacturing schottky gate transistor utilizing alignment techniques with multiple photoresist layers
US6153499A (en) * 1998-04-22 2000-11-28 Matsushita Electric Industrial Co., Ltd. Method of manufacturing semiconductor device
US6255035B1 (en) * 1999-03-17 2001-07-03 Electron Vision Corporation Method of creating optimal photoresist structures used in the manufacture of metal T-gates for high-speed semiconductor devices
US6255202B1 (en) * 2000-07-20 2001-07-03 Advanced Micro Devices, Inc. Damascene T-gate using a spacer flow
US6294446B1 (en) * 1996-04-04 2001-09-25 Honda Giken Kogyo Kabushiki Kaisha Methods of manufacturing a high electron mobility transistor with a T-shaped gate electrode
US6319802B1 (en) * 2000-07-20 2001-11-20 Advanced Micro Devices, Inc. T-gate formation using modified damascene processing with two masks
US20020048858A1 (en) * 1999-04-26 2002-04-25 Toshiharu Furukawa Methods of t-gate fabrication using a hybrid resist
US6403456B1 (en) * 2000-08-22 2002-06-11 Advanced Micro Devices, Inc. T or T/Y gate formation using trim etch processing
US6417084B1 (en) * 2000-07-20 2002-07-09 Advanced Micro Devices, Inc. T-gate formation using a modified conventional poly process
US6509253B1 (en) * 2001-02-16 2003-01-21 Advanced Micro Devices, Inc. T-shaped gate electrode for reduced resistance
US6784081B1 (en) * 2003-08-06 2004-08-31 Suntek Compound Semiconductor Co., Ltd. Gate structure forming method of field effect transistor

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04359522A (en) * 1991-06-06 1992-12-11 Nec Corp Method of forming gate electrode
JPH05218095A (en) * 1991-11-27 1993-08-27 Oki Electric Ind Co Ltd Manufacture of semiconductor device
JPH0621103A (en) * 1992-04-09 1994-01-28 Hewlett Packard Co <Hp> Formation method of t-shaped gate structure
JP3004821B2 (en) * 1992-08-18 2000-01-31 沖電気工業株式会社 Electrode formation method
JP3330214B2 (en) * 1993-12-14 2002-09-30 三菱電機株式会社 Method of forming multilayer resist pattern and method of manufacturing semiconductor device
JPH08172102A (en) * 1994-12-20 1996-07-02 Murata Mfg Co Ltd Manufacture of semiconductor device
JPH0974073A (en) * 1995-09-06 1997-03-18 Nec Corp Electrode/wiring formation method
JPH10256229A (en) * 1997-03-06 1998-09-25 Toshiba Corp Manufacture of semiconductor device
KR100549574B1 (en) * 1999-12-30 2006-02-08 주식회사 하이닉스반도체 Organic anti-reflective coating polymers and preparation thereof
CN1110065C (en) * 2000-04-05 2003-05-28 信息产业部电子第十三研究所 Method for automatically aligning grid cap to grid foot of T-shaped grid of smeicondctor device
US6461717B1 (en) * 2000-04-24 2002-10-08 Shipley Company, L.L.C. Aperture fill
US6524937B1 (en) * 2000-08-23 2003-02-25 Tyco Electronics Corp. Selective T-gate process

Patent Citations (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4679311A (en) * 1985-12-12 1987-07-14 Allied Corporation Method of fabricating self-aligned field-effect transistor having t-shaped gate electrode, sub-micron gate length and variable drain to gate spacing
US4923827A (en) * 1988-05-16 1990-05-08 Eaton Corporation T-type undercut electrical contact process on a semiconductor substrate
US4997778A (en) * 1988-09-05 1991-03-05 Korea Electronics And Telecommunications Research Institute Process for forming a self-aligned FET having a T-shaped gate structure
US5334542A (en) * 1991-11-27 1994-08-02 Oki Electric Industry Co., Ltd. Method of forming T-shaped electrode
US5563079A (en) * 1992-06-09 1996-10-08 Goldstar Co., Ltd. Method of making a field effect transistor
US5543253A (en) * 1994-08-08 1996-08-06 Electronics & Telecommunications Research Inst. Photomask for t-gate formation and process for fabricating the same
US5876901A (en) * 1995-01-31 1999-03-02 Sharp Kabushiki Kaisha Method for fabricating semiconductor device
US5856232A (en) * 1995-11-21 1999-01-05 Electronics And Telecommunications Research Institute Method for fabricating T-shaped electrode and metal layer having low resistance
US5930610A (en) * 1995-12-18 1999-07-27 Lg Semicon Co., Ltd. Method for manufacturing T-gate
US6294446B1 (en) * 1996-04-04 2001-09-25 Honda Giken Kogyo Kabushiki Kaisha Methods of manufacturing a high electron mobility transistor with a T-shaped gate electrode
US5858824A (en) * 1996-06-14 1999-01-12 Nec Corporation Method of forming fine electrode on semiconductor substrate
US6114082A (en) * 1996-09-16 2000-09-05 International Business Machines Corporation Frequency doubling hybrid photoresist having negative and positive tone components and method of preparing the same
US5940697A (en) * 1997-09-30 1999-08-17 Samsung Electronics Co., Ltd. T-gate MESFET process using dielectric film lift-off technique
US6153499A (en) * 1998-04-22 2000-11-28 Matsushita Electric Industrial Co., Ltd. Method of manufacturing semiconductor device
US6139995A (en) * 1998-07-08 2000-10-31 Lucent Technologies Inc. Method of manufacturing schottky gate transistor utilizing alignment techniques with multiple photoresist layers
US6255035B1 (en) * 1999-03-17 2001-07-03 Electron Vision Corporation Method of creating optimal photoresist structures used in the manufacture of metal T-gates for high-speed semiconductor devices
US20020048858A1 (en) * 1999-04-26 2002-04-25 Toshiharu Furukawa Methods of t-gate fabrication using a hybrid resist
US6387783B1 (en) * 1999-04-26 2002-05-14 International Business Machines Corporation Methods of T-gate fabrication using a hybrid resist
US6255202B1 (en) * 2000-07-20 2001-07-03 Advanced Micro Devices, Inc. Damascene T-gate using a spacer flow
US6319802B1 (en) * 2000-07-20 2001-11-20 Advanced Micro Devices, Inc. T-gate formation using modified damascene processing with two masks
US6417084B1 (en) * 2000-07-20 2002-07-09 Advanced Micro Devices, Inc. T-gate formation using a modified conventional poly process
US6403456B1 (en) * 2000-08-22 2002-06-11 Advanced Micro Devices, Inc. T or T/Y gate formation using trim etch processing
US6509253B1 (en) * 2001-02-16 2003-01-21 Advanced Micro Devices, Inc. T-shaped gate electrode for reduced resistance
US6784081B1 (en) * 2003-08-06 2004-08-31 Suntek Compound Semiconductor Co., Ltd. Gate structure forming method of field effect transistor

Cited By (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7220628B2 (en) * 2004-08-31 2007-05-22 Fujitsu Limited Semiconductor device and manufacturing method thereof, and gate electrode and manufacturing method thereof
US20060046446A1 (en) * 2004-08-31 2006-03-02 Fujitsu Limited Semiconductor device and manufacturing method thereof, and gate electrode and manufacturing method thereof
US7393791B2 (en) * 2005-08-30 2008-07-01 Oki Electric Industry Co., Ltd. Etching method, method of fabricating metal film structure, and etching structure
US20070049031A1 (en) * 2005-08-30 2007-03-01 Oki Electric Industry Co., Ltd. Etching method, method of fabricating metal film structure, and etching structure
US20090305504A1 (en) * 2006-07-21 2009-12-10 Ce Ma Single precursors for atomic layer deposition
US7862985B2 (en) * 2006-09-22 2011-01-04 Tokyo Electron Limited Method for double patterning a developable anti-reflective coating
US20080076073A1 (en) * 2006-09-22 2008-03-27 Tokyo Electron Limited Method for double imaging a developable anti-reflective coating
US20080076074A1 (en) * 2006-09-22 2008-03-27 Tokyo Electron Limited Method for double patterning a developable anti-reflective coating
US7883835B2 (en) 2006-09-22 2011-02-08 Tokyo Electron Limited Method for double patterning a thin film
US20080076075A1 (en) * 2006-09-22 2008-03-27 Tokyo Electron Limited Method for double patterning a thin film
US7858293B2 (en) 2006-09-22 2010-12-28 Tokyo Electron Limited Method for double imaging a developable anti-reflective coating
US7811747B2 (en) 2006-09-22 2010-10-12 Tokyo Electron Limited Method of patterning an anti-reflective coating by partial developing
US20080076069A1 (en) * 2006-09-22 2008-03-27 Tokyo Electron Limited Method of patterning an anti-reflective coating by partial developing
US7767386B2 (en) 2007-01-15 2010-08-03 Tokyo Electron Limited Method of patterning an organic planarization layer
US20080171293A1 (en) * 2007-01-15 2008-07-17 Tokyo Electron Limited Method of double patterning a thin film using a developable anti-reflective coating and a developable organic planarization layer
US20080171269A1 (en) * 2007-01-15 2008-07-17 Tokyo Electron Limited Method of patterning an organic planarization layer
US7932017B2 (en) * 2007-01-15 2011-04-26 Tokyo Electron Limited Method of double patterning a thin film using a developable anti-reflective coating and a developable organic planarization layer
WO2009005863A3 (en) * 2007-04-12 2009-02-19 Univ Illinois Fabricating complex micro and nanoscale structures, electronic devices, and components
WO2009005863A2 (en) * 2007-04-12 2009-01-08 The Board Of Trustees Of The University Of Illinois Fabricating complex micro and nanoscale structures, electronic devices, and components
US20080251877A1 (en) * 2007-04-12 2008-10-16 Kanti Jain Methods for fabricating complex micro and nanoscale structures and electronic devices and components made by the same
US8003300B2 (en) 2007-04-12 2011-08-23 The Board Of Trustees Of The University Of Illinois Methods for fabricating complex micro and nanoscale structures and electronic devices and components made by the same
US20090023098A1 (en) * 2007-07-16 2009-01-22 Kanti Jain Method for fabricating dual damascene profiles using sub pixel-voting lithography and devices made by same
US8652763B2 (en) 2007-07-16 2014-02-18 The Board Of Trustees Of The University Of Illinois Method for fabricating dual damascene profiles using sub pixel-voting lithography and devices made by same
US20110057237A1 (en) * 2009-09-04 2011-03-10 Electronics And Telecommunications Research Institute Semiconductor devices and methods of forming thereof
US8518794B2 (en) * 2009-09-04 2013-08-27 Electronics And Telecommunications Research Institute Semiconductor devices and methods of forming thereof

Also Published As

Publication number Publication date
TW200537603A (en) 2005-11-16
EP1560260A1 (en) 2005-08-03
JP2005236281A (en) 2005-09-02
US7413942B2 (en) 2008-08-19
JP2012230408A (en) 2012-11-22
US20050202613A1 (en) 2005-09-15
TWI380354B (en) 2012-12-21
KR101125707B1 (en) 2012-03-27
SG113599A1 (en) 2005-08-29
CN100421217C (en) 2008-09-24
CN1661779A (en) 2005-08-31
KR20060042877A (en) 2006-05-15

Similar Documents

Publication Publication Date Title
US7413942B2 (en) T-gate formation
US6255035B1 (en) Method of creating optimal photoresist structures used in the manufacture of metal T-gates for high-speed semiconductor devices
TWI449084B (en) Methods of forming electronic devices
TWI442453B (en) Methods of forming electronic devices
US8431329B2 (en) Self-aligned spacer multiple patterning methods
KR20110101098A (en) Methods of forming photolithographic patterns
US6420271B2 (en) Method of forming a pattern
US6150070A (en) Method of creating optimal profile in single layer photoresist
US6218090B1 (en) Method of creating controlled discontinuity between photoresist and substrate for improving metal lift off
US9704711B2 (en) Silicon-based middle layer composition
US9698014B2 (en) Photoresist composition to reduce photoresist pattern collapse
EP1708253B1 (en) Semiconductor device fabrication method
US11500293B2 (en) Patterning material film stack with hard mask layer configured to support selective deposition on patterned resist layer
US7892920B2 (en) Method for manufacturing semiconductor device including implanting through a hole patterned from a first photoresist an oxide and a second photoresist

Legal Events

Date Code Title Description
AS Assignment

Owner name: ROHM AND HAAS ELECTRONIC MATERIALS, L.L.C., MASSAC

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:LINSKENS, FRANK;REEL/FRAME:016247/0051

Effective date: 20050124

Owner name: ASML NETHERLANDS B.V., NETHERLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:PELLENS, RUDY;REEL/FRAME:016247/0059

Effective date: 20050126

FPAY Fee payment

Year of fee payment: 4

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20160819