US20060185424A1 - Integrated measuring instrument - Google Patents

Integrated measuring instrument Download PDF

Info

Publication number
US20060185424A1
US20060185424A1 US11/333,796 US33379606A US2006185424A1 US 20060185424 A1 US20060185424 A1 US 20060185424A1 US 33379606 A US33379606 A US 33379606A US 2006185424 A1 US2006185424 A1 US 2006185424A1
Authority
US
United States
Prior art keywords
measuring
work piece
feature
scatterometer
instrument
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/333,796
Inventor
Sylvain Muckenhirn
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
FEI Co
Original Assignee
FEI Co
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by FEI Co filed Critical FEI Co
Priority to US11/333,796 priority Critical patent/US20060185424A1/en
Publication of US20060185424A1 publication Critical patent/US20060185424A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01QSCANNING-PROBE TECHNIQUES OR APPARATUS; APPLICATIONS OF SCANNING-PROBE TECHNIQUES, e.g. SCANNING PROBE MICROSCOPY [SPM]
    • G01Q30/00Auxiliary means serving to assist or improve the scanning probe techniques or apparatus, e.g. display or data processing devices
    • G01Q30/02Non-SPM analysing devices, e.g. SEM [Scanning Electron Microscope], spectrometer or optical microscope
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • G01N21/4788Diffraction
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01QSCANNING-PROBE TECHNIQUES OR APPARATUS; APPLICATIONS OF SCANNING-PROBE TECHNIQUES, e.g. SCANNING PROBE MICROSCOPY [SPM]
    • G01Q40/00Calibration, e.g. of probes
    • G01Q40/02Calibration standards and methods of fabrication thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/244Detectors; Associated components or circuits therefor

Definitions

  • the invention relates generally to systems for measuring microscopic surface features and, in particular, to the integration of an integrating optical instrument, such as a scatterometer, with an instrument that measures individual features, such as a scanning probe microscope or a scanning electron microscope.
  • an integrating optical instrument such as a scatterometer
  • an instrument that measures individual features such as a scanning probe microscope or a scanning electron microscope.
  • the fabrication of advanced integrated circuits requires the formation of extremely small, precise features on a semiconductor wafer. Such features are typically formed first by a photolithography process in temporary layers of photoresist, and the photoresist features are then used to create permanent structures on the wafer. For example, holes are formed in insulating layers and later filled with a conductive material to create connections between layers in a circuit. Trenches are also formed in insulating layers and later filled with a conductive material to form capacitors. Groups of thin conductive lines are formed to make buses to carry signals from one area of a chip to another. The groups of conductors are characterized by the width of each conductor and a pitch, that is, the distance between the conductors.
  • one method of characterizing the fabrication process results is by sectioning, that is, cutting a wafer through the feature to be characterized, and then observing the exposed cross section using a scanning electron microscope (SEM). While useful in developing new processes, this method is less useful in monitoring production processes because the entire wafer is destroyed to measure a feature. Moreover, it is time consuming to section the wafer at the correct position and view it in an SEM.
  • SEM scanning electron microscope
  • CD SEM critical dimension scanning electron microscopy
  • SPM scanning probe microscopy
  • scatterometry entails using a scanning electron microscope to create an image of the top surface of the wafer being processed.
  • CD SEM is particularly useful in monitoring the critical dimensions, such as the top width of a hole or trench or the width of conductors. Because it displays a top view, CD SEM does not usually provide any information on the three-dimensional profile of such holes or conductors, and may not alert a process engineer if the sidewalls of a feature are deviated from the vertical.
  • Insulators and photoresist tend to become electrically charged by the electrons in the CD SEM, and this charging causes the edges of the feature image to blur, making measurements uncertain by between 2 nm and 25 nm.
  • the edge blurring effect can be characterized by measuring features having known dimensions and subsequent CD SEM measurements can be partially compensated to correct for the edge blurring.
  • the charging is dependent upon the composition and thickness of a number of the layers underlying the feature. If the device design changes or even if the process for the underlying layers drifts, a separate set of calibrations is required.
  • a further drawback with electron microscopy is that the measurement needs to be performed in a high vacuum to prevent the probing electrons from being scattered by air molecules. It takes considerable time to remove the air from an SEM sample chamber, thereby preventing rapid feedback and limiting the number of wafers that can be measured.
  • the second method of in-process measurements uses a very small probe tip that is scanned across the wafer surface.
  • SPMs There are many types of SPMs, including scanning tunneling microscopes and several types of atomic force microscopes (AFM).
  • AFM atomic force microscopes
  • the probe tip is moved vertically and horizontally into contact or near contact with the surface. The vertical positions at or near contact are tabulated and provide a profile of the surface.
  • AFMs have been demonstrated to achieve resolution of the order of 1 nm, which is adequate for most advanced processes, and they can be operated at atmospheric pressure.
  • AFMs suffer from low throughput.
  • AFMs require not only horizontal scanning, but also some type of vertical scanning.
  • the vertical scanning can be substantially reduced by a feedback control of an oscillatory vibration of the tip operated in the non-contact mode, but sharply profiled features reduce this advantage.
  • a large number of parallel scans must be performed to assure that the probe encounters the feature. It can take several minutes to make measurements across one feature and several hours to measure a 50 ⁇ m square area. For these reasons, AFMs in production operation can profile only a limited area of the chip.
  • the third type of in-process inspection methods entails directing light onto a test pattern on a surface and measuring the reflected light.
  • the reflected light is affected by the geometry and composition of the target.
  • the results are affected by the width and spacing of repetitive features, the composition of the material at and below the surface, including the thickness of any layers near the surface.
  • the result of a scatterometer measurement is typically a “signature” or graph, showing the variation in the intensity of the reflected light as the wavelength or the angle of incidence changes.
  • scatterometry requires a regular grid to create the diffractive effect measured, when scatterometry is used to determine dimensions, it is typically used on isolated test patterns, rather than on the circuit itself.
  • the test patterns are created on unused portions of the wafers, typically between the individual integrated circuits, at the same time that the actual circuits are created so that the test patterns reflect the processes that are creating the actual production product, that is, the integrated circuits.
  • the test patterns typically consist of a grating pattern about 50 ⁇ m ⁇ 50 ⁇ m. Scatterometry uses a relatively wide beam of light and provides average information about the geometry over the area of the beam.
  • scatterometry determines, for example, the average line width over the smaller of the spot size of the optical assembly or the test pattern.
  • An optical instrument such as a scatterometer, that integrates information from multiple features to produce a result is referred to as an integrating optical instrument, as opposed to a individual-feature-measuring instrument, such as an AFM, SEM, or focused ion beam system, that measured a characteristic of a single feature.
  • scatterometry is practiced with an ellipsometer or a reflectometer in which a probing beam of radiation having a diameter of about 25 ⁇ m to about 200 ⁇ m strikes the test grating pattern at a fixed angle with respect to the surface normal and to the grating structure axes.
  • a polarization-sensitive optical detection system is arranged to detect the radiation reflected from the surface.
  • the optical detector is set to detect a beam reflected at a complementary angle about the surface normal, that is, a first-order reflection, and the data is obtained over a range of wavelengths of incident light.
  • the acquired data may simply be the spectrally resolved intensity, or it may be the dual sets of data possible in ellipsometry, for example, the spectrally resolved ⁇ and ⁇ parameters well known in ellipsometry.
  • a second approach referred to angle-resolved scatterometry, a single wavelength is used, but the detector is scanned over a range of angles. In either case, the ellipsometer produces at least one distinctive trace over either wavelength or angle.
  • Scatterometry is well suited to process control. It non-destructively probes the wafer so that the wafer can be returned to the production line, and it can be performed at atmospheric pressure with equipment occupying relatively little space. A complete set of scatterometry data can be acquired from a test site in about 200 ms. Moving between test sites on a wafer can be done in less than 3 seconds. Since a typical process sequence in IC fabrication has a throughput of less than one wafer per minute, scatterometry can probe many test sites on every wafer without interrupting the process flow.
  • the library information is referred to graphs or patterns, it is understood that the process of generating measurement data and comparing the measurement data to the library data can be performed entirely in software, without producing any actual images of graphs or patterns.
  • the line width parameter of the library graph closest to the experimental data is assumed to be the line width of the measured structure. The closeness may be determined by calculating the root mean square error between each library graph and the experimental data and choosing the library graph with the smallest root mean square error.
  • An object of the invention is to enhance the efficiency or accuracy of microscopic measurements.
  • the invention integrates into a single measurement system an integrating optical measurement, such as a scatterometer, and an individual-feature-measuring instrument.
  • the integrating optical measurement can be used to rapidly screen samples, and the individual feature-measuring instrument can be used to measure when the integrating optical measurement instrument identifies a problem.
  • a point on a sample can be readily measured by both instruments, preferably with little or no repositioning.
  • the instruments can provide complementary data to provide a more complete characterization of the target, and the instruments can be used to cross check each other.
  • the AFM can be used to help interpret, calibrate, and verify the scatterometer.
  • the scatterometer can help verify the AFM and identify when the AFM reaches the limits of its accuracy.
  • the cost of operating each instrument is decreased because using the scatterometer as a screening tool reduces AFM measurement and so reduces wear on the expensive AFM probe tip.
  • the AFM verification of the scatterometer can eliminate premature replacement of the scatterometer light source.
  • the scatterometer could also be used to provide layer thickness and composition information. Other advantages of the integrated instrument are described below.
  • the SEM can be used to help interpret, calibrate, and verify the scatterometer.
  • the scatterometer can help calibrate and verify the SEM.
  • one of the beams of the dual beam can be used to help interpret, calibrate, and verify the scatterometer.
  • the scatterometer can help calibrate and verify the dual beam.
  • a substrate to be analyzed is supported on a movable support, and both the scatterometer and AFM or a CD SEM can analyze the substrate on the support. If necessary, the support can move the wafer a predetermined distance between probe positions of the scatterometer and atomic force microscope, thereby reducing or eliminating the time consuming task of locating a target feature using the AFM. In other systems, such as a cluster system, each instrument has its own support.
  • FIG. 1 is a schematic elevational view of a surface analyzer of one embodiment of the invention incorporating both a scatterometer and an atomic force microscope (AFM).
  • AFM atomic force microscope
  • FIG. 2 is a plot of the force exerted on an AFM probe as a function of the separation of the probe tip from the surface being probed.
  • FIG. 3 is a flowchart showing preferred steps for preparing an embodiment of the invention for measuring.
  • FIG. 4 is a flowchart showing the preferred steps for using an embodiment of the invention to process monitoring.
  • FIG. 5 is a cross-sectional view of art integrated circuit structure to which the invention can advantageously be applied.
  • FIG. 6 is a schematic elevational view of a surface analyzer of another embodiment of the invention incorporating both a scatterometer and a critical-dimension scanning electron microscope.
  • scatterometer is used herein as a general term to describe any instrument that directs light to a sample and determines characteristics of the sample by measuring light reflected or scattered from the sample.
  • the term includes ellipsometer-based scatterometry, reflectometry, and similar techniques.
  • the invention combined an integrating optical instrument and an individual-feature-measuring instrument.
  • One embodiment of the invention integrates in a single measurement instrument a scanning probe microscope, such as an AFM and an ellipsometer-based scatterometer.
  • a wafer or other substrate supported on a single stage shared by both instruments can be probed by either the AFM or the scatterometer, either without repositioning the stage or by repositioning the stage by a predetermined offset.
  • it is unnecessary to pick up the work piece and move it from one machine to another, thereby eliminating the time-consuming activity of locating the area to be measured on the second machine.
  • Some embodiments use a beam imaging system, such as a scanning electron microscope or a focused ion beam, as the individual-feature-measuring instrument. Some embodiments use an ellipsometer, a scatterometer, or a reflectometer as the integrating optical instrument.
  • a beam imaging system such as a scanning electron microscope or a focused ion beam
  • Some embodiments use an ellipsometer, a scatterometer, or a reflectometer as the integrating optical instrument.
  • FIG. 1 An embodiment is schematically illustrated in the side view of FIG. 1 .
  • the parts related to AFM have been disclosed, for example, by Mitchell et al. in U.S. patent application Ser. No. 09/354,528, filed Jul. 15, 1999, incorporated herein by reference in its entirely. This application has been published as International Publication WO 01/06516-A1.
  • a wafer 12 is supported on a work piece holder 14 that can chuck the wafer 12 , for example, mechanically or pneumatically.
  • An x-slide 16 and a y-slide 18 provide horizontal motion to the wafer 12 allowing any portion of the wafer to be positioned under the test position.
  • an additional z-elevator may be included to provide vertical motion to the wafer 12 . All of these motions are relatively course compared to the dimension of the features being probed on the wafer 12 .
  • An overhead gantry 20 is supported on a heavy stationary table 22 , also supporting the wafer 12 and associated slides and supports.
  • An atomic force microscope (AFM) probe head 24 is supported on the gantry over the wafer 12 . It includes a piezoelectric actuator 26 capable of providing about 70 micron of motion in each of the x- and y-directions and 15 ⁇ m in the z-direction.
  • a probe 28 with a small probe tip 30 is suspended from the actuator 28 so that the probe tip 30 can engage or nearly engage the feature of the wafer 12 .
  • a computer 34 controls the slides 16 , 18 to move the wafer 12 and also controls the actuator 26 to move the probe tip 30 . The computer 34 also monitors the probe 28 that senses the engagement of the probe tip 30 with the wafer 12 .
  • the probe 28 and its associated probe tip 30 may be of two types.
  • the plot of FIG. 2 schematically illustrates the force experienced by a probe tip as a function of its distance from the sample, that is, the tip-to-sample separation.
  • a positive force is a repulsive force
  • a negative one is attractive.
  • the van der Waals force increases in magnitude with decreasing separation.
  • the probe tip contacts the sample, and the elastic force of engagement produces a rapidly increasing repulsive force that overcomes the van der Waals force.
  • One type of probe operates in a contact region 40 and records the vertical position of the probe at which the measured repulsive force exceeds a threshold as the probe tip elastically deforms the wafer surface.
  • This type of probe is described by Mitchell et al. in the above-cited patent application and by Griffith et al. in U.S. Pat. No. 5,307,693.
  • Another type of probe operates in a non-contact region 42 located approximately 1 nm from the physical surface. The probe causes the probe tip mounted on the end of a cantilever to oscillate near a natural resonance of the cantilever, typically about 300 Hz.
  • a laser interferometer measures the amplitude of the oscillation.
  • the van der Waals force dampens the oscillation or at least shifts the resonance frequency, as described by Hammond et al. in U.S. Pat. No. 5,262,643 and Flecha et al. in U.S. Pat. No. 5,801,381.
  • feedback mechanisms may be used to vertically move the probe tip to keep it oscillating with this amplitude.
  • the tip is laterally scanned over a slowly varying topography, the tip remains positioned at a fixed separation from the surface, thereby profiling the surface.
  • the non-contact probe has the further advantage of not actually contacting the sample, thus avoiding damage to a production wafer although this is less of a problem in probing grating test sites, as well as limiting tip wearing as interaction substrate-tip will be smoother in nature.
  • the measuring apparatus also contains a scatterometer in the form of an ellipsometer.
  • Ellipsometry itself is well known, and commercial ellipsometers are available from, for example, KLA-Tencor Corporation, San Jose, Calif. Aspnes et al. describe the theory and configuration of ellipsometers in U.S. Pat. No. 5,091,320. Azzam and Bashara provide a general description of ellipsometry in the book Ellipsometry and Polarized Light (North Holland, Amsterdam, 1977).
  • the optical components of the ellipsometer are commonly mounted with the AFM on the support structure of the gantry 20 so as to be held in alignment with respect to each other.
  • Unillustrated optics direct an incident light beam 50 from a broad band light source 52 such as a xenon lamp through a oscillating polarizer 54 onto a focusing point at the surface of the wafer 12 held on the wafer pedestal 14 .
  • the beam 54 can generally be focused to a beam width of about 40 microns.
  • the oscillating polarizer 52 may be, for example, a rotating Rochon prism or a photoelastic polarizer driven by an oscillatory drive signal.
  • the photoelastic polarizer may include a body of piezoelectric quartz sandwiched between two electrodes.
  • An ellipsometric optical detector 56 is arranged to receive a reflected beam 58 from the focusing point on the wafer 12 .
  • the incident and reflected beams 50 , 58 are disposed at complementary angles from a normal to the wafer surface, in the illustrated ellipsometer about 70 degrees. At this angle, the 40 ⁇ m beam width irradiates a spot about 150 ⁇ m long. The large angle is chosen to maximize differences in reflection between the two radiation polarizations.
  • the ellipsometric detector includes a set polarizer, a scanning monochromatic, and an optical detector.
  • the computer 34 controls the monochrometer and records the spectrally resolved intensity data, which for dual ellipsometric data, is also resolved according to polarization.
  • Ellipsometers of other designs may be used including those placing the oscillatory polarization analyzer on the reflected beam. Graphs of intensity generated by the ellipsometer are then compared with library graphs. The measured line width is then assumed to be the same as the line width used to generate that particular library graph.
  • the example herein describes using a scatterometer to measure line width, the invention is not so limited and the scatterometer could be used to measure other geometric or non-geometric parameters.
  • the ellipsometric focusing point on the wafer 12 need not be coincident with the probe position underlying the probe tip 30 . Because the AFM and the ellipsometer optics are commonly supported, the focusing point and the probe position may be offset by a set, predetermined, and determinable displacement requiring a fixed displacement of the x- and y-slides 16 , 18 and possibly a z-slide to move between the testing position of the AFM and that of the ellipsometer.
  • the wafer remains chucked to the pedestal during the movement and during the two types of measurements before and after the movement. Thereby, the same area characterized by scatterometry can be profiled by the atomic force microscopy without the need to find the same area.
  • the AFM can assist in producing accurate library graphs for determining line width and in verifying that the graphs, which are only accurate for a given surface material, layer thickness, and pitch, are appropriate to the sample being measured.
  • Library graphs are typically generated by a simulation computer program. It is necessary to provide the simulation program accurate initial information about the pitch and the characteristics of the material. Oftentimes, the pitch information used in a simulation program is the pitch specified by the pattern designer. The actual pitch of the test pattern, however, may vary from the design pitch because of inaccuracies in the photolithograph process that creates the pattern. Using an inaccurate pitch to generate the library graphs results in poor fitting of the measured graphs to the library graphs and inaccurate measurements.
  • the AFM can scan the test pattern and provide accurate pitch information to be used as input for building the library. Using the accurate pitch measurement from the AFM, a set of library graphs can then be generated by simulating changes in line width. Providing accurate input to the library generation program anchors the simulation results to the actual physical process used to fabricate the measured patterns. After a library is created, the library accuracy can be verified by scanning portions of test patterns with the AFM to check that the scatterometer results determined using the library agree with the dimension found by the AFM.
  • an AFM would typically perform multiple scans at each of multiple locations on the pattern.
  • a beam target circle could be designated, and five AFM scans could be performed at the center of the target circle and at four points spaced along a circumference ten or fifteen microns from the center.
  • Each scan comprises, for example, about 20 scan lines, with each line being 3 ⁇ m long and a distance of about 1 ⁇ m between lines. At least five pitches should be scanned in along the scan line. Skilled persons can readily vary the AFM measurements to adequately measure different targets.
  • the scatterometer which rapidly measures the test patterns, can be used to monitor the integrated circuit fabrication process. Because the scatterometer results depend on a large number of parameters, the scatterometer can rapidly monitor and detect process variations that affect any of a large number of parameters. While the scatterometer can specifically identify small changes to a parameter, such as line width, within the limits of the library, and the scatterometer can flag larger changes by failing to match a library graph, the scatterometer cannot typically identify the nature of the quantitative or qualitative changes that are outside the library scope.
  • the AFM can measure the actual product when a problem is indicated by the scatterometer.
  • the AFM is calibrated to external standards and its geometric measurements are essentially independent of the material being measured.
  • the detailed geometry information available from the AFM could be used to verify that a problem exists with the fabrication process and to identify the nature of the problem.
  • the scatterometer can screen for a large number of variables and the AFM can be used when the scatterometer flags an anomaly.
  • FIG. 3 is a flowchart showing a process for setting up an integrated instrument and monitoring a fabrication process using the integrated instrument.
  • step 302 while a photolithography process is creating circuit features, the photolithography process also creates test patterns for the scatterometer.
  • step 304 the test pattern is measured with the AFM to determine a line spacing or pitch.
  • step 306 a simulation program generated library graphs for the scatterometer using the measured pitch information measured in step 304 .
  • steps 304 and 306 comprise a process 310 for creating and anchoring a library.
  • a test pattern is measured using the scatterometer and the measured graph is compared in step 314 to library graphs to determine the line width of the test pattern lines.
  • a portion of the test pattern is measured using the AFM.
  • the line width as determined by the scatterometer is compared to the line width measured by the AFM. If the measurements agree, the library has been shown to be correct for that measurement. If the measurements do not agree, the scatterometer library may need to be regenerated.
  • the scatterometry measurements depend on the optical properties, specifically the index of refraction (n) and the dielectric constant (k), of the material being measured and if these properties vary from the assumed values, the scatterometer will not accurately measure line width.
  • Steps 322 and 324 can be repeated multiple times for different patterns to statistically validate the library. Steps 312 to 324 constitute a process 326 for validation of the library.
  • the scatterometer measures a test pattern and not the actual product, it is necessary to verify that the test pattern measurements correlate to the dimensions of the actual product.
  • a difference in measurements between the test pattern and the product may occur, for example, because the line width in the test pattern is different from the line width in the actual circuit.
  • the test pattern and the circuit features are created at the same time by the same photolithography processes, the results of those processes can vary due to local conditions. For example, it is known that diffraction effects cause the line width produced by a photolithography process to depend in part upon the proximity of other lines, and the lines in the test grating are typically much further apart than the lines on the product. Also, the substrate upon which the line is printed can cause diffraction effects that affect the printing of the line and cause variation in the line width.
  • the AFM can be used to measure the product to confirm that the test pattern measurement corresponds to the actual product dimensions or to provide an offset value for use with the scatterometer values when necessary.
  • the offset value may be a constant or may vary depending on other processing parameters.
  • a portion of a product wafer is measured by the AFM and in step 332 , the line width of the product is measured by the AFM.
  • the measurements from steps 330 and 332 are compared in step 334 and if the measurements are different, an offset factor is determined based on the difference.
  • the offset is applied to subsequent measurements of the scatterometer. This offset is based on differences between the line widths on the product and on the test pattern, and is not a result of measurement error of the scatterometer.
  • Steps 330 to 334 constitute a process 336 for compensating for a difference between the test grating and the product.
  • the scatterometer After the library has been generated and confirmed and the scatterometer has been calibrated, the scatterometer is ready to be used to monitor the fabrication process. As long as the fabrication process is operating properly, the scatterometry results are valid within a small window for which the library provides closely corresponding reference sets of signatures. However, if a site demonstrates a signature that varies significantly from the library being currently used, that same site can be immediately probed by the AFM to provide geometric information.
  • FIG. 4 shows the steps of using the integrated measuring instrument as a process monitor.
  • the scatterometer measures, for example, five grating sites of a wafer going through the production line to create scatterometer graphs for those sites.
  • the scatterometer graphs of each site are compared to the library graphs. If the site graphs math library graphs corresponding to a line width within the process control limits, the wafer is passed in step 410 on to the next processing step.
  • the test area is measured in step 414 using the AFM to determine whether the grating size has in fact changed, or whether indication by the scatterometer of a lack of process control is caused by a different change in the substrate that causes the assumptions underlying the library to be false.
  • step 416 if the AFM measurement shows that the line width is within process limits, a process engineer will investigate in step 418 to determine whether characteristics other than line width, such as the optical properties of the material or the feature profiles, have changed. The process may need to be adjusted or it may be necessary to generate new library graphs to correspond to the changed, but otherwise acceptable conditions. If the line width was not within tolerance in step 416 , the process engineer will investigate in step 420 to learn what caused the process to change.
  • the scatterometer does not indicate a problem, it may be desirable to periodically measure a site, either on the test grating or on a circuit element, using the AFM to obtain a complete three-dimensional geometric characterization of the features and to verify that the scatterometer is measuring correctly.
  • the scatterometer integrates all variations within the relatively large measurement spot of 30 or 40 ⁇ m:
  • the scatterometer reading is a single measurement that represents an average line width/thickness/profile for all lines in the entire area.
  • the AFM scans one or few scan lines, measuring the line width/height/profile at a series of points, and then may scan an adjacent line to cover an area.
  • the AFM can characterize variations within a single structure. Edges of a feature may not be exactly straight, causing variation in line widths.
  • the AFM can be used to characterize line roughness and variation in line edges, whereas the scatterometer will average out all the variations.
  • the AFM can measure the complete three-dimensional profile of a feature, rather than just the top line width.
  • the AFM not only provides complementary benefits to the scatterometer, the scatterometer also provides benefits to the AFM.
  • the AFM takes a long time to scan a large area. For example, it could take six or eight hours to scan a 50 ⁇ m ⁇ 50 ⁇ m area.
  • the scatterometer integrates over a large area and can measure this area in less than a second. By screening wafers, the scatterometer allows many more wafers to be measured and reduces the workload of the AFM, thereby increasing the usable life of the expensive AFM probe tip.
  • the AFM measurement capability is limited by the size and shape of the tip. For example, if the side angle of the tip is greater than the angle of a trench wall, the AFM tip cannot contact the trench wall and accurately measure the width at certain height positions on the trench wall. The AFM will return a measurement, but it is not valid, being more influenced by the shape of the tip than by the shape of the feature being measured. There may be no indication to the AFM operator, however, that the returned measurements are not valid.
  • the simulation programs that generate scatterometer library graphs can accurately create graphs that extrapolate to measurements beyond the capable of the AFM tip, even though the AFM was used to provide input into the library and verify it.
  • the scatterometer can be used to indicate when the AFM has reached its limit of accuracy and its measurements are unreliable.
  • the number of sites per wafer that can be measured with the relatively slow SPM is very limited.
  • the AFM may realistically be limited to measuring five sites per wafer.
  • the scatterometer is so much faster, it can perform over 200 measurements in the same time. This allows the scatterometer to perform many more measurements. This increase in sample size can greatly improve the reliability and precision of the measurement statistics.
  • a width measurement by a scatterometer provides an average width over a large area.
  • a width measurement by AFM can provide the width at several places on the feature.
  • a width measurement on a cross-sectioned wafer using an SEM provides width information at a single point.
  • a CD SEM can measure width at several places along a line.
  • the scatterometer graph primarily contains information about two directions, an X direction and a vertical or Z direction.
  • the AFM can scan multiple lines to provide information in the X, Y, and Z direction.
  • the AFM can therefore provide information about surface roughness, sidewall angles and roughness, deviation from a straight line of a feature edge.
  • the scatterometer typically measures an area that is about 50 ⁇ m by 50 ⁇ m, whereas the AFM typically measures an area that is much smaller. Scatterometers are not yet proven to be accurate below about 70 nm, while AFMs have been shown to be accurate to a few nanometers. Scatterometers have difficulty measuring some feature having Z dimensions greater than one micron because of the potential high aspect ratio of these features, whereas the AFM can readily measure such dimensions.
  • the scatterometer measurements are limited by the available library graphs, so measurements that indicate large deviations from the expected results are typically not interpretable.
  • the AFM measurements are limited by the shape of the probe tip. Each instrument can assist provide information when the other has reached its measurement limits.
  • the combined instruments can reduce the total cost of owning and operating both instruments. By screening production wafers with the scatterometer, the required number of AFM measurements is reduced, thereby extending the life of the expensive probe tip.
  • the light source of a scatterometer is typically replaced periodically to ensure accurate measurements. When an AFM is used to provide an external accuracy check on the scatterometer, the light source can be used as long as measurements are accurate, and it does not need to be prematurely replaced.
  • Another advantage of the combined instruments is a more accurate determination of the width of the AFM tip. While pitch, line roughness, and profile measurements (as examples) with an AFM do not require knowledge of the tip width, line width measurement requires an accurate knowledge of the said width. While AFM will feed the library simulation with an accurate pitch (extracted from accurately calibrated piezo using available standards from NIST or VLSI as examples), scatterometry will use accurately defined wavelength interaction to determine line width from the simulation. Subsequently scanning an area where line width was defined by scatterometry and pitch defined by AFM will allow determination of tip width, thus improving repeatability and accuracy of AFM.
  • the integrate instrument is advantageously used, for example, to monitor the process associated with a trench structure, illustrated in the cross-sectional view of FIG. 5 , used in the fabrication of dynamic random access memories (DRAMs).
  • a silicon wafer 60 is covered with patterned layers 62 and 64 of silicon dioxide and silicon nitride, which serve as a hard mask for etching the underlying silicon to form trenches 66 .
  • large DRAM capacitors are formed on the walls and bottom of the trenches 66 .
  • the processes for depositing the SiO 2 and Si 3 N 4 layers 62 , 64 and for etching the silicon trenches 66 need to be controlled.
  • An AFM is effective at measuring variations in the trench spacing S and the trench width L, whether measured on actual trenches or on correspondingly configured test gratings having a large number of such trenches 66 arranged in parallel in a fixed direction and with fixed values of L and S.
  • the AFM however, is ineffective at measuring the thicknesses of the layers 62 and 64 or at separating these thicknesses from the trench depth D.
  • ellipsometry is effective at measuring small variations in the thicknesses of the layers 62 and 64 and of their compositions, at least as manifested in their optical constants n and k.
  • the combination of an AFM and ellipsometer enables the complete characterization of the structure and composition of the trench structure of FIG. 5 .
  • the AFM-measured parameters can be used to narrow the scope of the library used in scatterometry.
  • the previously described ellipsometer is designed for beams arranged at 70 degrees from the wafer normal. This large angle is chosen to maximize the differences between the two radiation polarizations. However, the large angles also lengthen the maximum dimension of the probe beam on the sample surface from, for example, 40 ⁇ n to 150 ⁇ m. Such large sampling areas are disadvantageous for integrated circuit manufacturing in which the test sites are located in the kerf or cleave area between dies. For high productivity, the kerf or cleave area should be minimized. Therefore, in some applications in semiconductor process control, it is advantageous to use an ellipsometer for which the beams are arranged at a small angle of less than 10° from the wafer normal, for example, 20°, or a reflectometer normal to the surface.
  • the differences between the two light polarizations are much reduced at low angles. Indeed, such low-angle ellipsometry is considered impossible with isotropic surfaces.
  • grating test structures used in semiconductor process control provide significant anisotropy in known directions.
  • the reduced sensitivity of 2 degree ellipsometry can be compensated by using the previously described photoelastic polarizer, which can be cycled at 50 kHz and higher, in contrast to the typical 100 Hz cycling rate of rotating polarizers. At the greatly increased polarization cycling rate, a large number of polarization data points can be acquired in a reasonably short time and then averaged to provide greater resolution in separating the two polarization states.
  • the test equipment of the invention can also be applied to monitor another problem in semiconductor processing.
  • Reactive ion etching of narrow, anisotropic trenches and via holes in oxide often uses a passivation film formed on the side walls to prevent excessive anisotropic etching. Ashing or other techniques are applied after etching to assure that the film is removed.
  • Organic contaminants can also originate from the photoresist used in photolithographic etching of any device material.
  • An ellipsometer operating with infrared light in the wavelength band of 5 ⁇ m to 16 ⁇ m is capable of detecting whether any such organic polymer remains.
  • the infrared ellipsometer can be combined with an atomic force microscope in order to detect an organic polymer used, for example, as a passivation film.
  • AFMs are very useful for monitoring a full profile of a feature, they are considered too slow to perform at a number of test sites on every wafer.
  • a critical dimension scanning electron microscope (SEM) is quite suitable for a fairly precise determination of line widths, but the critical dimension returned is the width of the feature or test pattern apparent from the top.
  • a scatterometer and a SEM integrated on a single platform with a common specimen stage is a scatterometer and a SEM integrated on a single platform with a common specimen stage.
  • the wafer 12 is supported on the pedestal 14 and its movable stages 16 , 18 , all located in a vacuum chamber 70 pumped to a vacuum of about 10 ⁇ 5 to 10 ⁇ 8 Torr by a vacuum pumping system 72 .
  • the vacuum is required for the low-energy electron microscopy of SEMs for which the electron energy is only a few kilovolts.
  • a negatively biased Schottky emitter 74 emits electrons to a grounded anode 76 .
  • An aperture 78 forms the accelerated elections into a slightly divergent electron beam 80 approximately normal to the surface of the wafer 12 .
  • a coil-driven magnetic lens 82 and an electrostatic lens 84 focuses the beam 80 at surface of the wafer 12 .
  • the resultant electrons ejected from the wafer 12 are detected by an electron detector 86 , and the electron intensity as the x-y stage 14 under the control of the computer scans the wafer 12 past the focused beam provides an image of the wafer surface.
  • Both low-energy secondary electrons and back-scattered (inelastically scattered) electrons can be used separately or in combination to produce an image.
  • Such SEMs are commercially available and widely used for in-line metrology in integrated circuit fabrication lines.
  • the vacuum chamber 70 includes two optical vacuum ports with windows 90 , 92 allowing the ellipsometer input beam 58 to enter the chamber and strike the wafer 12 , and be reflected at an equal angle into the output beam 58 which exits the chamber 70 , thereby permitting the ellipsometer parts 52 , 54 , 56 to be located outside the chamber 70 but rigidly mounted thereto.
  • the integrated scatterometer and SEM allows the scatterometer to quickly monitor multiple test patterns on every wafer being processed. Periodically, or whenever the signatures do not match the current library, the SEM can be used to immediately provide a complete surface image of the test pattern with no need to realign the wafer to the SEM. Like the AFM, the SEM can also be used to determine to provide accurate pitch information for the simulation program that generates the scatterometer library.
  • CD SEM measurements are adversely affected by edge blurring caused by electrical charging of the feature edges. This blurring is difficult to accurately compensate because it is dependent upon the composition and thickness of a number of the layers underlying the feature.
  • the CD SEM edge blurring can be compensated using measurements from the scatterometer, because scattered light is not influenced by electrical charging. Scatterometer repeatability has been shown to be in the 1 nm range. Although the CD SEM can determine the absolute position of an edge to within only about 5 nm on each side, variations in edge positioning in the 1 nm range can be detected by the CD SEM. CD AFM can also determine edge positioning within the 1 nm range. Because the scatterometer is measuring the same wafer as the CD SEM, the compensation determined is appropriate for the particular wafer. The edge blur compensation can be recalculated as necessary, when the composition and thickness of a number of the layers underlying the feature changes.
  • the overall resolution limitation of the scatterometer is limited by wavelength used, which is typically currently between 193 nm to 850 nm when used in air. Smaller wavelengths, for example, down to 140 nm could be used in a vacuum or Nitrogen.
  • the combination of scatterometry with a charged particle beam system requiring a vacuum environment provides the ability to use wavelengths smaller than 193 nm, for example, as low as 140 nm, allowing scatterometry to improve overall resolution below the actual 70 nm limit.
  • the integrating optical instrument and the individual feature measuring instrument could be arranged in a cluster, with a material handler moving the work piece from one instrument to the other.
  • the examples describe the use of the instruments to measure dimensions, but the instrument can also measure other characteristics, such as surface composition.
  • the scope of the present application is not intended to be limited to the particular embodiments of the process, machine, manufacture, composition of matter, means, methods and steps described in the specification.

Abstract

A surface analyzing system including in one system both an integrating optical instrument, such as a scatterometer, and individual-feature-measuring instrument, such as a scanning probe microscope or a beam imaging system, for example, a scanning electron microscope. In a preferred embodiment, the two instruments are capable of characterizing a wafer held on a common stage. The stage may be movable a predetermined displacement to allow the same area of the wafer to be characterized by a scatterometer at one position of the stage and to be characterized by the scanning probe microscope or beam imaging system. The scatterometer can rapidly measure wafers to indicate whether a problem exists, and the scanning probe microscope can perform detailed measurements on wafers flagged by the scatterometer.

Description

  • This application is a continuation of U.S. patent application Ser. No. 10/317,160, filed Dec. 11, 2002, which claims priority from U.S. Provisional Pat. App. 60/350,778, filed Jan. 22, 2002, both of which are hereby incorporated by reference.
  • TECHNICAL FIELD OF THE INVENTION
  • The invention relates generally to systems for measuring microscopic surface features and, in particular, to the integration of an integrating optical instrument, such as a scatterometer, with an instrument that measures individual features, such as a scanning probe microscope or a scanning electron microscope.
  • BACKGROUND OF THE INVENTION
  • The fabrication of advanced integrated circuits requires the formation of extremely small, precise features on a semiconductor wafer. Such features are typically formed first by a photolithography process in temporary layers of photoresist, and the photoresist features are then used to create permanent structures on the wafer. For example, holes are formed in insulating layers and later filled with a conductive material to create connections between layers in a circuit. Trenches are also formed in insulating layers and later filled with a conductive material to form capacitors. Groups of thin conductive lines are formed to make buses to carry signals from one area of a chip to another. The groups of conductors are characterized by the width of each conductor and a pitch, that is, the distance between the conductors.
  • As the precision requirements for semiconductor processing increases, there is a need to constantly monitor the fabrication process to ensure that it is meeting the stringent requirements. In some cases, every wafer going through the fabrication line is measured in what is sometimes referred to as in-line metrology. Engineers may monitor both the features on the temporary photoresist layer and the permanent features created on the wafer. Features on the wafer are three-dimensional structures and a complete characterization must describe not just a surface dimension, such as the top width of a hole or conductor, but a complete three-dimensional profile of the feature. For example, although an ideal feature typically has vertical sidewalls, the actual sidewalls may have excessive slope that narrows or widens the feature below its top surface. Process engineers must be able to accurately measure the profiles of such surface features to fine tune the fabrication process and assure a desired device geometry is obtained.
  • During process development, one method of characterizing the fabrication process results is by sectioning, that is, cutting a wafer through the feature to be characterized, and then observing the exposed cross section using a scanning electron microscope (SEM). While useful in developing new processes, this method is less useful in monitoring production processes because the entire wafer is destroyed to measure a feature. Moreover, it is time consuming to section the wafer at the correct position and view it in an SEM.
  • Three processes that are often used for such in-line metrology are critical dimension scanning electron microscopy (CD SEM), scanning probe microscopy (SPM), and scatterometry. CD SEM entails using a scanning electron microscope to create an image of the top surface of the wafer being processed. CD SEM is particularly useful in monitoring the critical dimensions, such as the top width of a hole or trench or the width of conductors. Because it displays a top view, CD SEM does not usually provide any information on the three-dimensional profile of such holes or conductors, and may not alert a process engineer if the sidewalls of a feature are deviated from the vertical.
  • Insulators and photoresist tend to become electrically charged by the electrons in the CD SEM, and this charging causes the edges of the feature image to blur, making measurements uncertain by between 2 nm and 25 nm. The edge blurring effect can be characterized by measuring features having known dimensions and subsequent CD SEM measurements can be partially compensated to correct for the edge blurring. However, the charging is dependent upon the composition and thickness of a number of the layers underlying the feature. If the device design changes or even if the process for the underlying layers drifts, a separate set of calibrations is required.
  • A further drawback with electron microscopy is that the measurement needs to be performed in a high vacuum to prevent the probing electrons from being scattered by air molecules. It takes considerable time to remove the air from an SEM sample chamber, thereby preventing rapid feedback and limiting the number of wafers that can be measured.
  • The second method of in-process measurements, SPM, uses a very small probe tip that is scanned across the wafer surface. There are many types of SPMs, including scanning tunneling microscopes and several types of atomic force microscopes (AFM). In one type of AFM used in semiconductor processing, the probe tip is moved vertically and horizontally into contact or near contact with the surface. The vertical positions at or near contact are tabulated and provide a profile of the surface. AFMs have been demonstrated to achieve resolution of the order of 1 nm, which is adequate for most advanced processes, and they can be operated at atmospheric pressure.
  • However, AFMs suffer from low throughput. AFMs require not only horizontal scanning, but also some type of vertical scanning. The vertical scanning can be substantially reduced by a feedback control of an oscillatory vibration of the tip operated in the non-contact mode, but sharply profiled features reduce this advantage. It is difficult to initially align the probe tip with nanometer-size feature. As a result, except when measuring test patterns in the shape of gratings, a large number of parallel scans must be performed to assure that the probe encounters the feature. It can take several minutes to make measurements across one feature and several hours to measure a 50 μm square area. For these reasons, AFMs in production operation can profile only a limited area of the chip.
  • The third type of in-process inspection methods, generally referred to as scatterometry, entails directing light onto a test pattern on a surface and measuring the reflected light. The reflected light is affected by the geometry and composition of the target. For example, the results are affected by the width and spacing of repetitive features, the composition of the material at and below the surface, including the thickness of any layers near the surface. The result of a scatterometer measurement is typically a “signature” or graph, showing the variation in the intensity of the reflected light as the wavelength or the angle of incidence changes.
  • Because scatterometry requires a regular grid to create the diffractive effect measured, when scatterometry is used to determine dimensions, it is typically used on isolated test patterns, rather than on the circuit itself. The test patterns are created on unused portions of the wafers, typically between the individual integrated circuits, at the same time that the actual circuits are created so that the test patterns reflect the processes that are creating the actual production product, that is, the integrated circuits. The test patterns typically consist of a grating pattern about 50 μm×50 μm. Scatterometry uses a relatively wide beam of light and provides average information about the geometry over the area of the beam. Thus, rather than determining the width of a particular line or feature, scatterometry determines, for example, the average line width over the smaller of the spot size of the optical assembly or the test pattern. An optical instrument, such as a scatterometer, that integrates information from multiple features to produce a result is referred to as an integrating optical instrument, as opposed to a individual-feature-measuring instrument, such as an AFM, SEM, or focused ion beam system, that measured a characteristic of a single feature.
  • Typically, scatterometry is practiced with an ellipsometer or a reflectometer in which a probing beam of radiation having a diameter of about 25 μm to about 200 μm strikes the test grating pattern at a fixed angle with respect to the surface normal and to the grating structure axes. A polarization-sensitive optical detection system is arranged to detect the radiation reflected from the surface. There are two general approaches to the types of ellipsometric data used for scatterometry. In a first approach, referred to as spectroscopic scatterometry, the optical detector is set to detect a beam reflected at a complementary angle about the surface normal, that is, a first-order reflection, and the data is obtained over a range of wavelengths of incident light. The acquired data may simply be the spectrally resolved intensity, or it may be the dual sets of data possible in ellipsometry, for example, the spectrally resolved Ψ and Δ parameters well known in ellipsometry. In a second approach, referred to angle-resolved scatterometry, a single wavelength is used, but the detector is scanned over a range of angles. In either case, the ellipsometer produces at least one distinctive trace over either wavelength or angle.
  • Scatterometry is well suited to process control. It non-destructively probes the wafer so that the wafer can be returned to the production line, and it can be performed at atmospheric pressure with equipment occupying relatively little space. A complete set of scatterometry data can be acquired from a test site in about 200 ms. Moving between test sites on a wafer can be done in less than 3 seconds. Since a typical process sequence in IC fabrication has a throughput of less than one wafer per minute, scatterometry can probe many test sites on every wafer without interrupting the process flow.
  • The general process for using scatterometry for process control is described by Allgair et al. in “Manufacturing Considerations For Implementation Of Scatterometry For Process Monitoring,” Proc. of the SPIE Conference on Metrology, Inspection, and Process Control for Microlithography XIV, Feb. 28-Mar. 2, 2000. It is generally acknowledged that for multi-layer structures, the ellipsometric data cannot be reasonably and directly interpreted to determine the grating structure, or even just the grating pitch, line width, or line spacing ratio. Instead, libraries of data are generated by performing optical scattering calculations for structures in which only some of the parameters are varied and the others are assumed known. For example, one may assume a particular pitch, straight vertical walls, and a particular material composition and layer thickness, and then calculate a set of patterns or graphs based upon different line width. Then, during an actual measurement, the scatterometry graph is compared to the graphs in the library. Although the library information is referred to graphs or patterns, it is understood that the process of generating measurement data and comparing the measurement data to the library data can be performed entirely in software, without producing any actual images of graphs or patterns. The line width parameter of the library graph closest to the experimental data is assumed to be the line width of the measured structure. The closeness may be determined by calculating the root mean square error between each library graph and the experimental data and choosing the library graph with the smallest root mean square error.
  • This approach, however, assumes that attributes of the test structure that are not being measured, for example, the slope of the vertical walls, the material composition, and the layer thickness, are the same as the attributes assumed in the generation of the library patterns. If some attribute is different, the results are inaccurate and a new library must be calculated. It is generally considered infeasible to consider all possible structures in seeking the structure with the minimum root mean square error. Therefore, scatterometry is limited by the need to assume certain characteristics of the structure to be measured.
  • In general, scatterometry is good at detecting small changes and variations in processing but ill suited for larger changes because the results of larger changes cannot be matched with library patterns. Although SEMs and atomic force microscopy are effective at detecting significant changes, they are less suited to a production environment. Furthermore, they are relatively insensitive to compositional variations that are important for device reliability.
  • SUMMARY OF THE INVENTION
  • An object of the invention is to enhance the efficiency or accuracy of microscopic measurements.
  • The invention integrates into a single measurement system an integrating optical measurement, such as a scatterometer, and an individual-feature-measuring instrument. The integrating optical measurement can be used to rapidly screen samples, and the individual feature-measuring instrument can be used to measure when the integrating optical measurement instrument identifies a problem. By having both instruments in a single measurement system, a point on a sample can be readily measured by both instruments, preferably with little or no repositioning. The instruments can provide complementary data to provide a more complete characterization of the target, and the instruments can be used to cross check each other.
  • In an embodiment using a scatterometer and an AFM, for example, the AFM can be used to help interpret, calibrate, and verify the scatterometer. The scatterometer can help verify the AFM and identify when the AFM reaches the limits of its accuracy. The cost of operating each instrument is decreased because using the scatterometer as a screening tool reduces AFM measurement and so reduces wear on the expensive AFM probe tip. The AFM verification of the scatterometer can eliminate premature replacement of the scatterometer light source. The scatterometer could also be used to provide layer thickness and composition information. Other advantages of the integrated instrument are described below.
  • Similarly, in an embodiment using a scatterometer and a SEM, the SEM can be used to help interpret, calibrate, and verify the scatterometer. The scatterometer can help calibrate and verify the SEM.
  • Similarly, in an embodiment using a scatterometer and a dual beam system, such as a system including an ion beam and an electron beam, one of the beams of the dual beam can be used to help interpret, calibrate, and verify the scatterometer. The scatterometer can help calibrate and verify the dual beam.
  • In some embodiments, a substrate to be analyzed is supported on a movable support, and both the scatterometer and AFM or a CD SEM can analyze the substrate on the support. If necessary, the support can move the wafer a predetermined distance between probe positions of the scatterometer and atomic force microscope, thereby reducing or eliminating the time consuming task of locating a target feature using the AFM. In other systems, such as a cluster system, each instrument has its own support.
  • The foregoing has outlined rather broadly the features and technical advantages of the present invention in order that the detailed description of the invention that follows may be better understood. Additional features and advantages of the invention will be described hereinafter. It should be appreciated by those skilled in the art that the conception and specific embodiment disclosed could be readily utilized as a basis for modifying or designing other structures for carrying out the same purposes of the present invention. It should also be realized by those skilled in the art that such equivalent constructions do not depart from the spirit and scope of the invention as set forth in the appended claims.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • For a more thorough understanding of the present invention, and the advantages thereof, reference is now made to the following descriptions taken in conjunction with the accompanying drawings, in which:
  • FIG. 1 is a schematic elevational view of a surface analyzer of one embodiment of the invention incorporating both a scatterometer and an atomic force microscope (AFM).
  • FIG. 2 is a plot of the force exerted on an AFM probe as a function of the separation of the probe tip from the surface being probed.
  • FIG. 3 is a flowchart showing preferred steps for preparing an embodiment of the invention for measuring.
  • FIG. 4 is a flowchart showing the preferred steps for using an embodiment of the invention to process monitoring.
  • FIG. 5 is a cross-sectional view of art integrated circuit structure to which the invention can advantageously be applied.
  • FIG. 6 is a schematic elevational view of a surface analyzer of another embodiment of the invention incorporating both a scatterometer and a critical-dimension scanning electron microscope.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • The term scatterometer is used herein as a general term to describe any instrument that directs light to a sample and determines characteristics of the sample by measuring light reflected or scattered from the sample. The term includes ellipsometer-based scatterometry, reflectometry, and similar techniques.
  • The invention combined an integrating optical instrument and an individual-feature-measuring instrument. One embodiment of the invention integrates in a single measurement instrument a scanning probe microscope, such as an AFM and an ellipsometer-based scatterometer. A wafer or other substrate supported on a single stage shared by both instruments can be probed by either the AFM or the scatterometer, either without repositioning the stage or by repositioning the stage by a predetermined offset. Thus, in a preferred embodiment, it is unnecessary to pick up the work piece and move it from one machine to another, thereby eliminating the time-consuming activity of locating the area to be measured on the second machine. Some embodiments use a beam imaging system, such as a scanning electron microscope or a focused ion beam, as the individual-feature-measuring instrument. Some embodiments use an ellipsometer, a scatterometer, or a reflectometer as the integrating optical instrument.
  • An embodiment is schematically illustrated in the side view of FIG. 1. The parts related to AFM have been disclosed, for example, by Mitchell et al. in U.S. patent application Ser. No. 09/354,528, filed Jul. 15, 1999, incorporated herein by reference in its entirely. This application has been published as International Publication WO 01/06516-A1. A wafer 12 is supported on a work piece holder 14 that can chuck the wafer 12, for example, mechanically or pneumatically. An x-slide 16 and a y-slide 18 provide horizontal motion to the wafer 12 allowing any portion of the wafer to be positioned under the test position. If desired, an additional z-elevator may be included to provide vertical motion to the wafer 12. All of these motions are relatively course compared to the dimension of the features being probed on the wafer 12.
  • An overhead gantry 20 is supported on a heavy stationary table 22, also supporting the wafer 12 and associated slides and supports. An atomic force microscope (AFM) probe head 24 is supported on the gantry over the wafer 12. It includes a piezoelectric actuator 26 capable of providing about 70 micron of motion in each of the x- and y-directions and 15 μm in the z-direction. A probe 28 with a small probe tip 30 is suspended from the actuator 28 so that the probe tip 30 can engage or nearly engage the feature of the wafer 12. A computer 34 controls the slides 16, 18 to move the wafer 12 and also controls the actuator 26 to move the probe tip 30. The computer 34 also monitors the probe 28 that senses the engagement of the probe tip 30 with the wafer 12.
  • The probe 28 and its associated probe tip 30 may be of two types. The plot of FIG. 2 schematically illustrates the force experienced by a probe tip as a function of its distance from the sample, that is, the tip-to-sample separation. In the plot, a positive force is a repulsive force, and a negative one is attractive. As the probe tip closely approaches the sample, but without touching it, there is a van der Waals attraction between the tip and sample owing to atomic scale interactions of the electrons of the atoms of tip and sample. The van der Waals force increases in magnitude with decreasing separation. Eventually, however, the probe tip contacts the sample, and the elastic force of engagement produces a rapidly increasing repulsive force that overcomes the van der Waals force.
  • One type of probe operates in a contact region 40 and records the vertical position of the probe at which the measured repulsive force exceeds a threshold as the probe tip elastically deforms the wafer surface. This type of probe is described by Mitchell et al. in the above-cited patent application and by Griffith et al. in U.S. Pat. No. 5,307,693. Another type of probe operates in a non-contact region 42 located approximately 1 nm from the physical surface. The probe causes the probe tip mounted on the end of a cantilever to oscillate near a natural resonance of the cantilever, typically about 300 Hz. A laser interferometer measures the amplitude of the oscillation. As the probe tip approaches the sample surface in the non-contact region 42, the van der Waals force dampens the oscillation or at least shifts the resonance frequency, as described by Hammond et al. in U.S. Pat. No. 5,262,643 and Flecha et al. in U.S. Pat. No. 5,801,381. Furthermore, once the desired amplitude has been achieved, feedback mechanisms may be used to vertically move the probe tip to keep it oscillating with this amplitude. Thereby, as the tip is laterally scanned over a slowly varying topography, the tip remains positioned at a fixed separation from the surface, thereby profiling the surface. The non-contact probe has the further advantage of not actually contacting the sample, thus avoiding damage to a production wafer although this is less of a problem in probing grating test sites, as well as limiting tip wearing as interaction substrate-tip will be smoother in nature.
  • The measuring apparatus, as illustrated in FIG. 1, also contains a scatterometer in the form of an ellipsometer. Ellipsometry itself is well known, and commercial ellipsometers are available from, for example, KLA-Tencor Corporation, San Jose, Calif. Aspnes et al. describe the theory and configuration of ellipsometers in U.S. Pat. No. 5,091,320. Azzam and Bashara provide a general description of ellipsometry in the book Ellipsometry and Polarized Light (North Holland, Amsterdam, 1977).
  • According to this embodiment of the invention, the optical components of the ellipsometer are commonly mounted with the AFM on the support structure of the gantry 20 so as to be held in alignment with respect to each other. Unillustrated optics direct an incident light beam 50 from a broad band light source 52 such as a xenon lamp through a oscillating polarizer 54 onto a focusing point at the surface of the wafer 12 held on the wafer pedestal 14. The beam 54 can generally be focused to a beam width of about 40 microns. The oscillating polarizer 52 may be, for example, a rotating Rochon prism or a photoelastic polarizer driven by an oscillatory drive signal. The photoelastic polarizer may include a body of piezoelectric quartz sandwiched between two electrodes.
  • An ellipsometric optical detector 56 is arranged to receive a reflected beam 58 from the focusing point on the wafer 12. The incident and reflected beams 50, 58 are disposed at complementary angles from a normal to the wafer surface, in the illustrated ellipsometer about 70 degrees. At this angle, the 40 μm beam width irradiates a spot about 150 μm long. The large angle is chosen to maximize differences in reflection between the two radiation polarizations. The ellipsometric detector includes a set polarizer, a scanning monochromatic, and an optical detector. The computer 34 controls the monochrometer and records the spectrally resolved intensity data, which for dual ellipsometric data, is also resolved according to polarization. Ellipsometers of other designs may be used including those placing the oscillatory polarization analyzer on the reflected beam. Graphs of intensity generated by the ellipsometer are then compared with library graphs. The measured line width is then assumed to be the same as the line width used to generate that particular library graph. Although the example herein describes using a scatterometer to measure line width, the invention is not so limited and the scatterometer could be used to measure other geometric or non-geometric parameters.
  • The ellipsometric focusing point on the wafer 12 need not be coincident with the probe position underlying the probe tip 30. Because the AFM and the ellipsometer optics are commonly supported, the focusing point and the probe position may be offset by a set, predetermined, and determinable displacement requiring a fixed displacement of the x- and y-slides 16, 18 and possibly a z-slide to move between the testing position of the AFM and that of the ellipsometer. The wafer remains chucked to the pedestal during the movement and during the two types of measurements before and after the movement. Thereby, the same area characterized by scatterometry can be profiled by the atomic force microscopy without the need to find the same area.
  • As described above, it is necessary to generate accurate library graphs to correctly interpret scatterometer results. The AFM can assist in producing accurate library graphs for determining line width and in verifying that the graphs, which are only accurate for a given surface material, layer thickness, and pitch, are appropriate to the sample being measured.
  • Library graphs are typically generated by a simulation computer program. It is necessary to provide the simulation program accurate initial information about the pitch and the characteristics of the material. Oftentimes, the pitch information used in a simulation program is the pitch specified by the pattern designer. The actual pitch of the test pattern, however, may vary from the design pitch because of inaccuracies in the photolithograph process that creates the pattern. Using an inaccurate pitch to generate the library graphs results in poor fitting of the measured graphs to the library graphs and inaccurate measurements.
  • The AFM can scan the test pattern and provide accurate pitch information to be used as input for building the library. Using the accurate pitch measurement from the AFM, a set of library graphs can then be generated by simulating changes in line width. Providing accurate input to the library generation program anchors the simulation results to the actual physical process used to fabricate the measured patterns. After a library is created, the library accuracy can be verified by scanning portions of test patterns with the AFM to check that the scatterometer results determined using the library agree with the dimension found by the AFM.
  • To measure a scatterometer test pattern with the AFM, either for library generation or for measurement verification, an AFM would typically perform multiple scans at each of multiple locations on the pattern. For example, a beam target circle could be designated, and five AFM scans could be performed at the center of the target circle and at four points spaced along a circumference ten or fifteen microns from the center. Each scan comprises, for example, about 20 scan lines, with each line being 3 μm long and a distance of about 1 μm between lines. At least five pitches should be scanned in along the scan line. Skilled persons can readily vary the AFM measurements to adequately measure different targets.
  • The scatterometer, which rapidly measures the test patterns, can be used to monitor the integrated circuit fabrication process. Because the scatterometer results depend on a large number of parameters, the scatterometer can rapidly monitor and detect process variations that affect any of a large number of parameters. While the scatterometer can specifically identify small changes to a parameter, such as line width, within the limits of the library, and the scatterometer can flag larger changes by failing to match a library graph, the scatterometer cannot typically identify the nature of the quantitative or qualitative changes that are outside the library scope.
  • The AFM can measure the actual product when a problem is indicated by the scatterometer. The AFM is calibrated to external standards and its geometric measurements are essentially independent of the material being measured. The detailed geometry information available from the AFM could be used to verify that a problem exists with the fabrication process and to identify the nature of the problem. Thus, the scatterometer can screen for a large number of variables and the AFM can be used when the scatterometer flags an anomaly. Using the scatterometer, which measures a site in less than a second and multiple sites on a wafer in a few seconds, as a screening device, obviates the need to measure each site with the AFM, which requires about two minutes to measure a single feature.
  • FIG. 3 is a flowchart showing a process for setting up an integrated instrument and monitoring a fabrication process using the integrated instrument. Although the steps below describe single measurements, skilled persons will understand that steps may require multiple measurements across a range of conditions to be statistically valid.
  • In step 302, while a photolithography process is creating circuit features, the photolithography process also creates test patterns for the scatterometer. In step 304, the test pattern is measured with the AFM to determine a line spacing or pitch. In step 306, a simulation program generated library graphs for the scatterometer using the measured pitch information measured in step 304. Together, steps 304 and 306 comprise a process 310 for creating and anchoring a library.
  • The library can then be verified. In step 312, a test pattern is measured using the scatterometer and the measured graph is compared in step 314 to library graphs to determine the line width of the test pattern lines. In step 322, a portion of the test pattern is measured using the AFM. In step 324, the line width as determined by the scatterometer is compared to the line width measured by the AFM. If the measurements agree, the library has been shown to be correct for that measurement. If the measurements do not agree, the scatterometer library may need to be regenerated. The scatterometry measurements depend on the optical properties, specifically the index of refraction (n) and the dielectric constant (k), of the material being measured and if these properties vary from the assumed values, the scatterometer will not accurately measure line width. If these properties of the surface differ from the values used in the simulation that created the library graphs, the library graphs will not be accurate and will need to be regenerated using the correct n and k. Steps 322 and 324 can be repeated multiple times for different patterns to statistically validate the library. Steps 312 to 324 constitute a process 326 for validation of the library.
  • Because the scatterometer measures a test pattern and not the actual product, it is necessary to verify that the test pattern measurements correlate to the dimensions of the actual product. A difference in measurements between the test pattern and the product may occur, for example, because the line width in the test pattern is different from the line width in the actual circuit. Although the test pattern and the circuit features are created at the same time by the same photolithography processes, the results of those processes can vary due to local conditions. For example, it is known that diffraction effects cause the line width produced by a photolithography process to depend in part upon the proximity of other lines, and the lines in the test grating are typically much further apart than the lines on the product. Also, the substrate upon which the line is printed can cause diffraction effects that affect the printing of the line and cause variation in the line width.
  • The AFM can be used to measure the product to confirm that the test pattern measurement corresponds to the actual product dimensions or to provide an offset value for use with the scatterometer values when necessary. The offset value may be a constant or may vary depending on other processing parameters. In step 330, a portion of a product wafer is measured by the AFM and in step 332, the line width of the product is measured by the AFM. The measurements from steps 330 and 332 are compared in step 334 and if the measurements are different, an offset factor is determined based on the difference. The offset is applied to subsequent measurements of the scatterometer. This offset is based on differences between the line widths on the product and on the test pattern, and is not a result of measurement error of the scatterometer. Steps 330 to 334 constitute a process 336 for compensating for a difference between the test grating and the product.
  • After the library has been generated and confirmed and the scatterometer has been calibrated, the scatterometer is ready to be used to monitor the fabrication process. As long as the fabrication process is operating properly, the scatterometry results are valid within a small window for which the library provides closely corresponding reference sets of signatures. However, if a site demonstrates a signature that varies significantly from the library being currently used, that same site can be immediately probed by the AFM to provide geometric information.
  • FIG. 4 shows the steps of using the integrated measuring instrument as a process monitor. In step 404, the scatterometer measures, for example, five grating sites of a wafer going through the production line to create scatterometer graphs for those sites. In step 408, the scatterometer graphs of each site are compared to the library graphs. If the site graphs math library graphs corresponding to a line width within the process control limits, the wafer is passed in step 410 on to the next processing step. If, however, the site scatterometer graphs match library graphs corresponding to line widths outside the process limits, or the site graphs fail to match a library graph, the test area is measured in step 414 using the AFM to determine whether the grating size has in fact changed, or whether indication by the scatterometer of a lack of process control is caused by a different change in the substrate that causes the assumptions underlying the library to be false.
  • In step 416, if the AFM measurement shows that the line width is within process limits, a process engineer will investigate in step 418 to determine whether characteristics other than line width, such as the optical properties of the material or the feature profiles, have changed. The process may need to be adjusted or it may be necessary to generate new library graphs to correspond to the changed, but otherwise acceptable conditions. If the line width was not within tolerance in step 416, the process engineer will investigate in step 420 to learn what caused the process to change.
  • Even when the scatterometer does not indicate a problem, it may be desirable to periodically measure a site, either on the test grating or on a circuit element, using the AFM to obtain a complete three-dimensional geometric characterization of the features and to verify that the scatterometer is measuring correctly.
  • To obtain the most benefit from the two complementary instruments, the user should understand the fundamentals of each type of measurement. The scatterometer integrates all variations within the relatively large measurement spot of 30 or 40 μm: The scatterometer reading is a single measurement that represents an average line width/thickness/profile for all lines in the entire area. The AFM scans one or few scan lines, measuring the line width/height/profile at a series of points, and then may scan an adjacent line to cover an area. The AFM can characterize variations within a single structure. Edges of a feature may not be exactly straight, causing variation in line widths. The AFM can be used to characterize line roughness and variation in line edges, whereas the scatterometer will average out all the variations. The AFM can measure the complete three-dimensional profile of a feature, rather than just the top line width.
  • The AFM not only provides complementary benefits to the scatterometer, the scatterometer also provides benefits to the AFM. The AFM takes a long time to scan a large area. For example, it could take six or eight hours to scan a 50 μm×50 μm area. The scatterometer integrates over a large area and can measure this area in less than a second. By screening wafers, the scatterometer allows many more wafers to be measured and reduces the workload of the AFM, thereby increasing the usable life of the expensive AFM probe tip.
  • The AFM measurement capability is limited by the size and shape of the tip. For example, if the side angle of the tip is greater than the angle of a trench wall, the AFM tip cannot contact the trench wall and accurately measure the width at certain height positions on the trench wall. The AFM will return a measurement, but it is not valid, being more influenced by the shape of the tip than by the shape of the feature being measured. There may be no indication to the AFM operator, however, that the returned measurements are not valid. The simulation programs that generate scatterometer library graphs, on the other hand, can accurately create graphs that extrapolate to measurements beyond the capable of the AFM tip, even though the AFM was used to provide input into the library and verify it. The scatterometer can be used to indicate when the AFM has reached its limit of accuracy and its measurements are unreliable.
  • The number of sites per wafer that can be measured with the relatively slow SPM is very limited. For example, the AFM may realistically be limited to measuring five sites per wafer. Because the scatterometer is so much faster, it can perform over 200 measurements in the same time. This allows the scatterometer to perform many more measurements. This increase in sample size can greatly improve the reliability and precision of the measurement statistics.
  • In order to obtain the most benefit from combining the two measurements, it is necessary to understand the strengths and weaknesses of both forms and the differences in special resolution between the two types of measurements. For example, a width measurement by a scatterometer provides an average width over a large area. A width measurement by AFM can provide the width at several places on the feature. A width measurement on a cross-sectioned wafer using an SEM provides width information at a single point. A CD SEM can measure width at several places along a line.
  • The scatterometer graph primarily contains information about two directions, an X direction and a vertical or Z direction. The AFM can scan multiple lines to provide information in the X, Y, and Z direction. The AFM can therefore provide information about surface roughness, sidewall angles and roughness, deviation from a straight line of a feature edge. The scatterometer typically measures an area that is about 50 μm by 50 μm, whereas the AFM typically measures an area that is much smaller. Scatterometers are not yet proven to be accurate below about 70 nm, while AFMs have been shown to be accurate to a few nanometers. Scatterometers have difficulty measuring some feature having Z dimensions greater than one micron because of the potential high aspect ratio of these features, whereas the AFM can readily measure such dimensions.
  • The scatterometer measurements are limited by the available library graphs, so measurements that indicate large deviations from the expected results are typically not interpretable. The AFM measurements are limited by the shape of the probe tip. Each instrument can assist provide information when the other has reached its measurement limits.
  • The combined instruments can reduce the total cost of owning and operating both instruments. By screening production wafers with the scatterometer, the required number of AFM measurements is reduced, thereby extending the life of the expensive probe tip. The light source of a scatterometer is typically replaced periodically to ensure accurate measurements. When an AFM is used to provide an external accuracy check on the scatterometer, the light source can be used as long as measurements are accurate, and it does not need to be prematurely replaced.
  • Another advantage of the combined instruments is a more accurate determination of the width of the AFM tip. While pitch, line roughness, and profile measurements (as examples) with an AFM do not require knowledge of the tip width, line width measurement requires an accurate knowledge of the said width. While AFM will feed the library simulation with an accurate pitch (extracted from accurately calibrated piezo using available standards from NIST or VLSI as examples), scatterometry will use accurately defined wavelength interaction to determine line width from the simulation. Subsequently scanning an area where line width was defined by scatterometry and pitch defined by AFM will allow determination of tip width, thus improving repeatability and accuracy of AFM.
  • The integrate instrument is advantageously used, for example, to monitor the process associated with a trench structure, illustrated in the cross-sectional view of FIG. 5, used in the fabrication of dynamic random access memories (DRAMs). A silicon wafer 60 is covered with patterned layers 62 and 64 of silicon dioxide and silicon nitride, which serve as a hard mask for etching the underlying silicon to form trenches 66. In a series of steps not to be described, large DRAM capacitors are formed on the walls and bottom of the trenches 66. The processes for depositing the SiO2 and Si3N4 layers 62, 64 and for etching the silicon trenches 66 need to be controlled.
  • An AFM is effective at measuring variations in the trench spacing S and the trench width L, whether measured on actual trenches or on correspondingly configured test gratings having a large number of such trenches 66 arranged in parallel in a fixed direction and with fixed values of L and S. The AFM however, is ineffective at measuring the thicknesses of the layers 62 and 64 or at separating these thicknesses from the trench depth D. On the other hand, ellipsometry is effective at measuring small variations in the thicknesses of the layers 62 and 64 and of their compositions, at least as manifested in their optical constants n and k. As a result, the combination of an AFM and ellipsometer enables the complete characterization of the structure and composition of the trench structure of FIG. 5. Insofar as the trench parameters affect the ellipsometry analysis, the AFM-measured parameters can be used to narrow the scope of the library used in scatterometry.
  • The previously described ellipsometer is designed for beams arranged at 70 degrees from the wafer normal. This large angle is chosen to maximize the differences between the two radiation polarizations. However, the large angles also lengthen the maximum dimension of the probe beam on the sample surface from, for example, 40 μn to 150 μm. Such large sampling areas are disadvantageous for integrated circuit manufacturing in which the test sites are located in the kerf or cleave area between dies. For high productivity, the kerf or cleave area should be minimized. Therefore, in some applications in semiconductor process control, it is advantageous to use an ellipsometer for which the beams are arranged at a small angle of less than 10° from the wafer normal, for example, 20°, or a reflectometer normal to the surface.
  • In an ellipsometer, the differences between the two light polarizations are much reduced at low angles. Indeed, such low-angle ellipsometry is considered impossible with isotropic surfaces. However, grating test structures used in semiconductor process control provide significant anisotropy in known directions. Further, the reduced sensitivity of 2 degree ellipsometry can be compensated by using the previously described photoelastic polarizer, which can be cycled at 50 kHz and higher, in contrast to the typical 100 Hz cycling rate of rotating polarizers. At the greatly increased polarization cycling rate, a large number of polarization data points can be acquired in a reasonably short time and then averaged to provide greater resolution in separating the two polarization states.
  • The test equipment of the invention can also be applied to monitor another problem in semiconductor processing. Reactive ion etching of narrow, anisotropic trenches and via holes in oxide often uses a passivation film formed on the side walls to prevent excessive anisotropic etching. Ashing or other techniques are applied after etching to assure that the film is removed. Organic contaminants can also originate from the photoresist used in photolithographic etching of any device material. An ellipsometer operating with infrared light in the wavelength band of 5 μm to 16 μm is capable of detecting whether any such organic polymer remains. The infrared ellipsometer can be combined with an atomic force microscope in order to detect an organic polymer used, for example, as a passivation film.
  • While AFMs are very useful for monitoring a full profile of a feature, they are considered too slow to perform at a number of test sites on every wafer. A critical dimension scanning electron microscope (SEM) is quite suitable for a fairly precise determination of line widths, but the critical dimension returned is the width of the feature or test pattern apparent from the top.
  • Accordingly, another advantageous combination of surface characterization tools is a scatterometer and a SEM integrated on a single platform with a common specimen stage. As illustrated in the schematic side view of FIG. 6, the wafer 12 is supported on the pedestal 14 and its movable stages 16, 18, all located in a vacuum chamber 70 pumped to a vacuum of about 10−5 to 10−8 Torr by a vacuum pumping system 72. The vacuum is required for the low-energy electron microscopy of SEMs for which the electron energy is only a few kilovolts. A negatively biased Schottky emitter 74 emits electrons to a grounded anode 76. An aperture 78 forms the accelerated elections into a slightly divergent electron beam 80 approximately normal to the surface of the wafer 12. A coil-driven magnetic lens 82 and an electrostatic lens 84 focuses the beam 80 at surface of the wafer 12. The resultant electrons ejected from the wafer 12 are detected by an electron detector 86, and the electron intensity as the x-y stage 14 under the control of the computer scans the wafer 12 past the focused beam provides an image of the wafer surface. Both low-energy secondary electrons and back-scattered (inelastically scattered) electrons can be used separately or in combination to produce an image. Such SEMs are commercially available and widely used for in-line metrology in integrated circuit fabrication lines.
  • The vacuum chamber 70 includes two optical vacuum ports with windows 90, 92 allowing the ellipsometer input beam 58 to enter the chamber and strike the wafer 12, and be reflected at an equal angle into the output beam 58 which exits the chamber 70, thereby permitting the ellipsometer parts 52, 54, 56 to be located outside the chamber 70 but rigidly mounted thereto.
  • Similarly to the integrated tool of FIG. 4, the integrated scatterometer and SEM allows the scatterometer to quickly monitor multiple test patterns on every wafer being processed. Periodically, or whenever the signatures do not match the current library, the SEM can be used to immediately provide a complete surface image of the test pattern with no need to realign the wafer to the SEM. Like the AFM, the SEM can also be used to determine to provide accurate pitch information for the simulation program that generates the scatterometer library.
  • As described above, CD SEM measurements are adversely affected by edge blurring caused by electrical charging of the feature edges. This blurring is difficult to accurately compensate because it is dependent upon the composition and thickness of a number of the layers underlying the feature. In the present invention, the CD SEM edge blurring can be compensated using measurements from the scatterometer, because scattered light is not influenced by electrical charging. Scatterometer repeatability has been shown to be in the 1 nm range. Although the CD SEM can determine the absolute position of an edge to within only about 5 nm on each side, variations in edge positioning in the 1 nm range can be detected by the CD SEM. CD AFM can also determine edge positioning within the 1 nm range. Because the scatterometer is measuring the same wafer as the CD SEM, the compensation determined is appropriate for the particular wafer. The edge blur compensation can be recalculated as necessary, when the composition and thickness of a number of the layers underlying the feature changes.
  • The overall resolution limitation of the scatterometer is limited by wavelength used, which is typically currently between 193 nm to 850 nm when used in air. Smaller wavelengths, for example, down to 140 nm could be used in a vacuum or Nitrogen. The combination of scatterometry with a charged particle beam system requiring a vacuum environment provides the ability to use wavelengths smaller than 193 nm, for example, as low as 140 nm, allowing scatterometry to improve overall resolution below the actual 70 nm limit.
  • Although the present invention and its advantages have been described in detail, it should be understood that various changes, substitutions and alterations can be made herein without departing from the spirit and scope of the invention as defined by the appended claims. For example, the integrating optical instrument and the individual feature measuring instrument could be arranged in a cluster, with a material handler moving the work piece from one instrument to the other. The examples describe the use of the instruments to measure dimensions, but the instrument can also measure other characteristics, such as surface composition. Moreover, the scope of the present application is not intended to be limited to the particular embodiments of the process, machine, manufacture, composition of matter, means, methods and steps described in the specification. As one of ordinary skill in the art will readily appreciate from the disclosure of the present invention, processes, machines, manufacture, compositions of matter, means, methods, or steps, presently existing or later to be developed that perform substantially the same function or achieve substantially the same result as the corresponding embodiments described herein may be utilized according to the present invention. Accordingly, the appended claims are intended to include within their scope such processes, machines, manufacture, compositions of matter, means, methods, or steps.

Claims (20)

1. A method of using an integrated scatterometer and atomic force microscope to monitor a fabrication process, comprising:
mounting a work piece in work piece holder in a single system that includes both an atomic force microscope and a scatterometer;
measuring a feature in a test measurement area using the atomic force microscope;
generating scatterometer library graphs using the atomic force microscope measurement as input to a library generation program;
measuring a test measurement area on the work piece using the scatterometer and the generated library graphs to determine a measured parameter of the measurement area; and
if the measured parameter fails to meet a specified criterion, measuring one or more features of the work piece using the atomic force microscope without removing the work piece from work piece holder of the single system, thereby allowing features in the area measured by the scatterometer to be readily measured on the allowing the atomic force microscope if the scatterometer results are outside of specification or indeterminate and allowing the atomic force microscope to provide input for the generation of accurate library graph for use with the scatterometer.
2. A method of monitoring a fabrication process, comprising:
mounting a work piece in work piece holder in a single system that includes both an integrating optical instrument and an individual-feature-measuring instrument;
measuring a test measurement area on the work piece using the integrating optical instrument to determine a measured parameter of the measurement area; and
if the measured parameter fails to meet a specified criterion, measuring one or more features of the work piece using the individual-feature-measuring instrument without removing the work piece from the single system.
3. The method of claim 2 in which measuring one or more features of the work piece using the individual-feature-measuring instrument includes measuring the one or more features without removing the work piece from the work piece holder.
4. The method of claim 3 in which measuring one or more features of the work piece using the individual-feature-measuring instrument includes measuring the one or more features without moving the work piece from the position at which it was measured using the integrated optical instrument.
5. The method of claim 3 in which measuring one or more features of the work piece using the individual-feature-measuring instrument includes moving the work piece holder a predetermined distance from the position at which it was measured using the integrated optical instrument.
6. The method of claim 2 in which measuring one or more features of the work piece using the individual-feature-measuring instrument includes measuring one or more features in the test measurement area.
7. The method of claim 2 in which measuring one or more features of the work piece using the individual-feature-measuring instrument includes measuring one or more features in a product area.
8. The method of claim 2 in which measuring a test measurement area on the work piece using the integrating optical includes measuring a test measurement area using a scatterometer.
9. The method of claim 2 in which measuring a test measurement area on the work piece using the integrating optical includes measuring a test measurement area using an ellipsometer or a reflectometer.
10. The method of claim 2 in which measuring one or more features of the work piece using the individual-feature-measuring instrument includes measuring a portion of the work piece using a scanning profile microscope or a charged particle beam instrument.
11. The method of claim 10 in which measuring one or more features of the work piece using the individual-feature-measuring instrument includes measuring a portion of the work piece using an atomic force microscope operating in a mode in which a probe tip is moved vertically to contact the work piece surface.
12. The method of claim 2 in which:
measuring one or more features of the work piece using the individual-feature-measuring instrument includes measuring one or more features of the work piece using an atomic force microscope in which a probe tip is moved vertically to contact the work piece surface; and
measuring a test measurement area on the work piece using the integrating optical instrument includes measuring a test measurement area with a scatterometer.
13. The method of claim 2 further comprising
measuring a test site using the individual-feature-measuring instrument to provide input to a program that generates characterization graphs for use in interpreting the measurement results of the integrated optical instrument; and
generating characterization graphs for use in interpreting the results of the integrated optical instrument.
14. The method of claim 13 in which generating characterization graphs includes generating characterization graphs comprising scatterometer graphs correlated to line pitch or line width.
15. The method of claim 2 in which measuring a test measurement area on the work piece using the integrating optical instrument to determine a measured parameter of the measurement area includes measuring a test measurement area on the work piece using the integrating optical instrument to determine a geometric parameter of the measurement area and further comprising:
measuring a geometric parameter of a product feature on the work piece using the individual-feature-measuring instrument;
comparing the measured geometric parameter of the product feature measured by the individual-feature-measuring instrument with the corresponding geometric parameter of the test pattern measured by the integrating optical instrument;
if the measured parameters of the product feature determined by the individual-feature-measuring instrument and the measured parameter of the test area determined by the integrated optical instrument are not the same, determining based on the difference between the two measurements a measurement parameter offset between test pattern measurements and product feature measurements; and
determining a dimension of product feature by measuring the test area using the scatterometer and applying the offset to a test area measurement.
16. A integrated measuring system, comprising:
a work piece holder for holding a sample to be measured;
an integrating optical instrument having a radiation source for irradiating an area of said work piece and an optical detector receiving radiation reflected from said area; and
an individual-feature-measuring instrument for measuring the same work piece as measured by the integrating optical instrument, the individual-feature-measuring instrument being positioned in the same system as the integrated optical instrument so that a same work piece can be readily measured by both instruments.
17. The system of claim 16 in which the integrating optical instrument and the individual-feature-measuring instrument are positioned such that the area measured by the integrated optical instrument encompasses the area measured by the individual-feature-measuring instrument without repositioning the work piece.
18. The system of claim 16 in which the integrating optical instrument and the individual-feature-measuring instrument are positioned such that the area measured by the integrated optical instrument is offset by a predetermined distance from the area measured by the individual-feature-measuring instrument, thereby allowing the work piece holder to be repositioned by a predetermined amount when switching between the two measuring instruments.
19. The system of claim 16 in which the integrating optical instrument comprises a scatterometer.
20. The system of claim 16 in which the integrating optical instrument comprises a scatterometer and the individual-feature-measuring instrument comprises an atomic force microscope.
US11/333,796 2002-01-22 2006-01-17 Integrated measuring instrument Abandoned US20060185424A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/333,796 US20060185424A1 (en) 2002-01-22 2006-01-17 Integrated measuring instrument

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US35077802P 2002-01-22 2002-01-22
US10/317,160 US6986280B2 (en) 2002-01-22 2002-12-11 Integrated measuring instrument
US11/333,796 US20060185424A1 (en) 2002-01-22 2006-01-17 Integrated measuring instrument

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/317,160 Continuation US6986280B2 (en) 2002-01-22 2002-12-11 Integrated measuring instrument

Publications (1)

Publication Number Publication Date
US20060185424A1 true US20060185424A1 (en) 2006-08-24

Family

ID=23378140

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/317,160 Expired - Lifetime US6986280B2 (en) 2002-01-22 2002-12-11 Integrated measuring instrument
US11/333,796 Abandoned US20060185424A1 (en) 2002-01-22 2006-01-17 Integrated measuring instrument

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/317,160 Expired - Lifetime US6986280B2 (en) 2002-01-22 2002-12-11 Integrated measuring instrument

Country Status (3)

Country Link
US (2) US6986280B2 (en)
EP (1) EP1329686A3 (en)
JP (1) JP4490043B2 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7430898B1 (en) * 2003-09-04 2008-10-07 Kla-Tencor Technologies Corp. Methods and systems for analyzing a specimen using atomic force microscopy profiling in combination with an optical technique
US20090108200A1 (en) * 2007-10-29 2009-04-30 Micron Technology, Inc. Method and System of Performing Three-Dimensional Imaging Using An Electron Microscope
CN104062233A (en) * 2014-06-26 2014-09-24 浙江大学 Precise surface defect scattering three-dimensional microscopy imaging device
US10139429B2 (en) 2017-03-24 2018-11-27 Fei Company Method for calibrating and imaging using multi-tip scanning probe microscope

Families Citing this family (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003007330A1 (en) * 2001-07-12 2003-01-23 Hitachi, Ltd. Sample electrification measurement method and charged particle beam apparatus
US6986280B2 (en) * 2002-01-22 2006-01-17 Fei Company Integrated measuring instrument
JP4302965B2 (en) * 2002-11-01 2009-07-29 株式会社日立ハイテクノロジーズ Semiconductor device manufacturing method and manufacturing system thereof
JP2004226079A (en) * 2003-01-20 2004-08-12 Seiko Instruments Inc Surface or section processing observation method and its device
JP4078257B2 (en) * 2003-06-27 2008-04-23 株式会社日立ハイテクノロジーズ Sample size measuring method and charged particle beam apparatus
JP5308624B2 (en) * 2003-07-11 2013-10-09 アプライド マテリアルズ イスラエル リミテッド System and method for determining cross-sectional characteristics of a structural element using a reference structural element
US7355709B1 (en) * 2004-02-23 2008-04-08 Kla-Tencor Technologies Corp. Methods and systems for optical and non-optical measurements of a substrate
JP2007537455A (en) * 2004-05-14 2007-12-20 ケイエルエイ−テンコー・テクノロジーズ・コーポレーション System and method for measuring or analyzing a specimen
JP4272121B2 (en) * 2004-06-23 2009-06-03 株式会社日立ハイテクノロジーズ Three-dimensional shape measuring method and apparatus using SEM
US7361941B1 (en) * 2004-12-21 2008-04-22 Kla-Tencor Technologies Corporation Calibration standards and methods
US7274465B2 (en) * 2005-02-17 2007-09-25 Timbre Technologies, Inc. Optical metrology of a structure formed on a semiconductor wafer using optical pulses
US20060186406A1 (en) * 2005-02-18 2006-08-24 Texas Instruments Inc. Method and system for qualifying a semiconductor etch process
US7202689B2 (en) 2005-04-15 2007-04-10 International Business Machines Corporation Sensor differentiated fault isolation
FR2886015B1 (en) * 2005-05-18 2007-07-13 Commissariat Energie Atomique METHOD FOR MEASURING POROSITY BY ELLIPSOMETRY AND DEVICE USING SUCH A METHOD
EP1748030B1 (en) 2005-07-07 2016-04-20 Fei Company Method and apparatus for statistical characterization of nano-particles
US7429732B2 (en) * 2005-09-30 2008-09-30 Veeco Instruments Inc. Scanning probe microscopy method and apparatus utilizing sample pitch
FR2894671B1 (en) * 2005-12-13 2008-07-04 Commissariat Energie Atomique TOOL FOR DETERMINING ATOMIC FORCE MICROSCOPE TIP SHAPE
US20070172965A1 (en) * 2006-01-23 2007-07-26 Kangguo Cheng Non-destructive trench volume determination and trench capacitance projection
US7397030B1 (en) 2006-06-01 2008-07-08 N&K Technology, Inc. Integrated local and global optical metrology for samples having miniature features
US20080024772A1 (en) * 2006-07-26 2008-01-31 Seagate Technology Llc Particle removal tool with integrated defect detection/analysis capability
US7783669B2 (en) * 2006-10-12 2010-08-24 Tokyo Electron Limited Data flow management in generating profile models used in optical metrology
US8233155B2 (en) * 2006-10-13 2012-07-31 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7692138B1 (en) 2006-10-23 2010-04-06 David James Ray Integrated scanning probe microscope and confocal microscope
US7671978B2 (en) 2007-04-24 2010-03-02 Xyratex Technology Limited Scatterometer-interferometer and method for detecting and distinguishing characteristics of surface artifacts
KR100941980B1 (en) * 2007-11-14 2010-02-11 한국표준과학연구원 The minute measuring instrument for hign speed and large area and the method of thereof
JP2009162494A (en) * 2007-12-28 2009-07-23 Nec Electronics Corp Measuring method
JP5175616B2 (en) 2008-05-23 2013-04-03 シャープ株式会社 Semiconductor device and manufacturing method thereof
FR2948192B1 (en) * 2009-07-20 2011-07-22 Commissariat Energie Atomique OPTICAL CHARACTERIZATION METHOD
CN102687073B (en) * 2009-10-13 2014-08-27 Asml荷兰有限公司 Inspection method and apparatus
EP2682760A1 (en) * 2012-07-05 2014-01-08 Imec Apparatus and method for atomic force microscopy in controlled atmosphere
US9514999B2 (en) 2013-01-02 2016-12-06 Globalfoundries Inc. Systems and methods for semiconductor line scribe line centering
US9536796B2 (en) 2013-01-02 2017-01-03 Globalfoundries Inc. Multiple manufacturing line qualification
NL2012744A (en) 2013-05-21 2014-11-26 Asml Netherlands Bv Inspection method and apparatus, substrates for use therein and device manufacturing method.
US9589086B2 (en) * 2014-01-27 2017-03-07 Macronix International Co., Ltd. Method for measuring and analyzing surface structure of chip or wafer
US9784690B2 (en) * 2014-05-12 2017-10-10 Kla-Tencor Corporation Apparatus, techniques, and target designs for measuring semiconductor parameters
WO2017146785A1 (en) * 2016-02-25 2017-08-31 Kla-Tencor Corporation Analyzing root causes of process variation in scatterometry metrology
US10041873B2 (en) 2016-05-02 2018-08-07 Kla-Tencor Corporation Porosity measurement of semiconductor structures
US10281263B2 (en) 2016-05-02 2019-05-07 Kla-Tencor Corporation Critical dimension measurements with gaseous adsorption
US10145674B2 (en) 2016-05-02 2018-12-04 Kla-Tencor Corporation Measurement of semiconductor structures with capillary condensation
US10551320B2 (en) * 2017-01-30 2020-02-04 Kla-Tencor Corporation Activation of wafer particle defects for spectroscopic composition analysis
CN108241075A (en) * 2018-01-16 2018-07-03 吉林大学 A kind of method that single molecule force spectroscopy test is carried out in gaseous environment
GB201816526D0 (en) * 2018-10-10 2018-11-28 Univ Nottingham Surface topography sensing
EP4187259A1 (en) * 2021-11-26 2023-05-31 Park Systems Corp. Atomic force microscope equipped with optical measurement device and method of acquiring information on surface of measurement target using the same
US11619649B1 (en) 2021-11-26 2023-04-04 Park Systems Corp. Atomic force microscope equipped with optical measurement device and method of acquiring information on surface of measurement target using the same
JP7346778B2 (en) 2021-11-29 2023-09-20 パーク システムズ コーポレーション An atomic microscope equipped with an optical measurement device and a method for obtaining information on the surface of a measurement target using the same

Citations (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4408884A (en) * 1981-06-29 1983-10-11 Rca Corporation Optical measurements of fine line parameters in integrated circuit processes
US5091320A (en) * 1990-06-15 1992-02-25 Bell Communications Research, Inc. Ellipsometric control of material growth
US5164790A (en) * 1991-02-27 1992-11-17 Mcneil John R Simple CD measurement of periodic structures on photomasks
US5216235A (en) * 1992-04-24 1993-06-01 Amray, Inc. Opto-mechanical automatic focusing system and method
US5262643A (en) * 1992-06-12 1993-11-16 International Business Machines Corp. Automatic tip approach method and apparatus for scanning probe microscope
US5307693A (en) * 1993-01-21 1994-05-03 At&T Bell Laboratories Force-sensing system, including a magnetically mounted rocking element
US5362585A (en) * 1991-03-04 1994-11-08 At&T Bell Laboratories Seimconductor integrated circuit fabrication utilizing latent imagery
US5394500A (en) * 1993-12-22 1995-02-28 At&T Corp. Fiber probe device having multiple diameters
US5501637A (en) * 1993-08-10 1996-03-26 Texas Instruments Incorporated Temperature sensor and method
US5517027A (en) * 1993-06-08 1996-05-14 Mitsubishi Denki Kabushiki Kaisha Method for detecting and examining slightly irregular surface states, scanning probe microscope therefor, and method for fabricating a semiconductor device or a liquid crystal display device using these
US5699447A (en) * 1990-11-16 1997-12-16 Orbot Instruments Ltd. Two-phase optical inspection method and apparatus for defect detection
US5739909A (en) * 1995-10-10 1998-04-14 Lucent Technologies Inc. Measurement and control of linewidths in periodic structures using spectroscopic ellipsometry
US5756887A (en) * 1997-02-27 1998-05-26 Lucent Technologies Inc. Mechanism for changing a probe balance beam in a scanning probe microscope
US5801381A (en) * 1997-05-21 1998-09-01 International Business Machines Corporation Method for protecting a probe tip using active lateral scanning control
US5835221A (en) * 1995-10-16 1998-11-10 Lucent Technologies Inc. Process for fabricating a device using polarized light to determine film thickness
US5955654A (en) * 1997-08-07 1999-09-21 Vlsi Standards, Inc. Calibration standard for microroughness measuring instruments
US6016684A (en) * 1998-03-10 2000-01-25 Vlsi Standards, Inc. Certification of an atomic-level step-height standard and instrument calibration with such standards
US6229609B1 (en) * 1993-04-12 2001-05-08 Seiko Instruments Inc. Scanning near-field optic/atomic force microscope
US20010008272A1 (en) * 2000-01-14 2001-07-19 Klaus Rinn Measuring instrument and method for measuring features on a substrate
US6407373B1 (en) * 1999-06-15 2002-06-18 Applied Materials, Inc. Apparatus and method for reviewing defects on an object
US6421457B1 (en) * 1999-02-12 2002-07-16 Applied Materials, Inc. Process inspection using full and segment waveform matching
US20020149782A1 (en) * 2001-03-02 2002-10-17 Raymond Christopher J. Line profile asymmetry measurment using scatterometry
US20020165636A1 (en) * 2001-05-04 2002-11-07 Hasan Talat Fatima Systems and methods for metrology recipe and model generation
US6522776B1 (en) * 1999-08-17 2003-02-18 Advanced Micro Devices, Inc. Method for automated determination of reticle tilt in a lithographic system
US6552337B1 (en) * 1999-11-02 2003-04-22 Samsung Electronics Co., Ltd. Methods and systems for measuring microroughness of a substrate combining particle counter and atomic force microscope measurements
US20030133104A1 (en) * 2001-01-26 2003-07-17 Xinhui Niu System and method for characterizing macro-grating test patterns in advanced lithography and etch processes
US20030194820A1 (en) * 2001-10-22 2003-10-16 Nickhil Jakatdar Balancing planarization of layers and the effect of underlying structure on the metrology signal
US6658922B2 (en) * 2001-02-20 2003-12-09 Seagate Technology Llc Optical equipment assemblies and techniques indexed to a common spindle
US20040018653A1 (en) * 1999-12-10 2004-01-29 Johnson Kenneth C. Method of measuring meso-scale structures on wafers
US20040070772A1 (en) * 2001-12-19 2004-04-15 Shchegrov Andrei V. Parametric profiling using optical spectroscopic systems
US20040080757A1 (en) * 1999-02-01 2004-04-29 Stanke Fred E. Integrated surface metrology
US6744648B2 (en) * 2002-07-30 2004-06-01 Digi Power Manufacturing Inc. Active backup power supply with power factor correction and output voltage regulation
US6744057B2 (en) * 1998-02-27 2004-06-01 Hitachi, Ltd. Convergent charged particle beam apparatus and inspection method using same
US20040109165A1 (en) * 2001-06-15 2004-06-10 Bernard Fay Automated overlay metrology system
US6986280B2 (en) * 2002-01-22 2006-01-17 Fei Company Integrated measuring instrument

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0293304A (en) * 1988-09-30 1990-04-04 Toshiba Corp Microscopic device
JP2981117B2 (en) * 1993-06-08 1999-11-22 三菱電機株式会社 Method for detecting and inspecting minute foreign matter, scanning probe microscope used therefor, and method for producing semiconductor element or liquid crystal display element using the same
JPH07198308A (en) * 1993-12-29 1995-08-01 Olympus Optical Co Ltd Device for measuring film thickness
JP3712481B2 (en) * 1995-12-28 2005-11-02 富士通株式会社 Manufacturing method of semiconductor device
JPH11148812A (en) * 1997-11-14 1999-06-02 Sony Corp Method and device for evaluating surface roughness of epitaxial grown layer, method and instrument for measuring reflection factor of epitaxial grown layer, and manufacture of semiconductor device
US6299609B1 (en) * 1998-01-07 2001-10-09 Vasca, Inc. Methods and apparatus for inhibiting infection of subcutaneously implanted devices
JP2004500548A (en) 1999-07-15 2004-01-08 エフイーアイ カンパニー Micromachined microprobe tip
JP4348412B2 (en) * 2001-04-26 2009-10-21 東京エレクトロン株式会社 Measurement system cluster
JP4302965B2 (en) * 2002-11-01 2009-07-29 株式会社日立ハイテクノロジーズ Semiconductor device manufacturing method and manufacturing system thereof
JP3959355B2 (en) * 2003-01-17 2007-08-15 株式会社日立ハイテクノロジーズ Measuring method of three-dimensional shape of fine pattern

Patent Citations (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4408884A (en) * 1981-06-29 1983-10-11 Rca Corporation Optical measurements of fine line parameters in integrated circuit processes
US5091320A (en) * 1990-06-15 1992-02-25 Bell Communications Research, Inc. Ellipsometric control of material growth
US5699447A (en) * 1990-11-16 1997-12-16 Orbot Instruments Ltd. Two-phase optical inspection method and apparatus for defect detection
US5164790A (en) * 1991-02-27 1992-11-17 Mcneil John R Simple CD measurement of periodic structures on photomasks
US5362585A (en) * 1991-03-04 1994-11-08 At&T Bell Laboratories Seimconductor integrated circuit fabrication utilizing latent imagery
US5216235A (en) * 1992-04-24 1993-06-01 Amray, Inc. Opto-mechanical automatic focusing system and method
US5262643A (en) * 1992-06-12 1993-11-16 International Business Machines Corp. Automatic tip approach method and apparatus for scanning probe microscope
US5307693A (en) * 1993-01-21 1994-05-03 At&T Bell Laboratories Force-sensing system, including a magnetically mounted rocking element
US6229609B1 (en) * 1993-04-12 2001-05-08 Seiko Instruments Inc. Scanning near-field optic/atomic force microscope
US5517027A (en) * 1993-06-08 1996-05-14 Mitsubishi Denki Kabushiki Kaisha Method for detecting and examining slightly irregular surface states, scanning probe microscope therefor, and method for fabricating a semiconductor device or a liquid crystal display device using these
US5501637A (en) * 1993-08-10 1996-03-26 Texas Instruments Incorporated Temperature sensor and method
US5394500A (en) * 1993-12-22 1995-02-28 At&T Corp. Fiber probe device having multiple diameters
US5739909A (en) * 1995-10-10 1998-04-14 Lucent Technologies Inc. Measurement and control of linewidths in periodic structures using spectroscopic ellipsometry
US5835221A (en) * 1995-10-16 1998-11-10 Lucent Technologies Inc. Process for fabricating a device using polarized light to determine film thickness
US5756887A (en) * 1997-02-27 1998-05-26 Lucent Technologies Inc. Mechanism for changing a probe balance beam in a scanning probe microscope
US5801381A (en) * 1997-05-21 1998-09-01 International Business Machines Corporation Method for protecting a probe tip using active lateral scanning control
US5955654A (en) * 1997-08-07 1999-09-21 Vlsi Standards, Inc. Calibration standard for microroughness measuring instruments
US6744057B2 (en) * 1998-02-27 2004-06-01 Hitachi, Ltd. Convergent charged particle beam apparatus and inspection method using same
US6016684A (en) * 1998-03-10 2000-01-25 Vlsi Standards, Inc. Certification of an atomic-level step-height standard and instrument calibration with such standards
US20040080757A1 (en) * 1999-02-01 2004-04-29 Stanke Fred E. Integrated surface metrology
US6421457B1 (en) * 1999-02-12 2002-07-16 Applied Materials, Inc. Process inspection using full and segment waveform matching
US6407373B1 (en) * 1999-06-15 2002-06-18 Applied Materials, Inc. Apparatus and method for reviewing defects on an object
US6522776B1 (en) * 1999-08-17 2003-02-18 Advanced Micro Devices, Inc. Method for automated determination of reticle tilt in a lithographic system
US6552337B1 (en) * 1999-11-02 2003-04-22 Samsung Electronics Co., Ltd. Methods and systems for measuring microroughness of a substrate combining particle counter and atomic force microscope measurements
US20040018653A1 (en) * 1999-12-10 2004-01-29 Johnson Kenneth C. Method of measuring meso-scale structures on wafers
US20010008272A1 (en) * 2000-01-14 2001-07-19 Klaus Rinn Measuring instrument and method for measuring features on a substrate
US20030133104A1 (en) * 2001-01-26 2003-07-17 Xinhui Niu System and method for characterizing macro-grating test patterns in advanced lithography and etch processes
US6658922B2 (en) * 2001-02-20 2003-12-09 Seagate Technology Llc Optical equipment assemblies and techniques indexed to a common spindle
US20020149782A1 (en) * 2001-03-02 2002-10-17 Raymond Christopher J. Line profile asymmetry measurment using scatterometry
US20020165636A1 (en) * 2001-05-04 2002-11-07 Hasan Talat Fatima Systems and methods for metrology recipe and model generation
US20040109165A1 (en) * 2001-06-15 2004-06-10 Bernard Fay Automated overlay metrology system
US20030194820A1 (en) * 2001-10-22 2003-10-16 Nickhil Jakatdar Balancing planarization of layers and the effect of underlying structure on the metrology signal
US6743646B2 (en) * 2001-10-22 2004-06-01 Timbre Technologies, Inc. Balancing planarization of layers and the effect of underlying structure on the metrology signal
US20040147048A1 (en) * 2001-10-22 2004-07-29 Timbre Technologies, Inc. Balancing planarization of layers and the effect of underlying structure on the metrology signal
US20040070772A1 (en) * 2001-12-19 2004-04-15 Shchegrov Andrei V. Parametric profiling using optical spectroscopic systems
US6986280B2 (en) * 2002-01-22 2006-01-17 Fei Company Integrated measuring instrument
US6744648B2 (en) * 2002-07-30 2004-06-01 Digi Power Manufacturing Inc. Active backup power supply with power factor correction and output voltage regulation

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7430898B1 (en) * 2003-09-04 2008-10-07 Kla-Tencor Technologies Corp. Methods and systems for analyzing a specimen using atomic force microscopy profiling in combination with an optical technique
US20090108200A1 (en) * 2007-10-29 2009-04-30 Micron Technology, Inc. Method and System of Performing Three-Dimensional Imaging Using An Electron Microscope
US8642959B2 (en) 2007-10-29 2014-02-04 Micron Technology, Inc. Method and system of performing three-dimensional imaging using an electron microscope
US9390882B2 (en) 2007-10-29 2016-07-12 Micron Technology, Inc. Apparatus having a magnetic lens configured to diverge an electron beam
CN104062233A (en) * 2014-06-26 2014-09-24 浙江大学 Precise surface defect scattering three-dimensional microscopy imaging device
US10139429B2 (en) 2017-03-24 2018-11-27 Fei Company Method for calibrating and imaging using multi-tip scanning probe microscope

Also Published As

Publication number Publication date
JP2003294436A (en) 2003-10-15
EP1329686A3 (en) 2003-08-20
JP4490043B2 (en) 2010-06-23
EP1329686A2 (en) 2003-07-23
US20030168594A1 (en) 2003-09-11
US6986280B2 (en) 2006-01-17

Similar Documents

Publication Publication Date Title
US6986280B2 (en) Integrated measuring instrument
US6432729B1 (en) Method for characterization of microelectronic feature quality
US6946857B2 (en) Semiconductor device tester
US8755045B2 (en) Detecting method for forming semiconductor device
JP2004264039A (en) Scanning probe microscope, and compact disk/cross-sectional profile measuring method as well as semiconductor device manufacturing method
US7576317B1 (en) Calibration standard for a dual beam (FIB/SEM) machine
KR20080100363A (en) Methods and systems for determining a characteristic of a wafer
JP4153652B2 (en) Pattern evaluation apparatus and pattern evaluation method
EP0720216B1 (en) Linewidth metrology of integrated circuit structures
US20050183282A1 (en) Method and apparatus for measuring depth of holes formed on a specimen
CN101145535A (en) Method for non-destructively detecting line width coarse phenomenon
US7430898B1 (en) Methods and systems for analyzing a specimen using atomic force microscopy profiling in combination with an optical technique
JP2981117B2 (en) Method for detecting and inspecting minute foreign matter, scanning probe microscope used therefor, and method for producing semiconductor element or liquid crystal display element using the same
US20050118735A1 (en) Method for determining or inspecting a property of a patterned layer
Dixson et al. Toward traceability for at-line AFM dimensional metrology
JP3762784B2 (en) Measuring method, measuring apparatus and quality control method
US7319223B2 (en) Method and apparatus for characterizing a recess located on a surface of a substrate
US20030184769A1 (en) Patterned implant metrology
Hodges et al. Improved gate process control at the 130-nm node using spectroscopic-ellipsometry-based profile metrology
US20060077403A1 (en) Optical system and method for measuring small dimensions
Yang Metrology and Inspection Equipment
CN116718602A (en) Failure positioning and failure analysis method
Patrick et al. Modeling and analysis of scatterometry signatures for optical critical dimension reference material applications
Boher et al. High-accuracy characterization of antireflective coatings and photoresists by spectroscopic ellipsometry: a new tool for 300-mm wafer technology
Weidner et al. Model-free and model-based methods for dimensional metrology during the lifetime of a product

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION