US20060158240A1 - Distributed temperature control system for point of dispense temperature control on track systems utilizing mixing of hot and cold streams - Google Patents

Distributed temperature control system for point of dispense temperature control on track systems utilizing mixing of hot and cold streams Download PDF

Info

Publication number
US20060158240A1
US20060158240A1 US11/316,329 US31632905A US2006158240A1 US 20060158240 A1 US20060158240 A1 US 20060158240A1 US 31632905 A US31632905 A US 31632905A US 2006158240 A1 US2006158240 A1 US 2006158240A1
Authority
US
United States
Prior art keywords
temperature
fluid
coupled
flow
stream
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/316,329
Inventor
Sudhir Gondhalekar
Tetsuya Ishikawa
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Screen Semiconductor Solutions Co Ltd
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US11/316,329 priority Critical patent/US20060158240A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GONDHALEKAR, SUDHIR R., ISHIKAWA, TETSUYA
Publication of US20060158240A1 publication Critical patent/US20060158240A1/en
Assigned to SOKUDO CO., LTD. reassignment SOKUDO CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: APPLIED MATERIALS, INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67225Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one lithography chamber
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03BAPPARATUS OR ARRANGEMENTS FOR TAKING PHOTOGRAPHS OR FOR PROJECTING OR VIEWING THEM; APPARATUS OR ARRANGEMENTS EMPLOYING ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ACCESSORIES THEREFOR
    • G03B27/00Photographic printing apparatus
    • G03B27/32Projection printing apparatus, e.g. enlarger, copying camera
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03DAPPARATUS FOR PROCESSING EXPOSED PHOTOGRAPHIC MATERIALS; ACCESSORIES THEREFOR
    • G03D13/00Processing apparatus or accessories therefor, not covered by groups G11B3/00 - G11B11/00
    • G03D13/006Temperature control of the developer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • G05B19/41815Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM] characterised by the cooperation between machine tools, manipulators and conveyor or other workpiece supply system, workcell
    • G05B19/41825Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM] characterised by the cooperation between machine tools, manipulators and conveyor or other workpiece supply system, workcell machine tools and manipulators only, machining centre
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/40Robotics, robotics mapping to robotics vision
    • G05B2219/40476Collision, planning for collision free path
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/49Nc machine tool, till multiple
    • G05B2219/49137Store working envelop, limit, allowed zone
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/136Associated with semiconductor wafer handling including wafer orienting means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/53Means to assemble or disassemble
    • Y10T29/5313Means to assemble electrical device
    • Y10T29/53187Multiple station assembly apparatus
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/53Means to assemble or disassemble
    • Y10T29/5313Means to assemble electrical device
    • Y10T29/532Conductor
    • Y10T29/53209Terminal or connector
    • Y10T29/53213Assembled to wire-type conductor
    • Y10T29/53222Means comprising hand-manipulatable implement
    • Y10T29/5323Fastening by elastic joining

Definitions

  • the present invention relates generally to the field of substrate processing equipment. More particularly, the present invention relates to a method and apparatus for providing point of dispense temperature control for semiconductor process chemistry. The method and apparatus can be applied to other processes for semiconductor substrates, for example those used in the formation of integrated circuits.
  • a typical photolithography process sequence generally includes depositing one or more uniform photoresist (resist) layers on the surface of a substrate, drying and curing the deposited layers, patterning the substrate by exposing the photoresist layer to electromagnetic radiation that is suitable for modifying the exposed layer and then developing the patterned photoresist layer.
  • resist uniform photoresist
  • a multi-chamber processing system e.g., a cluster tool
  • a cluster tool that has the capability to sequentially process semiconductor wafers in a controlled manner.
  • a cluster tool that is used to deposit (i.e., coat) and develop a photoresist material is commonly referred to as a track lithography tool.
  • Track lithography tools typically include a mainframe that houses multiple chambers (which are sometimes referred to herein as stations) dedicated to performing the various tasks associated with pre- and post-lithography processing. There are typically both wet and dry processing chambers within track lithography tools. Wet chambers include coat and/or develop bowls, while dry chambers include thermal control units that house bake and/or chill plates.
  • Track lithography tools also frequently include one or more pod/cassette mounting devices, such as an industry standard FOUP (front opening unified pod), to receive substrates from and return substrates to the clean room, multiple substrate transfer robots to transfer substrates between the various chambers/stations of the track tool and an interface that allows the tool to be operatively coupled to a lithography exposure tool in order to transfer substrates into the exposure tool and receive substrates from the exposure tool after the substrates are processed within the exposure tool.
  • pod/cassette mounting devices such as an industry standard FOUP (front opening unified pod)
  • FOUP front opening unified pod
  • a component of the “wafer history” is the thickness, uniformity, repeatability, and other characteristics of the photolithography chemistry, which includes, without limitation, photoresist, developer, and solvents.
  • a substrate for example a semiconductor wafer
  • liquids and gases such as solvents, photoresist (resist), developer, and the like are dispensed onto the surface of the substrate.
  • the wafer history will depend on the process parameters associated with the photolithography process.
  • the thickness of the resist layer formed during a photolithography process is a function of the viscosity of photoresist and the spin rate of the spin chuck among other factors.
  • the viscosity of the photoresist is a function of the temperature of the resist. Therefore, to achieve uniform wafer histories, it is generally desirable to control the resist temperature along with other process variables.
  • the desired temperature of the resist may vary from one photoresist to another. Therefore, there is a need in the art for improved methods and apparatus that can provide temperature control for photolithography chemistry at the point of dispense.
  • the present invention relates to a method and apparatus for providing point of dispense temperature control for semiconductor process chemistry.
  • the method and apparatus can be applied to other processes for semiconductor substrates, for example those used in the formation of integrated circuits.
  • a point of dispense temperature control apparatus for a track lithography system.
  • the apparatus includes a first liquid source characterized by a first temperature and a first flow controller coupled to the first liquid source.
  • the apparatus also includes a second liquid source characterized by a second temperature and a second flow controller coupled to the second liquid source.
  • the apparatus further includes a mixing element coupled to the first flow controller and the second flow controller.
  • the mixing element is adapted to provide a mixed stream characterized by a total flow volume and a temperature intermediate to the first temperature and the second temperature.
  • the apparatus additionally includes a sensor coupled to the mixed stream and a point of dispense heat exchanger coupled to the mixed stream.
  • the apparatus includes a control loop coupled to the sensor and at least one of the first flow controller or the second-floor controller.
  • the control loop is adapted to provide a consistent total flow volume at the intermediate temperature.
  • additional valving is provided to return one or more fluids to one or more fluid sources.
  • the point of dispense heat exchanger is coupled to a photolithography chemical dispense system.
  • the control loop includes a proportional-integral-derivative controller.
  • a point of dispense temperature control apparatus for a track lithography system.
  • the apparatus includes a first fluid source characterized by a first temperature and a first flow regulator coupled to the first fluid source.
  • the apparatus also includes a second fluid source characterized by a second temperature and a second flow regulator coupled to the second fluid source.
  • the apparatus further includes a mixing element coupled to the first fluid source and the second fluid source. The mixing element is adapted to provide a mixed stream characterized by a temperature intermediate to the first temperature and the second temperature.
  • the apparatus additionally includes a sensor coupled to the mixed stream, a point of dispense heat exchanger coupled to the mixed stream, a fluid return path coupled to the point of dispense heat exchanger and adapted to deliver fluid to at least one of the first fluid source or the second fluid source, and a control loop coupled to the sensor and at least one of the first flow controller or the second flow controller.
  • a method of providing distributed temperature control for multiple point of dispense heat exchangers in a track lithography system includes providing a first fluid stream characterized by a first temperature and providing a second fluid stream characterized by a second temperature. The method also includes providing a first fluid flow path coupled to the first fluid stream and providing a second fluid flow path coupled to the second fluid stream.
  • the method further includes mixing the first fluid stream and the second fluid stream to provide a mixed fluid stream characterized by a third temperature, monitoring the third temperature, modulating a flow rate of at least one of the first fluid stream or the second fluid stream in response to monitoring the third temperature, and coupling the mixed stream to a plurality of point of dispense heat exchangers adapted to control a temperature associated with photolithography chemistry.
  • a point of dispense temperature control apparatus for a track lithography system.
  • the apparatus includes a first liquid source characterized by a first temperature coupled to a fluid line.
  • the first temperature is room temperature.
  • the apparatus also includes a second liquid source characterized by a second temperature and a third liquid source characterized by a third temperature.
  • the third temperature is less than the second temperature.
  • the second liquid source and the third liquid source are coupled to the fluid line.
  • the apparatus further includes a first flow controller coupled to the second liquid source and a second flow controller coupled to the third liquid source.
  • the apparatus additionally includes a sensor coupled to the fluid line, a point of dispense heat exchanger coupled to the fluid line and a control loop coupled to the sensor and at least one of the first flow controller or the second flow controller.
  • the control loop is adapted to provide a consistent total flow volume.
  • a point of dispense temperature control apparatus for a track lithography system.
  • the apparatus includes a first liquid source characterized by a first temperature coupled to a fluid line.
  • the first temperature is room temperature.
  • the apparatus also includes a second liquid source characterized by a second temperature and a heating element, both of which are coupled to the fluid line.
  • the apparatus further includes a first flow controller coupled to the second liquid source.
  • the apparatus additionally includes a sensor coupled to the fluid line, a point of dispense heat exchanger coupled to the fluid line and a control loop coupled to the sensor and at least one of the first flow controller or the heating element.
  • the control loop is adapted to provide a consistent total flow volume.
  • embodiments of the present invention provide for packaging of temperature control hardware onboard the coat bowl, reducing tubing length between the mixer and the heat exchanger, thus reducing the distance from the temperature control hardware to the point of use. Additionally, embodiments of the present invention provide improvements in energy efficiency over other approaches, such as Peltier cooler systems. Moreover, embodiments of the present invention provide simplified temperature control systems, reducing the cost of the temperature control system in comparison with other approaches. Depending upon the embodiment, one or more of these benefits, as well as other benefits, may be achieved.
  • FIG. 1 is a simplified plan view of an embodiment of a track lithography tool according to an embodiment of the present invention
  • FIG. 2 is a simplified schematic diagram illustrating a point of dispense temperature control system according to an embodiment of the present invention
  • FIG. 3 is a simplified schematic diagram illustrating a point of dispense temperature control system according to an alternative embodiment of the present invention
  • FIG. 4 is a simplified schematic diagram illustrating a multiple output temperature control system according to an embodiment of the present invention.
  • FIG. 5 is a simplified graph illustrating relationships between temperature set points for the mixed flow stream and flow rates according to an embodiment of the present invention
  • FIG. 6 is a simplified schematic diagram illustrating another temperature control system according to an embodiment of the present invention.
  • FIG. 7 is a graph illustrating temperature set point change as a function of time achieved utilizing an embodiment of the present invention.
  • FIG. 8 is a graph illustrating temperature stability achieved utilizing an embodiment of the present invention.
  • FIG. 9A is a simplified schematic diagram illustrating yet another temperature control system according to an embodiment of the present invention.
  • FIG. 9B is a simplified schematic diagram illustrating another alternative temperature control system according to an embodiment of the present invention.
  • the present invention relates to a method and apparatus for providing point of dispense temperature control for semiconductor process chemistry.
  • the method and apparatus can be applied to other processes for semiconductor substrates, for example those used in the formation of integrated circuits.
  • FIG. 1 is a plan view of an embodiment of a track lithography tool 100 in which the embodiments of the present invention may be used.
  • track lithography tool 100 contains a front end module 110 (sometimes referred to as a factory interface or FI), a central module 112 , and a rear module 114 (sometimes referred to as a scanner interface).
  • Front end module 110 generally contains one or more pod assemblies or FOUPS (e.g., items 116 A-D), a front end robot 118 , and front end processing racks 120 A and 120 B.
  • the one or more pod assemblies 116 A-D are generally adapted to accept one or more cassettes 130 that may contain one or more substrates or wafers, “W,” that are to be processed in track lithography tool 100 .
  • Central module 112 generally contains a first central processing rack 122 A, a second central processing rack 122 B, and a central robot 124 .
  • Rear module 114 generally contains first and second rear processing racks 126 A and 126 B and a back end robot 128 .
  • Front end robot 118 is adapted to access processing modules in front end processing racks 120 A, 120 B;
  • central robot 124 is adapted to access processing modules in front end processing racks 120 A, 120 B, first central processing rack 122 A, second central processing rack 122 B and/or rear processing racks 126 A, 126 B;
  • back end robot 128 is adapted to access processing modules in the rear processing racks 126 A, 126 B and in some cases exchange substrates with a stepper/scanner 5 .
  • the stepper/scanner 5 which may be purchased from Canon USA, Inc. of San Jose, Calif., Nikon Precision Inc. of Belmont, Calif., or ASML US, Inc. of Tempe Ariz., is a lithographic projection apparatus used, for example, in the manufacture of integrated circuits (ICs).
  • the scanner/stepper tool 5 exposes a photosensitive material (resist), deposited on the substrate in the cluster tool, to some form of electromagnetic radiation to generate a circuit pattern corresponding to an individual layer of the integrated circuit (IC) device to be formed on the substrate surface.
  • a photosensitive material resist
  • Each of the processing racks 120 A, 120 B; 122 A, 122 B and 126 A, 126 B contain multiple processing modules in a vertically stacked arrangement. That is, each of the processing racks may contain multiple stacked integrated thermal units 10 , multiple stacked coater modules 132 , multiple stacked coater/developer modules with shared dispense 134 or other modules that are adapted to perform the various processing steps required of a track photolithography tool.
  • coater modules 132 may deposit a bottom antireflective coating (BARC); coater/developer modules 134 may be used to deposit and/or develop photoresist layers and integrated thermal units 10 may perform bake and chill operations associated with hardening BARC and/or photoresist layers.
  • BARC bottom antireflective coating
  • a system controller 140 is used to control all of the components and processes performed in the cluster tool 100 .
  • the controller 140 is generally adapted to communicate with the stepper/scanner 5 , monitor and control aspects of the processes performed in the cluster tool 100 , and is adapted to control all aspects of the complete substrate processing sequence.
  • controller 140 works in conjunction with other controllers, such as a post exposure bake (PEB) controller, to control certain aspects of the processing sequence.
  • PEB post exposure bake
  • the controller 140 which is typically a microprocessor-based controller, is configured to receive inputs from a user and/or various sensors in one of the processing chambers and appropriately control the processing chamber components in accordance with the various inputs and software instructions retained in the controller's memory.
  • the controller 140 generally contains memory and a CPU (not shown) which are utilized by the controller to retain various programs, process the programs, and execute the programs when necessary.
  • the memory (not shown) is connected to the CPU, and may be one or more of a readily available memory, such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote.
  • Software instructions and data can be coded and stored within the memory for instructing the CPU.
  • the support circuits (not shown) are also connected to the CPU for supporting the processor in a conventional manner.
  • the support circuits may include cache, power supplies, clock circuits, input/output circuitry, subsystems, and the like all well known in the art.
  • a program (or computer instructions) readable by the controller 140 determines which tasks are performable in the processing chamber(s).
  • the program is software readable by the controller 140 and includes instructions to monitor and control the process based on defined rules and input data.
  • embodiments of the invention are not limited to use with a track lithography tool such as that depicted in FIG. 1 . Instead, embodiments of the invention may be used in any track lithography tool including the many different tool configurations described in U.S. application Ser. No. 11/112,281, entitled “Cluster Tool Architecture for Processing a Substrate” filed on Apr. 22, 2005, which is hereby incorporated by reference for all purposes and including configurations not described in the above referenced application.
  • the temperature of the photolithography chemistry including both organic and inorganic resists, developers, BARCs, TARCs, and the like is controlled to achieve predetermined temperature set points.
  • the temperature set points for coat and/or develop processes range from about 18° C. to about 28° C.
  • the temperature set points are maintained at a predetermined set point ⁇ 0.05° C.
  • the temperature set points are maintained at a predetermined set point ⁇ 0.03° C. at approximately 20° C.
  • the temperature set points are maintained at a predetermined set point ⁇ 0.01° C.
  • coater modules 132 and 134 generally contain multiple fluid source assemblies 260 and 262 to run different process recipes containing different materials. These materials include, but are not limited to, photoresists, developers, BARCs, TARCs, ARCs, and the like.
  • the temperature of the fluid source assemblies are each independently controlled to assure consistency in achieving desirable process results.
  • Embodiments of the invention provide various methods and apparatus for controlling the temperature of photoresist as well as other fluids utilized in photolithography chemistries before the fluids are dispensed on the surface of a substrate during a coat and/or develop process.
  • the temperature control for develop chemistries is preferably about ⁇ 0.1° C. In other embodiments, the temperature control for develop chemistries is preferably about ⁇ 0.2° C.
  • FIG. 2 is a simplified schematic diagram illustrating a point of dispense temperature control system according to an embodiment of the present invention.
  • a hot stream source 210 and a cold stream source 220 are provided according to embodiments of the present invention as illustrated in FIG. 2 .
  • Fluid at a temperature T H is sourced from the hot stream source 210 into source line 212 and the flow rate of the fluid in line 212 is controlled using flow control valve 214 .
  • the temperature of the fluid provided by the hot stream source is a predetermined value.
  • the temperature of the hot stream source ranges from about 25° C. to about 60° C. In a specific embodiment, the temperature of the hot stream source is 30° C.
  • the temperature of the fluid provided by the cold stream source is a predetermined value.
  • the temperature of the cold stream source ranges from about 5 to about 25° C. In a specific embodiment, the temperature of the cold stream source is 18° C.
  • embodiments of the present invention are utilized in conjunction with heat exchanging devices such as those described in commonly owned and assigned U.S. patent application Ser. No. 11/112,281, referenced above.
  • heat exchanging devices such as those described in commonly owned and assigned U.S. patent application Ser. No. 11/112,281, referenced above.
  • discharge nozzles containing heat exchanging devices that are adapted to heat and/or cool the nozzle body, the supply tube, and the processing fluid contained in the supply tube, for instance, photoresist, are described in the above referenced application.
  • temperature controlled fluids are provided that are utilized in such heat exchanger applications.
  • de-ionized (DI) water de-mineralized (DM) water, DI water/ethylene glycol mixtures, DI water with anti-corrosive additives, DI water with anti-bacterial additives, combinations of these, and the like are utilized as fluids for the hot and/or cold sources.
  • DI de-ionized
  • DM de-mineralized
  • DI water/ethylene glycol mixtures DI water with anti-corrosive additives
  • DI water with anti-bacterial additives combinations of these, and the like are utilized as fluids for the hot and/or cold sources.
  • source line 212 is a fluid line with a predetermined inside diameter (ID).
  • ID inside diameter
  • source line 212 has a 1 ⁇ 4′′ ID.
  • the ID of source line 212 varies with flow rate over a range from about 1/16′′ to about 1′′.
  • the flow rate of the fluid at temperature T H is set at a predetermined value, such as X liters per minute (lpm).
  • lpm X liters per minute
  • the flow rate for the hot stream is about 1 lpm in some embodiments of the present invention.
  • the flow rate for the hot stream ranges from about 0.1 lpm to about 3 lpm.
  • Cold stream source 220 provides a source of fluid at temperature T C into source line 222 .
  • source line 222 is a fluid line with a predetermined ID.
  • source line 222 has a 1 ⁇ 4′′ ID.
  • the ID of source line 222 varies over a range from about 1/16′′ to about 1′′.
  • the hot stream source 210 and the cold stream source 220 are packaged in a single unit.
  • Mixer 216 is illustrated in FIG. 2 as a junction point at which the fluid in the hot stream 212 and the cold stream 222 are combined to form a mixed stream in fluid line 226 .
  • mixer 216 may be a “T” junction or other suitable flow combiner.
  • the mixed stream in fluid line 226 is at a temperature T M greater than T C and less than T H .
  • the temperature T M is a function of the flow rates of the hot and cold streams as well as the temperatures of these streams.
  • a sensor 228 and controller 230 are provided according to embodiments of the present invention to achieve the desired temperature T M for the mixed stream 226 .
  • a resistance temperature detector (RTD) sensor 228 is utilized to measure the temperature of the fluid flowing in the mixed stream 226 .
  • RTDs provide a resistance element for which the resistance is calibrated as a function of temperature.
  • a proportional-integral-derivative (PID) controller 230 is coupled to the temperature sensor 228 and to flow control valve 224 in a feedback loop. Based on the temperature measurement provided by sensor 228 , controller 230 modifies the flow rate through fluid line 222 .
  • T M the temperature of the mixed stream is controlled at T M according to embodiments of the present invention.
  • Embodiments of the present invention are not limited to PID controllers, as other suitable controllers are included within the scope of the present invention.
  • the flow rate of the hot stream is maintained at a constant value selected to provide temperature control for chemistry through a heat exchanger at the point of dispense.
  • the flow rate through the point of dispense heat exchanger will be a function of the particular heat exchanger design.
  • Coarse adjustment of the temperature T M of the mixed stream is provided in the embodiment illustrated in FIG. 2 by adjusting the temperature set point T H of the hot stream.
  • coarse adjustment of the temperature T M is provided by adjusting the flow rate of the hot stream or combinations of the temperature and flow rate.
  • Fine adjustment of the temperature of the mixed stream is provided by the feedback loop including the controller 230 , thereby varying the flow rate of the cold stream.
  • Flow control valve 240 is utilized to control the flow rate of fluid to point of dispense heat exchanger 242 and the return to the hot stream source 210 .
  • flow control valve 240 is adjusted to provide a flow rate to the point of dispense heat exchanger substantially equal to the flow rate in fluid line 212 , namely X lpm. Accordingly, the flows sourced by and returned to the hot stream source 210 are equal.
  • substantially equal flow rates include flow rates in which the flow rate in fluid line 212 is within 90% of the flow rate in the return line to the hot stream source.
  • substantially equal flow rates include flow rates in which the flow rate in fluid line 212 is within 95%, 97% or 99% of the flow rate in the return line to the hot stream source. Fluid from the mixed stream not returned to the hot stream source is returned to the cold stream source through fluid line 244 . In some embodiments, an additional flow control valve 246 is utilized to control the flow of fluid in cold stream return line 244 .
  • FIG. 2 illustrates the use of flow control valves to regulate the flow in lines 212 , 222 , and the hot and cold return lines, this is not required by the present invention.
  • other flow control mechanisms are utilized including pressure regulators that pressure balance lines 212 and 222 .
  • flow restrictors including valves, orifices, and the like are utilized according to embodiments of the present invention.
  • One of ordinary skill in the art would recognize many variations, modifications, and alternatives.
  • the point of dispense heat exchanger 242 is coupled to fluid lines associated with the photolithography chemistry.
  • the point of dispense heat exchanger is coupled to a photoresist line in a particular embodiment.
  • FIG. 3 is a simplified schematic diagram illustrating a point of dispense temperature control system according to an alternative embodiment of the present invention.
  • Hot stream source 310 and cold stream source 330 are provided as illustrated in FIG. 3 .
  • hot stream source 310 and cold stream source 330 are combined in a single unit 305 .
  • Fluid at temperature T H is sourced from the hot stream source 310 in a manner similar to that as illustrated in FIG. 2 .
  • Pressure regulator 312 is utilized to control the flow through fluid line 314 . In some embodiments, pressure regulator 312 is replaced by a variable rate flow control valve.
  • Flow monitor 316 is coupled to the fluid line 314 to monitor the flow rate through the line.
  • a rotameter available from Omega Engineering, Inc. of Stamford, Conn. is utilized for this flow monitoring function.
  • the flow rate through line 314 is a predetermined amount of about 1 lpm. In alternative embodiments, the flow rate ranges from about 0.1 lpm to about 3 lpm.
  • Monitoring RTD 318 along with a check valve is coupled to the line 314 downstream of the flow monitor 316 . As will be evident to one of skill in the art, the use of a check valve prevents back stream flow in line 314 .
  • Cold stream source 330 provides a source of fluid at temperature T C into source line 332 .
  • Dome regulator 348 , needle valve 334 , RTD 336 , and a check valve(not shown) are coupled to cold stream line 332 as illustrated in FIG. 3 .
  • the hot stream and the cold stream are mixed at the junction of the hot and cold streams and mixed in a mixer 340 .
  • a mixed stream flows in the fluid line downstream of the mixer at a temperature T M greater than T C and less than T H .
  • the intermediate temperature T M is a function of the flow rates of the hot and cold streams as well as the temperatures of the streams.
  • a temperature sensor such as an RTD sensor 342
  • RTD sensor 342 is utilized to measure the temperature of the fluid flowing in the mixed stream.
  • a controller 344 and a signal converter 346 are coupled to the sensor 342 and the dome regulator 348 in a feedback loop.
  • a PID controller 344 is utilized to provide feedback and control signals for the temperature control system.
  • an electro-pneumatic regulator such as an ITV regulator 346 available from SMC Corporation of America of Indianapolis, Ind., which controls air pressure in proportion to an electrical signal is illustrated in FIG. 3 .
  • controller 344 electrical signals provided by the controller 344 are converted to pneumatic signals by the converter 346 .
  • the pneumatic signals are utilized in turn to regulate the flow of the cold stream through dome regulator 348 . Therefore, based on the temperature measurement provided by sensor 342 , controller 344 and signal converter 346 modify the flow rate through fluid line 332 .
  • the flow rate of the hot stream is monitored and regulated prior to mixing with the cold stream.
  • Feedback from the mixed stream line is utilized to regulate the flow in the cold stream line, thereby controlling the temperature of the mixed stream.
  • the point of dispense heat exchanger is utilized to regulate the temperature of various photolithography chemistry fluids as described above.
  • coarse adjustment of the temperature T M of the mixed stream is provided by adjusting the temperature set point T H , the flow rate of the hot stream, and/or combinations thereof.
  • Fine adjustment of the temperature of the mixed stream is provided by the feedback loop regulating the flow rate in the cold stream line.
  • the mixed stream After passing through the point of dispense heat exchanger 350 , the mixed stream returns to the source unit 305 through the illustrated fluid lines.
  • Variable rate flow control valves 352 and 354 are utilized in the embodiment illustrated in FIG. 3 to control the flow rates of the mixed stream in the return paths to the fluid source.
  • the flow rate of the hot stream is monitored and regulated by a feedback loop.
  • fine adjustment of the temperature of the mixed stream is provided by the feedback loop regulating the flow rate in the hot stream.
  • a control loop coupled to both the cold stream flow regulator and the hot stream flow regulator is utilized.
  • the total flow in the mixed stream is regulated through the use of the variable flow control valves illustrated in FIG. 3 to maintain a consistent total flow through the point of dispense heat exchanger. Provision of a consistent total flow rate is accomplished in a specific embodiment by referencing the temperature and flow rate of the hot stream and adjusting the cold stream flow in response to these measurements. In another specific embodiment, the temperature and flow rate of the cold stream are referenced. In other embodiments, the hot and cold streams are pressure balanced to provide a consistent total flow rate. In embodiments of the present invention, a consistent or constant flow rate is provided for a predetermined time, for example, during a series of dispense operations. A constant flow rate is defined in some embodiments by a flow rate varying less than 10% during the predetermined period. In other embodiments, a constant flow rate is defined by a variation of less than 5%, less than 3%, or less than 1%.
  • FIG. 4 is a simplified schematic diagram illustrating a multiple output temperature control system according to an embodiment of the present invention.
  • hot stream source 410 and cold stream source 420 are coupled to a number of distributed point of dispense heat exchangers (PDHX) PDHX 1 through PDHX n.
  • PDHX distributed point of dispense heat exchangers
  • multiple branches are provided utilizing common system components, reducing system costs and complexity while providing independently controlled point of dispense heat exchangers adapted to provide different temperature set points.
  • the point of dispense temperature control systems illustrated in FIGS. 2 and 3 are thereby operated in parallel as illustrated in FIG. 4 . Accordingly, embodiments of the present invention provide for multiple independent point of dispense temperature control systems operating at predetermined temperatures.
  • a first number of chemical delivery nozzles are provided in a second number of groupings, each of the first number of chemical delivery nozzles coupled to a point of dispense heat exchanger.
  • four groups of three nozzles are provided for dispense of resist and other coating liquids.
  • Each of the groups of nozzles is coupled to a point of dispense heat exchanger operated at a set point temperature.
  • three nozzles are maintained at a first temperature
  • three other nozzles are maintained at a second temperature, etc.
  • the first temperature may be maintained at a different temperature than the second temperature.
  • FIG. 5 is a simplified graph illustrating relationships between temperature set points for the mixed flow stream and flow rates according to an embodiment of the present invention.
  • the flow rate of the hot and cold flows measured in liters per minute (lpm) is plotted on the left y-axis and the set point temperatures of the hot and cold flows in degrees Centigrade are plotted on the right y-axis.
  • the hot flow set point is set at 30° C.
  • the cold flow set point is set at 16° C.
  • the flow rate of the hot and cold flows can be determined as a function of the final temperature set point for the mixed stream in degrees Centigrade, which is plotted along the lower x-axis, titled “Mixed Flow Set Point.”
  • a flow rate of about 0.7 lpm for the hot flow (reference A on the left y-axis of FIG. 5 ) and a flow rate of about 1.3 lpm for the cold flow (reference B) are utilized.
  • a temperature of 23° C. (equal to the average of 16 and 30) is obtained for equal hot and cold flow rates of 1.0 lpm.
  • This set point is illustrated by dashed line C in FIG. 5 .
  • similar charts may be produced as a function of the hot and cold flow set points and flow rates.
  • FIG. 6 is a simplified schematic diagram illustrating another temperature control system according to an embodiment of the present invention.
  • a first flow controller 610 is coupled to a hot water line 616 .
  • the first flow controller 610 is operable to modulate the flow of fluid in hot water line 616 .
  • a second flow controller 612 is coupled to a cold water line 614 .
  • the second flow controller 612 is maintained at a constant flow rate set point.
  • set points of 1.0, 1.5, and 2.0 lpm, providing a constant flow rate in cold water line 614 are provided in some embodiments.
  • the selection of the cold water line 614 as the constant flow source is not required according to embodiments of the present invention.
  • One of ordinary skill in the art would recognize many variations, modifications, and alternatives.
  • Hot water line 616 and cold water line 614 are joined prior to their combined flow entering static mixer 620 .
  • An RTD 622 is coupled to the output of a first static mixer 620 .
  • the output of the RTD 622 is fed back to flow controller 610 via control loop 630 .
  • the combined flow passes through a second static mixer 624 .
  • RTD 626 is coupled to the output of the second static mixer 624 .
  • the output of RTD 626 is provided to a data acquisition system (not shown) for data collection and analysis.
  • FIG. 7 is a graph illustrating temperature set point change as a function of time achieved utilizing an embodiment of the present invention.
  • the apparatus illustrated in FIG. 6 is utilized to generate a series of temperature set points.
  • the data presented in FIG. 7 was collected at the second RTD 622 as illustrated in FIG. 6 .
  • set points at 20° C., 22° C., 24° C., 26° C., 28° C., and 30° C. are demonstrated as a function of time.
  • each of the set points listed above are maintained for a time period of approximately 20 seconds.
  • Temperature set points are demonstrated in the figure for a single flow rate for the cold stream of 1.0 lpm, although other flow rates (e.g. 1.5 lpm and 2.0 lpm) are included in alternative embodiments of the present invention.
  • embodiments of the present invention provide for controllable and stable set points for point of dispense heat exchangers. Embodiments of the present invention are thus useful to regulate the temperature of photolithography chemicals, such as resist. As will be evident to one of skill in the art, the regulation of these temperatures will provide for uniform wafer histories and repeatable coating and dispense operations.
  • FIG. 8 is a graph illustrating temperature stability achieved utilizing an embodiment of the present invention.
  • the temperature of the mixed stream measured at RTD 626 is plotted as a function of time.
  • boundaries associated with ⁇ 3 ⁇ calculated based on data collected using the system illustrated in FIG. 6 , are illustrated in FIG. 8 at about 19.99° C. and 20.05° C.
  • the flow rate of the cold stream was set at 1.0 lpm during the collection of the data illustrated in FIG. 8 .
  • the temperature of the mixed stream varies from about 20.03° C. to about 20.00° C., exhibiting a variation of about 0.03° C. at a set point temperature of about 20° C., well within the ⁇ 3 ⁇ variation limits.
  • FIG. 9A is a simplified schematic diagram illustrating yet another temperature control system according to an embodiment of the present invention.
  • a source of fluid 910 water in some embodiments, is provided at a predetermined temperature.
  • the predetermined temperature is room temperature, e.g., 20° C.-25° C.
  • flow and pressure control apparatus associated with the room temperature source 910 are not illustrated in FIG. 9A .
  • the temperature of source 910 is selected to provide a temperature approximately equal to the average dispense temperature of the photolithography chemicals, thus reducing operating costs.
  • a source of hot fluid 912 and a source of cold fluid 914 are provided and connected to the output of the room temperature source 910 .
  • flow control valves 916 and 918 are utilized to modulate the flow of fluids from the hot source and the cold source, respectively. Controlled amounts of the hot and cold fluids are delivered to the fluid line coupled to temperature sensor 920 .
  • the temperature sensor is an RTD or other suitable sensor.
  • a control system utilizes measurements of the fluid temperature at the temperature sensor 920 to control the flow through valves 916 and 918 , providing the desired temperature fluid to the point of dispense heat exchanger 922 .
  • a fluid return path is provided for the fluid passing through the point of dispense heat exchanger 922 .
  • the temperature control system does not recycle the temperature control fluid, but utilizes a single pass system.
  • FIG. 9B is a simplified schematic diagram illustrating another alternative temperature control system according to an embodiment of the present invention.
  • a source of fluid 950 water in some embodiments, is provided at a predetermined temperature.
  • the predetermined temperature is room temperature, e.g., 20° C.-25° C.
  • the temperature of source 950 is selected to provide a temperature approximately equal to the average dispense temperature of the photolithography chemicals, thus reducing operating costs.
  • a source of cold fluid 952 is provided and connected to the output of the room temperature source 950 .
  • flow control valve 954 is utilized to modulate the flow of fluid from the cold source. Controlled amounts of the cold fluid is delivered to the fluid line coupled to the room temperature source.
  • a heating element 956 is coupled to the mixed stream formed by the room temperature source 950 and cold source 952 .
  • the heating element 956 is a resistive heater adapted to raise the temperature of the fluid passing through line 958 by approximately 2-5° C.
  • a control system utilizes measurements of the fluid temperature at the temperature sensor 960 to control the flow through valve 954 and/or the operation of heating element 956 , thereby providing the desired temperature fluid to a point of dispense heat exchanger (not shown).
  • the cold source is positioned after the heating element in alternative embodiments.
  • a fluid return path is provided for the fluid passing through the point of dispense heat exchanger connected to fluid line 958 .
  • the temperature control system does not recycle the temperature control fluid, but utilizes a single pass system.

Abstract

A point of dispense temperature control apparatus for a track lithography system. The apparatus includes a first liquid source characterized by a first temperature and a first flow controller coupled to the first liquid source. The apparatus also includes a second liquid source characterized by a second temperature and a second flow controller coupled to the second liquid source. The apparatus further includes a mixing element coupled to the first flow controller and the second flow controller. The mixing element is adapted to provide a mixed stream characterized by a total flow volume and a temperature intermediate to the first temperature and the second temperature. The apparatus additionally includes a sensor coupled to the mixed stream, a point of dispense heat exchanger coupled to the mixed stream, and a control loop coupled to the sensor and at least one of the first flow controller or the second flow controller. The control loop is adapted to provide a consistent total flow volume at the intermediate temperature.

Description

    CROSS-REFERENCES TO RELATED APPLICATIONS
  • This application claims priority to U.S. Provisional Application Ser. No. 60/639,109, filed Dec. 22, 2004, entitled “Twin Architecture For Processing A Substrate,” the disclosure of which is incorporated herein by reference in its entirety.
  • BACKGROUND OF THE INVENTION
  • The present invention relates generally to the field of substrate processing equipment. More particularly, the present invention relates to a method and apparatus for providing point of dispense temperature control for semiconductor process chemistry. The method and apparatus can be applied to other processes for semiconductor substrates, for example those used in the formation of integrated circuits.
  • Modern integrated circuits contain millions of individual elements that are formed by patterning the materials, such as silicon, metal and/or dielectric layers, that make up the integrated circuit to sizes that are small fractions of a micrometer. The technique used throughout the industry for forming such patterns is photolithography. A typical photolithography process sequence generally includes depositing one or more uniform photoresist (resist) layers on the surface of a substrate, drying and curing the deposited layers, patterning the substrate by exposing the photoresist layer to electromagnetic radiation that is suitable for modifying the exposed layer and then developing the patterned photoresist layer.
  • It is common in the semiconductor industry for many of the steps associated with the photolithography process to be performed in a multi-chamber processing system (e.g., a cluster tool) that has the capability to sequentially process semiconductor wafers in a controlled manner. One example of a cluster tool that is used to deposit (i.e., coat) and develop a photoresist material is commonly referred to as a track lithography tool.
  • Track lithography tools typically include a mainframe that houses multiple chambers (which are sometimes referred to herein as stations) dedicated to performing the various tasks associated with pre- and post-lithography processing. There are typically both wet and dry processing chambers within track lithography tools. Wet chambers include coat and/or develop bowls, while dry chambers include thermal control units that house bake and/or chill plates. Track lithography tools also frequently include one or more pod/cassette mounting devices, such as an industry standard FOUP (front opening unified pod), to receive substrates from and return substrates to the clean room, multiple substrate transfer robots to transfer substrates between the various chambers/stations of the track tool and an interface that allows the tool to be operatively coupled to a lithography exposure tool in order to transfer substrates into the exposure tool and receive substrates from the exposure tool after the substrates are processed within the exposure tool.
  • Over the years there has been a strong push within the semiconductor industry to shrink the size of semiconductor devices. The reduced feature sizes have caused the industry's tolerance to process variability to shrink, which in turn, has resulted in semiconductor manufacturing specifications having more stringent requirements for process uniformity and repeatability. An important factor in minimizing process variability during track lithography processing sequences is to ensure that every substrate processed within the track lithography tool for a particular application has the same “wafer history.” A substrate's wafer history is generally monitored and controlled by process engineers to ensure that all of the device fabrication processing variables that may later affect a device's performance are controlled, so that all substrates in the same batch are always processed the same way.
  • A component of the “wafer history” is the thickness, uniformity, repeatability, and other characteristics of the photolithography chemistry, which includes, without limitation, photoresist, developer, and solvents. Generally, during photolithography processes, a substrate, for example a semiconductor wafer, is rotated on a spin chuck at predetermined speeds while liquids and gases such as solvents, photoresist (resist), developer, and the like are dispensed onto the surface of the substrate. Typically, the wafer history will depend on the process parameters associated with the photolithography process.
  • As an example, the thickness of the resist layer formed during a photolithography process is a function of the viscosity of photoresist and the spin rate of the spin chuck among other factors. Generally, the viscosity of the photoresist is a function of the temperature of the resist. Therefore, to achieve uniform wafer histories, it is generally desirable to control the resist temperature along with other process variables.
  • Depending on the particular application, the desired temperature of the resist may vary from one photoresist to another. Therefore, there is a need in the art for improved methods and apparatus that can provide temperature control for photolithography chemistry at the point of dispense.
  • SUMMARY OF THE INVENTION
  • According to the present invention techniques related to the field of substrate processing equipment are provided. More particularly, the present invention relates to a method and apparatus for providing point of dispense temperature control for semiconductor process chemistry. The method and apparatus can be applied to other processes for semiconductor substrates, for example those used in the formation of integrated circuits.
  • According to an embodiment of the present invention, a point of dispense temperature control apparatus for a track lithography system is provided. The apparatus includes a first liquid source characterized by a first temperature and a first flow controller coupled to the first liquid source. The apparatus also includes a second liquid source characterized by a second temperature and a second flow controller coupled to the second liquid source. The apparatus further includes a mixing element coupled to the first flow controller and the second flow controller. The mixing element is adapted to provide a mixed stream characterized by a total flow volume and a temperature intermediate to the first temperature and the second temperature. The apparatus additionally includes a sensor coupled to the mixed stream and a point of dispense heat exchanger coupled to the mixed stream. Furthermore, the apparatus includes a control loop coupled to the sensor and at least one of the first flow controller or the second-floor controller. The control loop is adapted to provide a consistent total flow volume at the intermediate temperature. In some embodiments, additional valving is provided to return one or more fluids to one or more fluid sources.
  • In some embodiments, the point of dispense heat exchanger is coupled to a photolithography chemical dispense system. In a specific embodiment, the control loop includes a proportional-integral-derivative controller.
  • According to another embodiment of the present invention, a point of dispense temperature control apparatus for a track lithography system is provided. The apparatus includes a first fluid source characterized by a first temperature and a first flow regulator coupled to the first fluid source. The apparatus also includes a second fluid source characterized by a second temperature and a second flow regulator coupled to the second fluid source. The apparatus further includes a mixing element coupled to the first fluid source and the second fluid source. The mixing element is adapted to provide a mixed stream characterized by a temperature intermediate to the first temperature and the second temperature. The apparatus additionally includes a sensor coupled to the mixed stream, a point of dispense heat exchanger coupled to the mixed stream, a fluid return path coupled to the point of dispense heat exchanger and adapted to deliver fluid to at least one of the first fluid source or the second fluid source, and a control loop coupled to the sensor and at least one of the first flow controller or the second flow controller.
  • In yet another embodiment according to the present invention, a method of providing distributed temperature control for multiple point of dispense heat exchangers in a track lithography system is provided. The method includes providing a first fluid stream characterized by a first temperature and providing a second fluid stream characterized by a second temperature. The method also includes providing a first fluid flow path coupled to the first fluid stream and providing a second fluid flow path coupled to the second fluid stream. The method further includes mixing the first fluid stream and the second fluid stream to provide a mixed fluid stream characterized by a third temperature, monitoring the third temperature, modulating a flow rate of at least one of the first fluid stream or the second fluid stream in response to monitoring the third temperature, and coupling the mixed stream to a plurality of point of dispense heat exchangers adapted to control a temperature associated with photolithography chemistry.
  • In an alternative embodiment according to the present invention, a point of dispense temperature control apparatus for a track lithography system is provided. The apparatus includes a first liquid source characterized by a first temperature coupled to a fluid line. In a particular embodiment, the first temperature is room temperature. The apparatus also includes a second liquid source characterized by a second temperature and a third liquid source characterized by a third temperature. The third temperature is less than the second temperature. The second liquid source and the third liquid source are coupled to the fluid line. The apparatus further includes a first flow controller coupled to the second liquid source and a second flow controller coupled to the third liquid source. The apparatus additionally includes a sensor coupled to the fluid line, a point of dispense heat exchanger coupled to the fluid line and a control loop coupled to the sensor and at least one of the first flow controller or the second flow controller. In some embodiments, the control loop is adapted to provide a consistent total flow volume.
  • In another alternative embodiment according to the present invention, a point of dispense temperature control apparatus for a track lithography system is provided. The apparatus includes a first liquid source characterized by a first temperature coupled to a fluid line. In a particular embodiment, the first temperature is room temperature. The apparatus also includes a second liquid source characterized by a second temperature and a heating element, both of which are coupled to the fluid line. The apparatus further includes a first flow controller coupled to the second liquid source. The apparatus additionally includes a sensor coupled to the fluid line, a point of dispense heat exchanger coupled to the fluid line and a control loop coupled to the sensor and at least one of the first flow controller or the heating element. In some embodiments, the control loop is adapted to provide a consistent total flow volume.
  • Many benefits are achieved by way of the present invention over conventional techniques. For example, embodiments of the present invention provide for packaging of temperature control hardware onboard the coat bowl, reducing tubing length between the mixer and the heat exchanger, thus reducing the distance from the temperature control hardware to the point of use. Additionally, embodiments of the present invention provide improvements in energy efficiency over other approaches, such as Peltier cooler systems. Moreover, embodiments of the present invention provide simplified temperature control systems, reducing the cost of the temperature control system in comparison with other approaches. Depending upon the embodiment, one or more of these benefits, as well as other benefits, may be achieved. These and other benefits will be described in more detail throughout the present specification and more particularly below in conjunction with the following drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a simplified plan view of an embodiment of a track lithography tool according to an embodiment of the present invention;
  • FIG. 2 is a simplified schematic diagram illustrating a point of dispense temperature control system according to an embodiment of the present invention;
  • FIG. 3 is a simplified schematic diagram illustrating a point of dispense temperature control system according to an alternative embodiment of the present invention;
  • FIG. 4 is a simplified schematic diagram illustrating a multiple output temperature control system according to an embodiment of the present invention;
  • FIG. 5 is a simplified graph illustrating relationships between temperature set points for the mixed flow stream and flow rates according to an embodiment of the present invention;
  • FIG. 6 is a simplified schematic diagram illustrating another temperature control system according to an embodiment of the present invention;
  • FIG. 7 is a graph illustrating temperature set point change as a function of time achieved utilizing an embodiment of the present invention;
  • FIG. 8 is a graph illustrating temperature stability achieved utilizing an embodiment of the present invention;
  • FIG. 9A is a simplified schematic diagram illustrating yet another temperature control system according to an embodiment of the present invention; and
  • FIG. 9B is a simplified schematic diagram illustrating another alternative temperature control system according to an embodiment of the present invention.
  • DETAILED DESCRIPTION OF SPECIFIC EMBODIMENTS
  • According to the present invention techniques related to the field of substrate processing equipment are provided. More particularly, the present invention relates to a method and apparatus for providing point of dispense temperature control for semiconductor process chemistry. The method and apparatus can be applied to other processes for semiconductor substrates, for example those used in the formation of integrated circuits.
  • FIG. 1 is a plan view of an embodiment of a track lithography tool 100 in which the embodiments of the present invention may be used. As illustrated in FIG. 1, track lithography tool 100 contains a front end module 110 (sometimes referred to as a factory interface or FI), a central module 112, and a rear module 114 (sometimes referred to as a scanner interface). Front end module 110 generally contains one or more pod assemblies or FOUPS (e.g., items 116A-D), a front end robot 118, and front end processing racks 120A and 120B. The one or more pod assemblies 116A-D are generally adapted to accept one or more cassettes 130 that may contain one or more substrates or wafers, “W,” that are to be processed in track lithography tool 100.
  • Central module 112 generally contains a first central processing rack 122A, a second central processing rack 122B, and a central robot 124. Rear module 114 generally contains first and second rear processing racks 126A and 126B and a back end robot 128. Front end robot 118 is adapted to access processing modules in front end processing racks 120A, 120B; central robot 124 is adapted to access processing modules in front end processing racks 120A, 120B, first central processing rack 122A, second central processing rack 122B and/or rear processing racks 126A, 126B; and back end robot 128 is adapted to access processing modules in the rear processing racks 126A, 126B and in some cases exchange substrates with a stepper/scanner 5.
  • The stepper/scanner 5, which may be purchased from Canon USA, Inc. of San Jose, Calif., Nikon Precision Inc. of Belmont, Calif., or ASML US, Inc. of Tempe Ariz., is a lithographic projection apparatus used, for example, in the manufacture of integrated circuits (ICs). The scanner/stepper tool 5 exposes a photosensitive material (resist), deposited on the substrate in the cluster tool, to some form of electromagnetic radiation to generate a circuit pattern corresponding to an individual layer of the integrated circuit (IC) device to be formed on the substrate surface.
  • Each of the processing racks 120A, 120B; 122A, 122B and 126A, 126B contain multiple processing modules in a vertically stacked arrangement. That is, each of the processing racks may contain multiple stacked integrated thermal units 10, multiple stacked coater modules 132, multiple stacked coater/developer modules with shared dispense 134 or other modules that are adapted to perform the various processing steps required of a track photolithography tool. As examples, coater modules 132 may deposit a bottom antireflective coating (BARC); coater/developer modules 134 may be used to deposit and/or develop photoresist layers and integrated thermal units 10 may perform bake and chill operations associated with hardening BARC and/or photoresist layers.
  • In one embodiment, a system controller 140 is used to control all of the components and processes performed in the cluster tool 100. The controller 140 is generally adapted to communicate with the stepper/scanner 5, monitor and control aspects of the processes performed in the cluster tool 100, and is adapted to control all aspects of the complete substrate processing sequence. In some instances, controller 140 works in conjunction with other controllers, such as a post exposure bake (PEB) controller, to control certain aspects of the processing sequence. The controller 140, which is typically a microprocessor-based controller, is configured to receive inputs from a user and/or various sensors in one of the processing chambers and appropriately control the processing chamber components in accordance with the various inputs and software instructions retained in the controller's memory. The controller 140 generally contains memory and a CPU (not shown) which are utilized by the controller to retain various programs, process the programs, and execute the programs when necessary. The memory (not shown) is connected to the CPU, and may be one or more of a readily available memory, such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote. Software instructions and data can be coded and stored within the memory for instructing the CPU. The support circuits (not shown) are also connected to the CPU for supporting the processor in a conventional manner. The support circuits may include cache, power supplies, clock circuits, input/output circuitry, subsystems, and the like all well known in the art. A program (or computer instructions) readable by the controller 140 determines which tasks are performable in the processing chamber(s). Preferably, the program is software readable by the controller 140 and includes instructions to monitor and control the process based on defined rules and input data.
  • It is to be understood that embodiments of the invention are not limited to use with a track lithography tool such as that depicted in FIG. 1. Instead, embodiments of the invention may be used in any track lithography tool including the many different tool configurations described in U.S. application Ser. No. 11/112,281, entitled “Cluster Tool Architecture for Processing a Substrate” filed on Apr. 22, 2005, which is hereby incorporated by reference for all purposes and including configurations not described in the above referenced application.
  • During photolithography processes, the temperature of the photolithography chemistry, including both organic and inorganic resists, developers, BARCs, TARCs, and the like is controlled to achieve predetermined temperature set points. Generally, the temperature set points for coat and/or develop processes range from about 18° C. to about 28° C. In some embodiments according to the present invention, the temperature set points are maintained at a predetermined set point±0.05° C. In other embodiments, the temperature set points are maintained at a predetermined set point±0.03° C. at approximately 20° C. In yet other embodiments, the temperature set points are maintained at a predetermined set point±0.01° C. As is well known to one of skill in the art, it is desirable to control chemistry set points since the coat and develop properties, as well as the process results, are functions of temperature. As illustrated in FIG. 1, coater modules 132 and 134 generally contain multiple fluid source assemblies 260 and 262 to run different process recipes containing different materials. These materials include, but are not limited to, photoresists, developers, BARCs, TARCs, ARCs, and the like.
  • Accordingly, the temperature of the fluid source assemblies are each independently controlled to assure consistency in achieving desirable process results. Embodiments of the invention provide various methods and apparatus for controlling the temperature of photoresist as well as other fluids utilized in photolithography chemistries before the fluids are dispensed on the surface of a substrate during a coat and/or develop process. In some embodiments, the temperature control for develop chemistries is preferably about±0.1° C. In other embodiments, the temperature control for develop chemistries is preferably about±0.2° C.
  • FIG. 2 is a simplified schematic diagram illustrating a point of dispense temperature control system according to an embodiment of the present invention. A hot stream source 210 and a cold stream source 220 are provided according to embodiments of the present invention as illustrated in FIG. 2. Fluid at a temperature TH is sourced from the hot stream source 210 into source line 212 and the flow rate of the fluid in line 212 is controlled using flow control valve 214. According to some embodiments, the temperature of the fluid provided by the hot stream source is a predetermined value. Merely by way of example, in some embodiments, the temperature of the hot stream source ranges from about 25° C. to about 60° C. In a specific embodiment, the temperature of the hot stream source is 30° C. Likewise, according to some embodiments, the temperature of the fluid provided by the cold stream source is a predetermined value. Merely by way of example, in some embodiments, the temperature of the cold stream source ranges from about 5 to about 25° C. In a specific embodiment, the temperature of the cold stream source is 18° C.
  • By way of example, embodiments of the present invention are utilized in conjunction with heat exchanging devices such as those described in commonly owned and assigned U.S. patent application Ser. No. 11/112,281, referenced above. For example, discharge nozzles containing heat exchanging devices that are adapted to heat and/or cool the nozzle body, the supply tube, and the processing fluid contained in the supply tube, for instance, photoresist, are described in the above referenced application. According to embodiments of the present invention, temperature controlled fluids are provided that are utilized in such heat exchanger applications. For example, in some embodiment, de-ionized (DI) water, de-mineralized (DM) water, DI water/ethylene glycol mixtures, DI water with anti-corrosive additives, DI water with anti-bacterial additives, combinations of these, and the like are utilized as fluids for the hot and/or cold sources. One of ordinary skill in the art would recognize many variations, modifications, and alternatives.
  • In a particular embodiment of the present invention, source line 212 is a fluid line with a predetermined inside diameter (ID). Merely by way of example, in an embodiment, source line 212 has a ¼″ ID. In alternative embodiments, the ID of source line 212 varies with flow rate over a range from about 1/16″ to about 1″. Moreover, in some embodiments of the present invention, the flow rate of the fluid at temperature TH is set at a predetermined value, such as X liters per minute (lpm). Merely by way of example, the flow rate for the hot stream is about 1 lpm in some embodiments of the present invention. In alternative embodiments, the flow rate for the hot stream ranges from about 0.1 lpm to about 3 lpm.
  • Cold stream source 220 provides a source of fluid at temperature TC into source line 222. In a particular embodiment of the present invention, source line 222 is a fluid line with a predetermined ID. Merely by way of example, in an embodiment, source line 222 has a ¼″ ID. In alternative embodiments, the ID of source line 222 varies over a range from about 1/16″ to about 1″. In some embodiments, the hot stream source 210 and the cold stream source 220 are packaged in a single unit. One of ordinary skill in the art would recognize many variations, modifications, and alternatives.
  • Mixer 216 is illustrated in FIG. 2 as a junction point at which the fluid in the hot stream 212 and the cold stream 222 are combined to form a mixed stream in fluid line 226. As will be evident to one of skill in the art, mixer 216 may be a “T” junction or other suitable flow combiner. As illustrated in FIG. 2, the mixed stream in fluid line 226 is at a temperature TM greater than TC and less than TH. The temperature TM is a function of the flow rates of the hot and cold streams as well as the temperatures of these streams. Accordingly, a sensor 228 and controller 230 are provided according to embodiments of the present invention to achieve the desired temperature TM for the mixed stream 226.
  • According to a particular embodiment of the present invention, a resistance temperature detector (RTD) sensor 228 is utilized to measure the temperature of the fluid flowing in the mixed stream 226. As is well known to one of skill in the art, the electrical resistivity of metals changes with temperature. Therefore, RTDs provide a resistance element for which the resistance is calibrated as a function of temperature. Embodiments of the present invention not limited to RTDs, as other temperature sensors are included within the scope of the present invention. In an embodiment, a proportional-integral-derivative (PID) controller 230 is coupled to the temperature sensor 228 and to flow control valve 224 in a feedback loop. Based on the temperature measurement provided by sensor 228, controller 230 modifies the flow rate through fluid line 222. Thus, the temperature of the mixed stream is controlled at TM according to embodiments of the present invention. Embodiments of the present invention are not limited to PID controllers, as other suitable controllers are included within the scope of the present invention.
  • In an embodiment according to the present invention, the flow rate of the hot stream is maintained at a constant value selected to provide temperature control for chemistry through a heat exchanger at the point of dispense. As will be evident to one of skill in the art, the flow rate through the point of dispense heat exchanger will be a function of the particular heat exchanger design. Coarse adjustment of the temperature TM of the mixed stream is provided in the embodiment illustrated in FIG. 2 by adjusting the temperature set point TH of the hot stream. In an alternative embodiment, coarse adjustment of the temperature TM is provided by adjusting the flow rate of the hot stream or combinations of the temperature and flow rate. One of ordinary skill in the art would recognize many variations, modifications, and alternatives. Fine adjustment of the temperature of the mixed stream is provided by the feedback loop including the controller 230, thereby varying the flow rate of the cold stream.
  • Flow control valve 240 is utilized to control the flow rate of fluid to point of dispense heat exchanger 242 and the return to the hot stream source 210. In a particular embodiment according to the present invention, flow control valve 240 is adjusted to provide a flow rate to the point of dispense heat exchanger substantially equal to the flow rate in fluid line 212, namely X lpm. Accordingly, the flows sourced by and returned to the hot stream source 210 are equal. In some embodiments, substantially equal flow rates include flow rates in which the flow rate in fluid line 212 is within 90% of the flow rate in the return line to the hot stream source. In other embodiments, substantially equal flow rates include flow rates in which the flow rate in fluid line 212 is within 95%, 97% or 99% of the flow rate in the return line to the hot stream source. Fluid from the mixed stream not returned to the hot stream source is returned to the cold stream source through fluid line 244. In some embodiments, an additional flow control valve 246 is utilized to control the flow of fluid in cold stream return line 244.
  • Although FIG. 2 illustrates the use of flow control valves to regulate the flow in lines 212, 222, and the hot and cold return lines, this is not required by the present invention. In alternative embodiments, other flow control mechanisms are utilized including pressure regulators that pressure balance lines 212 and 222. Merely by way of example, flow restrictors including valves, orifices, and the like are utilized according to embodiments of the present invention. One of ordinary skill in the art would recognize many variations, modifications, and alternatives.
  • According to embodiments of the present invention, the point of dispense heat exchanger 242 is coupled to fluid lines associated with the photolithography chemistry. As an example, the point of dispense heat exchanger is coupled to a photoresist line in a particular embodiment. By providing a heat exchanger at the point of dispense, variations in chemistry temperatures as the various fluids travel through delivery paths are minimized. Therefore, the temperature of the photoresist, solvents, developers, and the like is controlled to achieve desired process control, uniformity, and repeatability.
  • FIG. 3 is a simplified schematic diagram illustrating a point of dispense temperature control system according to an alternative embodiment of the present invention. Hot stream source 310 and cold stream source 330 are provided as illustrated in FIG. 3. As illustrated in FIG. 3, hot stream source 310 and cold stream source 330 are combined in a single unit 305. Fluid at temperature TH is sourced from the hot stream source 310 in a manner similar to that as illustrated in FIG. 2. Pressure regulator 312 is utilized to control the flow through fluid line 314. In some embodiments, pressure regulator 312 is replaced by a variable rate flow control valve.
  • Flow monitor 316 is coupled to the fluid line 314 to monitor the flow rate through the line. In an embodiment according to the present invention, a rotameter available from Omega Engineering, Inc. of Stamford, Conn. is utilized for this flow monitoring function. In some embodiments, the flow rate through line 314 is a predetermined amount of about 1 lpm. In alternative embodiments, the flow rate ranges from about 0.1 lpm to about 3 lpm. Monitoring RTD 318 along with a check valve (not shown) is coupled to the line 314 downstream of the flow monitor 316. As will be evident to one of skill in the art, the use of a check valve prevents back stream flow in line 314.
  • Cold stream source 330 provides a source of fluid at temperature TC into source line 332. Dome regulator 348, needle valve 334, RTD 336, and a check valve(not shown) are coupled to cold stream line 332 as illustrated in FIG. 3. The hot stream and the cold stream are mixed at the junction of the hot and cold streams and mixed in a mixer 340. As illustrated in FIG. 3, a mixed stream flows in the fluid line downstream of the mixer at a temperature TM greater than TC and less than TH. The intermediate temperature TM is a function of the flow rates of the hot and cold streams as well as the temperatures of the streams.
  • As illustrated in FIG. 3, in some embodiments of the present invention, a temperature sensor, such as an RTD sensor 342, is utilized to measure the temperature of the fluid flowing in the mixed stream. Embodiments of the present invention not limited to RTDs, as other temperature sensors are included within the scope of the present invention. As further illustrated in FIG. 3, a controller 344 and a signal converter 346 are coupled to the sensor 342 and the dome regulator 348 in a feedback loop. In an embodiment, a PID controller 344 is utilized to provide feedback and control signals for the temperature control system. Moreover, an electro-pneumatic regulator, such as an ITV regulator 346 available from SMC Corporation of America of Indianapolis, Ind., which controls air pressure in proportion to an electrical signal is illustrated in FIG. 3. Thus, electrical signals provided by the controller 344 are converted to pneumatic signals by the converter 346. The pneumatic signals are utilized in turn to regulate the flow of the cold stream through dome regulator 348. Therefore, based on the temperature measurement provided by sensor 342, controller 344 and signal converter 346 modify the flow rate through fluid line 332.
  • In the embodiment according to the present invention illustrated in FIG. 3, the flow rate of the hot stream is monitored and regulated prior to mixing with the cold stream. Feedback from the mixed stream line is utilized to regulate the flow in the cold stream line, thereby controlling the temperature of the mixed stream. The point of dispense heat exchanger is utilized to regulate the temperature of various photolithography chemistry fluids as described above. Generally, coarse adjustment of the temperature TM of the mixed stream is provided by adjusting the temperature set point TH, the flow rate of the hot stream, and/or combinations thereof. Fine adjustment of the temperature of the mixed stream is provided by the feedback loop regulating the flow rate in the cold stream line. After passing through the point of dispense heat exchanger 350, the mixed stream returns to the source unit 305 through the illustrated fluid lines. Variable rate flow control valves 352 and 354 are utilized in the embodiment illustrated in FIG. 3 to control the flow rates of the mixed stream in the return paths to the fluid source.
  • As will be evident, in alternative embodiments the flow rate of the hot stream is monitored and regulated by a feedback loop. In this alternative embodiment, fine adjustment of the temperature of the mixed stream is provided by the feedback loop regulating the flow rate in the hot stream. In yet other alternative embodiments, a control loop coupled to both the cold stream flow regulator and the hot stream flow regulator is utilized. One of ordinary skill in the art would recognize many variations, modifications, and alternatives.
  • According to some embodiments of the present invention, the total flow in the mixed stream is regulated through the use of the variable flow control valves illustrated in FIG. 3 to maintain a consistent total flow through the point of dispense heat exchanger. Provision of a consistent total flow rate is accomplished in a specific embodiment by referencing the temperature and flow rate of the hot stream and adjusting the cold stream flow in response to these measurements. In another specific embodiment, the temperature and flow rate of the cold stream are referenced. In other embodiments, the hot and cold streams are pressure balanced to provide a consistent total flow rate. In embodiments of the present invention, a consistent or constant flow rate is provided for a predetermined time, for example, during a series of dispense operations. A constant flow rate is defined in some embodiments by a flow rate varying less than 10% during the predetermined period. In other embodiments, a constant flow rate is defined by a variation of less than 5%, less than 3%, or less than 1%.
  • FIG. 4 is a simplified schematic diagram illustrating a multiple output temperature control system according to an embodiment of the present invention. As illustrated in FIG. 4, hot stream source 410 and cold stream source 420 are coupled to a number of distributed point of dispense heat exchangers (PDHX) PDHX 1 through PDHX n. Accordingly, multiple branches are provided utilizing common system components, reducing system costs and complexity while providing independently controlled point of dispense heat exchangers adapted to provide different temperature set points. The point of dispense temperature control systems illustrated in FIGS. 2 and 3, as well as other configurations, are thereby operated in parallel as illustrated in FIG. 4. Accordingly, embodiments of the present invention provide for multiple independent point of dispense temperature control systems operating at predetermined temperatures.
  • In some embodiments of the present invention, a first number of chemical delivery nozzles are provided in a second number of groupings, each of the first number of chemical delivery nozzles coupled to a point of dispense heat exchanger. In a specific embodiment, four groups of three nozzles are provided for dispense of resist and other coating liquids. Each of the groups of nozzles is coupled to a point of dispense heat exchanger operated at a set point temperature. Thus, three nozzles are maintained at a first temperature, three other nozzles are maintained at a second temperature, etc. Utilizing the embodiments of the present invention illustrated in FIGS. 2 and 3, the first temperature may be maintained at a different temperature than the second temperature.
  • FIG. 5 is a simplified graph illustrating relationships between temperature set points for the mixed flow stream and flow rates according to an embodiment of the present invention. In FIG. 5, the flow rate of the hot and cold flows measured in liters per minute (lpm) is plotted on the left y-axis and the set point temperatures of the hot and cold flows in degrees Centigrade are plotted on the right y-axis. Thus, as illustrated in FIG. 5, the hot flow set point is set at 30° C. and the cold flow set point is set at 16° C. For these predetermined set points, the flow rate of the hot and cold flows can be determined as a function of the final temperature set point for the mixed stream in degrees Centigrade, which is plotted along the lower x-axis, titled “Mixed Flow Set Point.”
  • As an example, to obtain a final temperature set point for the mixed stream of 21° C., a flow rate of about 0.7 lpm for the hot flow (reference A on the left y-axis of FIG. 5) and a flow rate of about 1.3 lpm for the cold flow (reference B) are utilized. At the intersection of the hot and cold flow rates, a temperature of 23° C. (equal to the average of 16 and 30) is obtained for equal hot and cold flow rates of 1.0 lpm. This set point is illustrated by dashed line C in FIG. 5. As will be evident to one of skill in the art, similar charts may be produced as a function of the hot and cold flow set points and flow rates.
  • FIG. 6 is a simplified schematic diagram illustrating another temperature control system according to an embodiment of the present invention. As illustrated in FIG. 6, a first flow controller 610 is coupled to a hot water line 616. Under control of RTD 622, and control loop 630, the first flow controller 610 is operable to modulate the flow of fluid in hot water line 616. A second flow controller 612 is coupled to a cold water line 614. In the embodiment illustrated in FIG. 6, the second flow controller 612 is maintained at a constant flow rate set point. As described below, set points of 1.0, 1.5, and 2.0 lpm, providing a constant flow rate in cold water line 614, are provided in some embodiments. As will be evident to one of skill in the art, the selection of the cold water line 614 as the constant flow source is not required according to embodiments of the present invention. One of ordinary skill in the art would recognize many variations, modifications, and alternatives.
  • Hot water line 616 and cold water line 614 are joined prior to their combined flow entering static mixer 620. An RTD 622 is coupled to the output of a first static mixer 620. The output of the RTD 622 is fed back to flow controller 610 via control loop 630. After passing through RTD 622, the combined flow passes through a second static mixer 624. RTD 626 is coupled to the output of the second static mixer 624. The output of RTD 626 is provided to a data acquisition system (not shown) for data collection and analysis.
  • FIG. 7 is a graph illustrating temperature set point change as a function of time achieved utilizing an embodiment of the present invention. As illustrated in FIG. 7, the apparatus illustrated in FIG. 6 is utilized to generate a series of temperature set points. The data presented in FIG. 7 was collected at the second RTD 622 as illustrated in FIG. 6. In the embodiment illustrated in FIG. 7, set points at 20° C., 22° C., 24° C., 26° C., 28° C., and 30° C. are demonstrated as a function of time. Referring to FIG. 7, each of the set points listed above are maintained for a time period of approximately 20 seconds. Temperature set points are demonstrated in the figure for a single flow rate for the cold stream of 1.0 lpm, although other flow rates (e.g. 1.5 lpm and 2.0 lpm) are included in alternative embodiments of the present invention.
  • As illustrated in FIG. 7, embodiments of the present invention provide for controllable and stable set points for point of dispense heat exchangers. Embodiments of the present invention are thus useful to regulate the temperature of photolithography chemicals, such as resist. As will be evident to one of skill in the art, the regulation of these temperatures will provide for uniform wafer histories and repeatable coating and dispense operations.
  • FIG. 8 is a graph illustrating temperature stability achieved utilizing an embodiment of the present invention. In FIG. 8, the temperature of the mixed stream measured at RTD 626 is plotted as a function of time. For reference, boundaries associated with±3σ, calculated based on data collected using the system illustrated in FIG. 6, are illustrated in FIG. 8 at about 19.99° C. and 20.05° C. The flow rate of the cold stream was set at 1.0 lpm during the collection of the data illustrated in FIG. 8. The temperature of the mixed stream varies from about 20.03° C. to about 20.00° C., exhibiting a variation of about 0.03° C. at a set point temperature of about 20° C., well within the±3σ variation limits.
  • FIG. 9A is a simplified schematic diagram illustrating yet another temperature control system according to an embodiment of the present invention. A source of fluid 910, water in some embodiments, is provided at a predetermined temperature. Generally, the predetermined temperature is room temperature, e.g., 20° C.-25° C. For purposes of clarity flow and pressure control apparatus associated with the room temperature source 910 are not illustrated in FIG. 9A. In alternative embodiments, the temperature of source 910 is selected to provide a temperature approximately equal to the average dispense temperature of the photolithography chemicals, thus reducing operating costs.
  • A source of hot fluid 912 and a source of cold fluid 914 are provided and connected to the output of the room temperature source 910. As illustrated in FIG. 9A, flow control valves 916 and 918 are utilized to modulate the flow of fluids from the hot source and the cold source, respectively. Controlled amounts of the hot and cold fluids are delivered to the fluid line coupled to temperature sensor 920. In some embodiments, the temperature sensor is an RTD or other suitable sensor. A control system, not shown, utilizes measurements of the fluid temperature at the temperature sensor 920 to control the flow through valves 916 and 918, providing the desired temperature fluid to the point of dispense heat exchanger 922.
  • In some embodiments of the present invention utilizing the temperature control system illustrated in FIG. 9A, a fluid return path is provided for the fluid passing through the point of dispense heat exchanger 922. In alternative embodiments, the temperature control system does not recycle the temperature control fluid, but utilizes a single pass system. One of ordinary skill in the art would recognize many variations, modifications, and alternatives.
  • FIG. 9B is a simplified schematic diagram illustrating another alternative temperature control system according to an embodiment of the present invention. A source of fluid 950, water in some embodiments, is provided at a predetermined temperature. Generally, the predetermined temperature is room temperature, e.g., 20° C.-25° C. In alternative embodiments, the temperature of source 950 is selected to provide a temperature approximately equal to the average dispense temperature of the photolithography chemicals, thus reducing operating costs.
  • A source of cold fluid 952 is provided and connected to the output of the room temperature source 950. As illustrated in FIG. 9B, flow control valve 954 is utilized to modulate the flow of fluid from the cold source. Controlled amounts of the cold fluid is delivered to the fluid line coupled to the room temperature source. Additionally, a heating element 956 is coupled to the mixed stream formed by the room temperature source 950 and cold source 952. In some embodiments, the heating element 956 is a resistive heater adapted to raise the temperature of the fluid passing through line 958 by approximately 2-5° C. A control system, not shown, utilizes measurements of the fluid temperature at the temperature sensor 960 to control the flow through valve 954 and/or the operation of heating element 956, thereby providing the desired temperature fluid to a point of dispense heat exchanger (not shown). The cold source is positioned after the heating element in alternative embodiments.
  • In some embodiments of the present invention utilizing the temperature control system illustrated in FIG. 9B, a fluid return path is provided for the fluid passing through the point of dispense heat exchanger connected to fluid line 958. In alternative embodiments, the temperature control system does not recycle the temperature control fluid, but utilizes a single pass system. One of ordinary skill in the art would recognize many variations, modifications, and alternatives.
  • While the present invention has been described with respect to particular embodiments and specific examples thereof, it should be understood that other embodiments may fall within the spirit and scope of the invention. The scope of the invention should, therefore, be determined with reference to the appended claims along with their full scope of equivalents.

Claims (20)

1. A point of dispense temperature control apparatus for a track lithography system, the apparatus comprising:
a first liquid source characterized by a first temperature;
a first flow controller coupled to the first liquid source;
a second liquid source characterized by a second temperature;
a second flow controller coupled to the second liquid source;
a mixing element coupled to the first flow controller and the second flow controller, the mixing element being adapted to provide a mixed stream characterized by a total flow volume and a temperature intermediate to the first temperature and the second temperature;
a sensor coupled to the mixed stream;
a point of dispense heat exchanger coupled to the mixed stream; and
a control loop coupled to the sensor and at least one of the first flow controller or the second flow controller, wherein the control loop is adapted to provide a consistent total flow volume at the intermediate temperature.
2. The apparatus of claim 1 wherein the first liquid source and the second liquid source are packaged in a single unit.
3. The apparatus of claim 1 wherein the control loop is coupled to the sensor and the first flow controller.
4. The apparatus of claim 1 wherein the control loop is coupled to the sensor and the second flow controller.
5. The apparatus of claim 1 further comprising:
a third flow controller coupled to the mixed stream and the first liquid source; and
a fourth flow controller coupled to the mixed stream and the second liquid source.
6. The apparatus of claim 5 wherein a flow rate of the first fluid through the first flow controller is substantially equal to a flow rate of the mixed stream through the third flow controller.
7. The apparatus of claim 1 wherein the sensor is a resistance temperature detector sensor.
8. The apparatus of claim 1 wherein the control loop comprises a proportional-integral-derivative controller.
9. The apparatus of claim 8 wherein the proportional-integral-derivative controller provides a control signal utilized to modulate the flow rate of the second fluid through the second flow controller.
10. The apparatus of claim 1 wherein the first flow controller and the second flow controller are adapted to pressure balance a first fluid pressure associated with the first liquid source and a second fluid pressure associated with the second liquid source.
11. The apparatus of claim 1 wherein the point of dispense heat exchanger is coupled to a photolithography chemical dispense system.
12. A point of dispense temperature control apparatus for a track lithography system, the apparatus comprising:
a first fluid source characterized by a first temperature;
a first flow regulator coupled to the first fluid source;
a second fluid source characterized by a second temperature;
a second flow regulator coupled to the second fluid source;
a mixing element coupled to the first fluid source and the second fluid source, the mixing element being adapted to provide a mixed stream characterized by a temperature intermediate to the first temperature and the second temperature;
a sensor coupled to the mixed stream;
a point of dispense heat exchanger coupled to the mixed stream;
a fluid return path coupled to the point of dispense heat exchanger and adapted to deliver fluid to at least one of the first fluid source or the second fluid source; and
a control loop coupled to the sensor and at least one of the first flow controller or the second flow controller.
13. The apparatus of claim 12 wherein the flow rate of the mixed stream is characterized by a constant total flow rate during a predetermined time period.
14. The apparatus of claim 12 wherein the sensor is a resistance temperature detector sensor.
15. The apparatus of claim 12 wherein the control loop comprises a proportional-integral-derivative controller and an electro-pneumatic regulator.
16. The apparatus of claim 15 wherein the proportional-integral-derivative controller provides a control signal utilized to modulate the flow rate of the second fluid through the second flow controller.
17. A method of providing distributed temperature control for multiple point of dispense heat exchangers in a track lithography system, the method comprising:
providing a first fluid stream characterized by a first temperature;
providing a second fluid stream characterized by a second temperature;
providing a first fluid flow path coupled to the first fluid stream;
providing a second fluid flow path coupled to the second fluid stream;
mixing the first fluid stream and the second fluid stream to provide a mixed fluid stream characterized by a third temperature;
monitoring the third temperature;
modulating a flow rate of at least one of the first fluid stream or the second fluid stream in response to monitoring the third temperature; and
coupling the mixed stream to a plurality of point of dispense heat exchangers adapted to control a temperature associated with photolithography chemistry.
18. The method of claim 17 wherein the third temperature is intermediate to the first temperature and the second temperature.
19. The method of claim 17 wherein each of the plurality or point of dispense heat exchangers are coupled to a portion of a photolithography chemistry dispense system.
20. The method of claim 19 wherein the portions of the photolithography chemistry dispense system are operable to provide independent temperature set points for photolithography chemistry fluids.
US11/316,329 2004-12-22 2005-12-21 Distributed temperature control system for point of dispense temperature control on track systems utilizing mixing of hot and cold streams Abandoned US20060158240A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/316,329 US20060158240A1 (en) 2004-12-22 2005-12-21 Distributed temperature control system for point of dispense temperature control on track systems utilizing mixing of hot and cold streams

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US63910904P 2004-12-22 2004-12-22
US11/316,329 US20060158240A1 (en) 2004-12-22 2005-12-21 Distributed temperature control system for point of dispense temperature control on track systems utilizing mixing of hot and cold streams

Publications (1)

Publication Number Publication Date
US20060158240A1 true US20060158240A1 (en) 2006-07-20

Family

ID=39193608

Family Applications (18)

Application Number Title Priority Date Filing Date
US11/111,353 Active 2026-03-10 US7396412B2 (en) 2004-12-22 2005-04-20 Coat/develop module with shared dispense
US11/111,155 Abandoned US20060130767A1 (en) 2004-12-22 2005-04-20 Purged vacuum chuck with proximity pins
US11/111,156 Active 2025-10-08 US7371022B2 (en) 2004-12-22 2005-04-20 Developer endpoint detection in a track lithography system
US11/111,154 Active 2025-06-24 US7255747B2 (en) 2004-12-22 2005-04-20 Coat/develop module with independent stations
US11/112,932 Abandoned US20060134330A1 (en) 2004-12-22 2005-04-22 Cluster tool architecture for processing a substrate
US11/112,281 Active US7357842B2 (en) 2004-12-22 2005-04-22 Cluster tool architecture for processing a substrate
US11/147,037 Abandoned US20060134536A1 (en) 2004-12-22 2005-06-06 Method and system for determining post exposure bake endpoint
US11/316,329 Abandoned US20060158240A1 (en) 2004-12-22 2005-12-21 Distributed temperature control system for point of dispense temperature control on track systems utilizing mixing of hot and cold streams
US11/458,664 Active 2025-09-02 US7694647B2 (en) 2004-12-22 2006-07-19 Cluster tool architecture for processing a substrate
US11/458,667 Expired - Fee Related US7925377B2 (en) 2004-12-22 2006-07-19 Cluster tool architecture for processing a substrate
US12/033,837 Abandoned US20080223293A1 (en) 2004-12-22 2008-02-19 Cluster tool architecture for processing a substrate
US12/106,824 Active US7743728B2 (en) 2004-12-22 2008-04-21 Cluster tool architecture for processing a substrate
US12/136,006 Abandoned US20080296316A1 (en) 2004-12-22 2008-06-09 Coat/develop module with shared dispense
US12/254,784 Active 2027-06-16 US8215262B2 (en) 2004-12-22 2008-10-20 Cluster tool architecture for processing a substrate
US12/254,778 Active 2026-11-17 US8146530B2 (en) 2004-12-22 2008-10-20 Cluster tool architecture for processing a substrate
US12/254,750 Active 2027-04-19 US8181596B2 (en) 2004-12-22 2008-10-20 Cluster tool architecture for processing a substrate
US13/411,120 Abandoned US20120180983A1 (en) 2004-12-22 2012-03-02 Cluster tool architecture for processing a substrate
US13/524,854 Active US8550031B2 (en) 2004-12-22 2012-06-15 Cluster tool architecture for processing a substrate

Family Applications Before (7)

Application Number Title Priority Date Filing Date
US11/111,353 Active 2026-03-10 US7396412B2 (en) 2004-12-22 2005-04-20 Coat/develop module with shared dispense
US11/111,155 Abandoned US20060130767A1 (en) 2004-12-22 2005-04-20 Purged vacuum chuck with proximity pins
US11/111,156 Active 2025-10-08 US7371022B2 (en) 2004-12-22 2005-04-20 Developer endpoint detection in a track lithography system
US11/111,154 Active 2025-06-24 US7255747B2 (en) 2004-12-22 2005-04-20 Coat/develop module with independent stations
US11/112,932 Abandoned US20060134330A1 (en) 2004-12-22 2005-04-22 Cluster tool architecture for processing a substrate
US11/112,281 Active US7357842B2 (en) 2004-12-22 2005-04-22 Cluster tool architecture for processing a substrate
US11/147,037 Abandoned US20060134536A1 (en) 2004-12-22 2005-06-06 Method and system for determining post exposure bake endpoint

Family Applications After (10)

Application Number Title Priority Date Filing Date
US11/458,664 Active 2025-09-02 US7694647B2 (en) 2004-12-22 2006-07-19 Cluster tool architecture for processing a substrate
US11/458,667 Expired - Fee Related US7925377B2 (en) 2004-12-22 2006-07-19 Cluster tool architecture for processing a substrate
US12/033,837 Abandoned US20080223293A1 (en) 2004-12-22 2008-02-19 Cluster tool architecture for processing a substrate
US12/106,824 Active US7743728B2 (en) 2004-12-22 2008-04-21 Cluster tool architecture for processing a substrate
US12/136,006 Abandoned US20080296316A1 (en) 2004-12-22 2008-06-09 Coat/develop module with shared dispense
US12/254,784 Active 2027-06-16 US8215262B2 (en) 2004-12-22 2008-10-20 Cluster tool architecture for processing a substrate
US12/254,778 Active 2026-11-17 US8146530B2 (en) 2004-12-22 2008-10-20 Cluster tool architecture for processing a substrate
US12/254,750 Active 2027-04-19 US8181596B2 (en) 2004-12-22 2008-10-20 Cluster tool architecture for processing a substrate
US13/411,120 Abandoned US20120180983A1 (en) 2004-12-22 2012-03-02 Cluster tool architecture for processing a substrate
US13/524,854 Active US8550031B2 (en) 2004-12-22 2012-06-15 Cluster tool architecture for processing a substrate

Country Status (3)

Country Link
US (18) US7396412B2 (en)
JP (3) JP2012069957A (en)
CN (2) CN101142656A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9370273B2 (en) 2010-12-02 2016-06-21 Pepsico, Inc. Hot and cold beverage dispenser

Families Citing this family (552)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005027568A1 (en) * 2003-09-16 2005-03-24 Koninklijke Philips Electronics N.V. Audio frequency range adaptation
JP4271095B2 (en) * 2004-07-15 2009-06-03 東京エレクトロン株式会社 Substrate heating apparatus and substrate heating method
JP4426403B2 (en) * 2004-08-31 2010-03-03 東京エレクトロン株式会社 Laser processing equipment
JP5154006B2 (en) * 2004-12-06 2013-02-27 株式会社Sokudo Substrate processing equipment
US7819079B2 (en) 2004-12-22 2010-10-26 Applied Materials, Inc. Cartesian cluster tool configuration for lithography type processes
US7396412B2 (en) * 2004-12-22 2008-07-08 Sokudo Co., Ltd. Coat/develop module with shared dispense
US7798764B2 (en) 2005-12-22 2010-09-21 Applied Materials, Inc. Substrate processing sequence in a cartesian robot cluster tool
US20070295276A1 (en) * 2004-12-22 2007-12-27 Sokudo Co., Ltd. Bake plate having engageable thermal mass
US7699021B2 (en) 2004-12-22 2010-04-20 Sokudo Co., Ltd. Cluster tool substrate throughput optimization
JP4955977B2 (en) * 2005-01-21 2012-06-20 東京エレクトロン株式会社 Coating and developing apparatus and method thereof
US20060162658A1 (en) * 2005-01-27 2006-07-27 Applied Materials, Inc. Ruthenium layer deposition apparatus and method
US20060236941A1 (en) * 2005-04-20 2006-10-26 Applied Materials, Inc. Passive wafer support for particle free wafer acceleration
US7513822B2 (en) * 2005-06-18 2009-04-07 Flitsch Frederick A Method and apparatus for a cleanspace fabricator
US9457442B2 (en) * 2005-06-18 2016-10-04 Futrfab, Inc. Method and apparatus to support process tool modules in a cleanspace fabricator
US20150227136A1 (en) * 2005-06-18 2015-08-13 Fred Flitsch Methods and apparatus for vertically orienting substrate processing tools in a clean space
CA2616760C (en) 2005-07-26 2014-10-07 Rox Medical, Inc. Devices, systems, and methods for peripheral arteriovenous fistula creation
JP4767641B2 (en) * 2005-09-27 2011-09-07 大日本スクリーン製造株式会社 Substrate processing apparatus and substrate transfer method
US7470919B2 (en) * 2005-09-30 2008-12-30 Applied Materials, Inc. Substrate support assembly with thermal isolating plate
JP4629574B2 (en) * 2005-12-27 2011-02-09 日本発條株式会社 Substrate support device and manufacturing method thereof
JP4527670B2 (en) * 2006-01-25 2010-08-18 東京エレクトロン株式会社 Heat treatment apparatus, heat treatment method, control program, and computer-readable storage medium
US20080050679A1 (en) * 2006-02-22 2008-02-28 Sokudo Co., Ltd. Methods and systems for performing immersion processing during lithography
US7824934B2 (en) * 2006-02-24 2010-11-02 Tokyo Electron Limited Substrate processing apparatus, parameter management system for substrate processing apparatus, parameter management method for substrate processing apparatus, program, and storage medium
WO2007105455A1 (en) * 2006-02-28 2007-09-20 Ulvac, Inc. Stage device
US20090092467A1 (en) * 2006-03-06 2009-04-09 Yasuzou Tanaka Stage apparatus
JP4994074B2 (en) * 2006-04-20 2012-08-08 東京エレクトロン株式会社 Substrate cleaning apparatus, substrate cleaning method, substrate processing apparatus
US20070247165A1 (en) * 2006-04-25 2007-10-25 Applied Materials, Inc. Wafer backside particle detection for track tools
US7521915B2 (en) * 2006-04-25 2009-04-21 Sokudo Co., Ltd. Wafer bevel particle detection
US20070254493A1 (en) * 2006-04-28 2007-11-01 Applied Materials, Inc. Integrated thermal unit having vertically arranged bake and chill plates
US7833351B2 (en) * 2006-06-26 2010-11-16 Applied Materials, Inc. Batch processing platform for ALD and CVD
WO2008008727A2 (en) * 2006-07-10 2008-01-17 Applied Materials, Inc. Scheduling method for processing equipment
US20080051930A1 (en) * 2006-07-10 2008-02-28 Oh Hilario L Scheduling method for processing equipment
KR101412398B1 (en) * 2006-07-19 2014-06-25 인터몰레큘러 인코퍼레이티드 Method and system for isolated and discretized process sequence integration
US7867904B2 (en) * 2006-07-19 2011-01-11 Intermolecular, Inc. Method and system for isolated and discretized process sequence integration
JP4801522B2 (en) * 2006-07-21 2011-10-26 株式会社日立ハイテクノロジーズ Semiconductor manufacturing apparatus and plasma processing method
US7935948B2 (en) * 2006-08-11 2011-05-03 Sokudo Co., Ltd. Method and apparatus for monitoring and control of suck back level in a photoresist dispense system
KR100829923B1 (en) * 2006-08-30 2008-05-16 세메스 주식회사 Spin head and method using the same for treating substrate
JP2008072016A (en) * 2006-09-15 2008-03-27 Tokyo Electron Ltd Liquid-treating apparatus, liquid-treating method, and storage medium
JP5013400B2 (en) * 2006-09-29 2012-08-29 国立大学法人東北大学 Coating film coating equipment
US7460972B2 (en) * 2006-10-19 2008-12-02 Sokudo Co., Ltd. Methods and systems for performing real-time wireless temperature measurement for semiconductor substrates
US7758763B2 (en) * 2006-10-31 2010-07-20 Applied Materials, Inc. Plasma for resist removal and facet control of underlying features
US20080099181A1 (en) * 2006-10-31 2008-05-01 Sokudo Co., Ltd. Method to cool a bake plate using an actively chilled transfer shuttle
US20080145191A1 (en) * 2006-11-15 2008-06-19 Sokudo Co., Ltd. Actively chilled substrate transport module
JP5023679B2 (en) * 2006-12-05 2012-09-12 東京エレクトロン株式会社 Coating and developing apparatus and method, and storage medium
JP4777232B2 (en) * 2006-12-27 2011-09-21 東京エレクトロン株式会社 Substrate processing method, substrate processing system, and computer-readable storage medium storing program
US8740670B2 (en) 2006-12-28 2014-06-03 Saint-Gobain Ceramics & Plastics, Inc. Sapphire substrates and methods of making same
KR101291112B1 (en) * 2006-12-28 2013-08-01 생-고뱅 세라믹스 앤드 플라스틱스, 인코포레이티드 Method of grinding a sapphire substrate
WO2008083081A2 (en) * 2006-12-28 2008-07-10 Saint-Gobain Ceramics & Plastics, Inc. Sapphire substrates and methods of making same
KR101203932B1 (en) * 2006-12-28 2012-11-23 생-고뱅 세라믹스 앤드 플라스틱스, 인코포레이티드 Sapphire substrates and methods of making same
US20080155852A1 (en) * 2006-12-29 2008-07-03 Olgado Donald J K Multiple substrate vapor drying systems and methods
US20080160462A1 (en) * 2007-01-03 2008-07-03 Sokudo Co., Ltd. Method and system for bake plate heat transfer control in track lithography tools
US7497026B2 (en) * 2007-01-11 2009-03-03 Sokudo Co., Ltd. Method and system for detection of wafer centering in a track lithography tool
US8580078B2 (en) 2007-01-26 2013-11-12 Lam Research Corporation Bevel etcher with vacuum chuck
US20080216077A1 (en) * 2007-03-02 2008-09-04 Applied Materials, Inc. Software sequencer for integrated substrate processing system
US20080224817A1 (en) * 2007-03-15 2008-09-18 Sokudo Co., Ltd. Interlaced rtd sensor for zone/average temperature sensing
US7789576B2 (en) * 2007-03-27 2010-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. PEB embedded exposure apparatus
US9383138B2 (en) * 2007-03-30 2016-07-05 Tokyo Electron Limited Methods and heat treatment apparatus for uniformly heating a substrate during a bake process
US20080241400A1 (en) * 2007-03-31 2008-10-02 Tokyo Electron Limited Vacuum assist method and system for reducing intermixing of lithography layers
US20080267257A1 (en) * 2007-04-27 2008-10-30 Sokudo Co., Ltd. Method and System for Detecting Substrate Temperature in a Track Lithography Tool
JP4877075B2 (en) * 2007-05-29 2012-02-15 東京エレクトロン株式会社 Coating, developing device, coating, developing device operating method, and storage medium
US7942969B2 (en) * 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US8636458B2 (en) * 2007-06-06 2014-01-28 Asml Netherlands B.V. Integrated post-exposure bake track
US20090001071A1 (en) * 2007-06-28 2009-01-01 Sokudo Co., Ltd Method and System for Cooling a Bake Plate in a Track Lithography Tool
JP5006122B2 (en) * 2007-06-29 2012-08-22 株式会社Sokudo Substrate processing equipment
JP4464993B2 (en) * 2007-06-29 2010-05-19 東京エレクトロン株式会社 Substrate processing system
JP4979079B2 (en) * 2007-07-09 2012-07-18 東京エレクトロン株式会社 Substrate processing equipment
US8092599B2 (en) * 2007-07-10 2012-01-10 Veeco Instruments Inc. Movable injectors in rotating disc gas reactors
US7934898B2 (en) * 2007-07-16 2011-05-03 Semitool, Inc. High throughput semiconductor wafer processing
US7567885B2 (en) * 2007-08-23 2009-07-28 Sokudo Co., Ltd. Method and system for determining object height
US7831135B2 (en) * 2007-09-04 2010-11-09 Sokudo Co., Ltd. Method and system for controlling bake plate temperature in a semiconductor processing chamber
US8041450B2 (en) * 2007-10-04 2011-10-18 Asm Japan K.K. Position sensor system for substrate transfer robot
JP5151383B2 (en) * 2007-10-12 2013-02-27 東京エレクトロン株式会社 Coating and developing apparatus, method and storage medium
EP2207909B1 (en) * 2007-10-24 2012-08-29 OC Oerlikon Balzers AG Method for manufacturing workpieces and apparatus
US8060252B2 (en) * 2007-11-30 2011-11-15 Novellus Systems, Inc. High throughput method of in transit wafer position correction in system using multiple robots
US9002514B2 (en) * 2007-11-30 2015-04-07 Novellus Systems, Inc. Wafer position correction with a dual, side-by-side wafer transfer robot
JP5128918B2 (en) 2007-11-30 2013-01-23 株式会社Sokudo Substrate processing equipment
JP5160204B2 (en) * 2007-11-30 2013-03-13 株式会社Sokudo Substrate processing equipment
JP5318403B2 (en) 2007-11-30 2013-10-16 株式会社Sokudo Substrate processing equipment
KR101489963B1 (en) 2007-12-13 2015-02-04 한국에이에스엠지니텍 주식회사 Thin film deposition apparatus and method thereof
US20090162170A1 (en) * 2007-12-19 2009-06-25 Asm Japan K.K. Tandem type semiconductor-processing apparatus
KR100892756B1 (en) * 2007-12-27 2009-04-15 세메스 주식회사 Apparatus for treating substrate and method for transferring substrate using the same
JP5179170B2 (en) * 2007-12-28 2013-04-10 株式会社Sokudo Substrate processing equipment
JP5001828B2 (en) * 2007-12-28 2012-08-15 株式会社Sokudo Substrate processing equipment
US8198567B2 (en) * 2008-01-15 2012-06-12 Applied Materials, Inc. High temperature vacuum chuck assembly
JP4547016B2 (en) * 2008-04-04 2010-09-22 東京エレクトロン株式会社 Semiconductor manufacturing apparatus and semiconductor manufacturing method
US8077098B2 (en) * 2008-05-15 2011-12-13 The United States Of America As Represented By The Secretary Of The Navy Antenna test system
KR101202202B1 (en) * 2008-06-05 2012-11-16 도쿄엘렉트론가부시키가이샤 Liquid treatment apparatus and liquid treatment method
US20090308860A1 (en) * 2008-06-11 2009-12-17 Applied Materials, Inc. Short thermal profile oven useful for screen printing
WO2010004636A1 (en) * 2008-07-10 2010-01-14 川崎重工業株式会社 Robot and its teaching method
WO2010008929A1 (en) * 2008-07-15 2010-01-21 Ulvac, Inc. Work-piece transfer systems and methods
KR101226954B1 (en) * 2008-08-06 2013-01-28 세메스 주식회사 Substrate processing apparatus and method for transferring substrate of the same
JP5036664B2 (en) * 2008-09-04 2012-09-26 東京エレクトロン株式会社 Nozzle cleaning in liquid treatment, treatment liquid drying prevention method and apparatus
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP2010123230A (en) * 2008-11-21 2010-06-03 Sony Disc & Digital Solutions Inc Developing method and developing apparatus
JP2010129929A (en) * 2008-11-28 2010-06-10 Canon Inc Substrate holding apparatus, substrate holding method, exposure apparatus, and device manufacturing method
US8127713B2 (en) * 2008-12-12 2012-03-06 Sokudo Co., Ltd. Multi-channel developer system
US20100162954A1 (en) * 2008-12-31 2010-07-01 Lawrence Chung-Lai Lei Integrated facility and process chamber for substrate processing
US20100162955A1 (en) * 2008-12-31 2010-07-01 Lawrence Chung-Lai Lei Systems and methods for substrate processing
US8110511B2 (en) * 2009-01-03 2012-02-07 Archers Inc. Methods and systems of transferring a substrate to minimize heat loss
US7897525B2 (en) * 2008-12-31 2011-03-01 Archers Inc. Methods and systems of transferring, docking and processing substrates
US8367565B2 (en) * 2008-12-31 2013-02-05 Archers Inc. Methods and systems of transferring, docking and processing substrates
US8886354B2 (en) * 2009-01-11 2014-11-11 Applied Materials, Inc. Methods, systems and apparatus for rapid exchange of work material
US8241425B2 (en) * 2009-01-23 2012-08-14 Axcelis Technologies, Inc. Non-condensing thermos chuck
US8289496B2 (en) 2009-01-30 2012-10-16 Semes Co., Ltd. System and method for treating substrate
JP2010177673A (en) * 2009-01-30 2010-08-12 Semes Co Ltd Apparatus and method for treating substrate
JP2010251705A (en) * 2009-03-24 2010-11-04 Nuflare Technology Inc Coating apparatus and coating method
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
CN101897351A (en) * 2009-05-31 2010-12-01 北京佩奇科技发展中心 Cordierite baking oven
US8847122B2 (en) * 2009-06-08 2014-09-30 Macronix International Co., Ltd. Method and apparatus for transferring substrate
EP2449004A1 (en) 2009-07-02 2012-05-09 E. I. du Pont de Nemours and Company Semiconductor manufacture component
US20110003140A1 (en) 2009-07-02 2011-01-06 E.I. Du Pont De Nemours And Company Oriented composite
TWI489580B (en) * 2009-07-10 2015-06-21 Macronix Int Co Ltd Method and apparatus for transferring substrate
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110064545A1 (en) * 2009-09-16 2011-03-17 Applied Materials, Inc. Substrate transfer mechanism with preheating features
JP5445006B2 (en) * 2009-10-05 2014-03-19 東京エレクトロン株式会社 Substrate processing apparatus, substrate processing method, and storage medium
TWI408766B (en) * 2009-11-12 2013-09-11 Hitachi High Tech Corp Vacuum processing device
US8542492B2 (en) * 2009-12-10 2013-09-24 Richard Anthony Dunn, JR. Scalable up and down nesting integrated electronic enclosures with form factors including asteroids and/or dumbbells and/or approximated tessellation(s)/tiling(s) or combinations thereof with thermal management, wiring, sliding fit, manual and/or automated full range vertical to horizontal positioning, access and structural systems for individual modules and intra-and inter-planar stacks, columns, rows, arrays and associated infrastructures
US20110140232A1 (en) * 2009-12-15 2011-06-16 Intersil Americas Inc. Methods of forming a thermal conduction region in a semiconductor structure and structures resulting therefrom
US20120055916A1 (en) * 2010-03-01 2012-03-08 Sokudo Co., Ltd. Method and system for thermal treatment of substrates
JP5318005B2 (en) 2010-03-10 2013-10-16 株式会社Sokudo Substrate processing apparatus, stocker apparatus, and substrate container transport method
JP5392190B2 (en) * 2010-06-01 2014-01-22 東京エレクトロン株式会社 Substrate processing system and substrate processing method
JP5620574B2 (en) 2010-06-07 2014-11-05 カスケード マイクロテックインコーポレイテッドCascade Microtech,Incorporated High voltage chuck for probe station
TWM394568U (en) * 2010-07-23 2010-12-11 Chen Long Technology Corp Ltd Multiplexing wafer baking processing system
US9645162B2 (en) 2010-08-27 2017-05-09 Hewlett-Packard Development Company, L.P. Automated assay fluid dispensing
US9433939B2 (en) 2010-08-27 2016-09-06 Hewlett-Packard Development Company, L.P. Liquid dispensing assembly frame
JP5251941B2 (en) 2010-09-01 2013-07-31 東京エレクトロン株式会社 Liquid processing apparatus, liquid processing method, and storage medium
US20120225204A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
JP5490741B2 (en) * 2011-03-02 2014-05-14 東京エレクトロン株式会社 Substrate transport apparatus position adjustment method and substrate processing apparatus
US8956098B2 (en) * 2011-05-02 2015-02-17 Murata Machinery, Ltd. Automated warehouse
US9508582B2 (en) 2011-06-03 2016-11-29 Tel Nexx, Inc. Parallel single substrate marangoni module
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8961693B2 (en) * 2011-06-08 2015-02-24 Shenzhen China Star Optoelectronics Technology Co., Ltd. Component supporting device
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US9421617B2 (en) 2011-06-22 2016-08-23 Tel Nexx, Inc. Substrate holder
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US8967935B2 (en) 2011-07-06 2015-03-03 Tel Nexx, Inc. Substrate loader and unloader
US10854498B2 (en) * 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR20230084597A (en) 2011-09-16 2023-06-13 퍼시몬 테크놀로지스 코포레이션 A Transport Apparatus and A Processing Apparatus Comprising the Same
TWI523134B (en) * 2011-09-22 2016-02-21 東京威力科創股份有限公司 Substrate treatment system, substrate transfer method and computer-readable storage medium
CN202257027U (en) * 2011-10-12 2012-05-30 深圳市华星光电技术有限公司 Bearing system of photoresist coating machine and photoresist coating machine provided with bearing system
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130123966A1 (en) * 2011-11-14 2013-05-16 Shenzhen China Star Optoelectronics Technology Co., Ltd. Spatial three-dimensional inline handling system
CN103137519B (en) * 2011-11-28 2016-08-17 和舰科技(苏州)有限公司 Cold-hot plate device and temperature control method thereof
CN102645698B (en) * 2012-01-09 2016-03-30 京东方科技集团股份有限公司 Light guide plate mesh point, method for manufacturing light guide plate and backlight module, display device
NL2010140A (en) * 2012-02-03 2013-08-06 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
JP5926086B2 (en) * 2012-03-28 2016-05-25 株式会社Screenホールディングス Substrate processing apparatus and substrate processing method
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US10199350B2 (en) * 2012-05-25 2019-02-05 Asm Technology Singapore Pte Ltd Apparatus for heating a substrate during die bonding
US9490150B2 (en) 2012-07-03 2016-11-08 Applied Materials, Inc. Substrate support for substrate backside contamination control
US8932945B2 (en) * 2012-07-09 2015-01-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer alignment system and method
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
SG11201503659QA (en) 2012-11-28 2015-06-29 Acm Res Shanghai Inc Method and apparatus for cleaning semiconductor wafer
JP5835195B2 (en) * 2012-11-29 2015-12-24 東京エレクトロン株式会社 Method for manufacturing high-pressure vessel for drying process and method for manufacturing substrate processing apparatus
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
KR102548468B1 (en) * 2013-01-22 2023-06-27 브룩스 오토메이션 인코퍼레이티드 Substrate Transport
US9543186B2 (en) * 2013-02-01 2017-01-10 Applied Materials, Inc. Substrate support with controlled sealing gap
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9446467B2 (en) * 2013-03-14 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Integrate rinse module in hybrid bonding platform
KR101273615B1 (en) 2013-04-30 2013-06-13 마이다스시스템주식회사 Mini lab for semiconductor fabrication that are used in the photolithography process
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
JP6268425B2 (en) * 2013-07-16 2018-01-31 シンフォニアテクノロジー株式会社 EFEM, load port, wafer transfer method
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
JP6234736B2 (en) * 2013-08-30 2017-11-22 芝浦メカトロニクス株式会社 Spin processing device
US10424498B2 (en) * 2013-09-09 2019-09-24 Persimmon Technologies Corporation Substrate transport vacuum platform
US9245767B2 (en) * 2013-09-12 2016-01-26 Applied Materials, Inc. Anneal module for semiconductor wafers
JP6112485B2 (en) * 2013-09-19 2017-04-12 国立研究開発法人産業技術総合研究所 Method for producing single crystal diamond
KR20160064177A (en) 2013-09-26 2016-06-07 어플라이드 머티어리얼스, 인코포레이티드 Mixed-platform apparatus, systems, and methods for substrate processing
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10074547B2 (en) * 2013-12-19 2018-09-11 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist nozzle device and photoresist supply system
KR102424958B1 (en) 2014-01-21 2022-07-25 퍼시몬 테크놀로지스 코포레이션 Substrate transport vacuum platform
JP6559706B2 (en) 2014-01-27 2019-08-14 ビーコ インストルメンツ インコーポレイテッド Wafer carrier with holding pockets with compound radius for chemical vapor deposition systems
JP5850964B2 (en) * 2014-02-19 2016-02-03 ファナック株式会社 Robot traveling device having cable track, robot system, and processing system
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN103949376B (en) * 2014-04-17 2016-08-31 天津市盈硕科技发展有限公司 The point glue equipment of the solar panel of new energy electric bicycle
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP6211458B2 (en) * 2014-04-30 2017-10-11 東京エレクトロン株式会社 Substrate liquid processing apparatus and substrate liquid processing method
US10892180B2 (en) * 2014-06-02 2021-01-12 Applied Materials, Inc. Lift pin assembly
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
TWI546376B (en) * 2014-08-25 2016-08-21 柯伊珊 Wafer treatment solution for edge-bead removal, edge film hump reduction and resist surface smooth, its apparatus and edge-bead removal method by using the same
JP6296164B2 (en) * 2014-09-08 2018-03-20 株式会社安川電機 Robot system and transfer method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9403275B2 (en) * 2014-10-17 2016-08-02 GM Global Technology Operations LLC Dynamic obstacle avoidance in a robotic system
JP6754771B2 (en) * 2014-11-18 2020-09-16 パーシモン テクノロジーズ コーポレイションPersimmon Technologies, Corp. Robot adaptive placement system that performs end effector position estimation
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
WO2016131190A1 (en) * 2015-02-17 2016-08-25 Solarcity Corporation Method and system for improving solar cell manufacturing yield
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102478317B1 (en) * 2015-04-08 2022-12-16 도쿄엘렉트론가부시키가이샤 Substrate processing system
US9889567B2 (en) * 2015-04-24 2018-02-13 Applied Materials, Inc. Wafer swapper
US20160359080A1 (en) 2015-06-07 2016-12-08 Solarcity Corporation System, method and apparatus for chemical vapor deposition
KR101695948B1 (en) * 2015-06-26 2017-01-13 주식회사 테라세미콘 Substrate processing apparatus
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10256121B2 (en) 2015-07-06 2019-04-09 Tokyo Electron Limited Heated stage with variable thermal emissivity method and apparatus
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
KR20230145534A (en) * 2015-07-13 2023-10-17 브룩스 오토메이션 인코퍼레이티드 On the fly automatic wafer centering method and apparatus
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
CN105278259A (en) * 2015-07-27 2016-01-27 江苏影速光电技术有限公司 Stand-alone double-table and multi-station automatic printed circuit board (PCB) exposure equipment and exposure method
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
JP6942117B2 (en) * 2015-08-14 2021-09-29 エム キューブド テクノロジーズ, インコーポレイテッド Methods for removing contamination from the chuck surface
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
TWI595963B (en) * 2015-08-18 2017-08-21 Machvision Inc Automatic feeding device
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
DE102015011177B4 (en) * 2015-08-27 2017-09-14 Süss Microtec Photomask Equipment Gmbh & Co. Kg Device for applying a liquid medium exposed to UV radiation to a substrate
US10073444B2 (en) * 2015-09-20 2018-09-11 Macau University Of Science And Technology Petri net-based optimal one-wafer cyclic scheduling of treelike hybrid multi-cluster tools
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR20170048787A (en) * 2015-10-27 2017-05-10 세메스 주식회사 Apparatus and Method for treating a substrate
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10580681B2 (en) * 2016-07-10 2020-03-03 Yaskawa America Inc. Robotic apparatus and method for transport of a workpiece
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
CN107644832B (en) * 2016-07-20 2023-09-29 朗姆研究公司 Design for storing and organizing MCA features and wafer transfer pins during system maintenance
WO2018022670A1 (en) * 2016-07-26 2018-02-01 M Cubed Technologies, Inc. Methods for masking a pin chuck, and articles made thereby
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10131053B1 (en) * 2016-09-14 2018-11-20 X Development Llc Real time robot collision avoidance
JP6870944B2 (en) * 2016-09-26 2021-05-12 株式会社Screenホールディングス Board processing equipment
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
CN108107680B (en) * 2016-11-25 2020-10-30 沈阳芯源微电子设备股份有限公司 Stack type glue spreading and developing system
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10246087B2 (en) * 2016-12-15 2019-04-02 Caterpillar Inc. System and method for collision mitigation during machine articulation
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
JP6770887B2 (en) * 2016-12-28 2020-10-21 株式会社Screenホールディングス Board processing equipment and board processing system
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11201078B2 (en) * 2017-02-14 2021-12-14 Applied Materials, Inc. Substrate position calibration for substrate supports in substrate processing systems
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
DE102017104840A1 (en) 2017-03-08 2018-09-13 SW Automation GmbH Traveling System
CN110546578A (en) * 2017-03-15 2019-12-06 卡拉汉创新有限公司 Apparatus and method for manufacturing articles using photolithography and photoresist
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
DE102017115833A1 (en) * 2017-07-13 2019-01-17 SW Automation GmbH Method for operating a workpiece machining system and workpiece machining system
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10861723B2 (en) * 2017-08-08 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. EFEM robot auto teaching methodology
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11955362B2 (en) * 2017-09-13 2024-04-09 Applied Materials, Inc. Substrate support for reduced damage substrate backside
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US20190088518A1 (en) * 2017-09-20 2019-03-21 Applied Materials, Inc. Substrate support with cooled and conducting pins
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
JP7206265B2 (en) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. Equipment with a clean mini-environment
JP6967954B2 (en) * 2017-12-05 2021-11-17 東京エレクトロン株式会社 Exhaust device, processing device and exhaust method
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
KR101938104B1 (en) * 2018-01-25 2019-01-14 주식회사 기가레인 Flexible circuit board with improved bonding flatness
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10589423B2 (en) * 2018-06-18 2020-03-17 Shambhu Nath Roy Robot vision super visor for hybrid homing, positioning and workspace UFO detection enabling industrial robot use for consumer applications
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11081358B2 (en) 2018-07-05 2021-08-03 Applied Materials, Inc. Silicide film nucleation
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11199466B2 (en) * 2018-08-31 2021-12-14 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for liquid leak detection
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US10796940B2 (en) 2018-11-05 2020-10-06 Lam Research Corporation Enhanced automatic wafer centering system and techniques for same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
GB201819454D0 (en) * 2018-11-29 2019-01-16 Johnson Matthey Plc Apparatus and method for coating substrates with washcoats
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
JP7451540B2 (en) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド Feedback loop for controlling pulsed voltage waveforms
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
JP7253955B2 (en) * 2019-03-28 2023-04-07 東京エレクトロン株式会社 SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US10998209B2 (en) 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR102240925B1 (en) * 2019-07-17 2021-04-15 세메스 주식회사 Apparatus for Processing Substrate and Substrates transfer apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
US20210035767A1 (en) * 2019-07-29 2021-02-04 Applied Materials, Inc. Methods for repairing a recess of a chamber component
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11823937B2 (en) * 2019-08-19 2023-11-21 Applied Materials, Inc. Calibration of an aligner station of a processing system
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
CN112992637A (en) * 2019-12-02 2021-06-18 Asm Ip私人控股有限公司 Substrate supporting plate, substrate processing apparatus including the same, and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210078405A (en) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
KR20220129598A (en) * 2020-01-22 2022-09-23 어플라이드 머티어리얼스, 인코포레이티드 In-line monitoring of OLED layer thickness and dopant concentration
US11856833B2 (en) 2020-01-22 2023-12-26 Applied Materials, Inc. In-line monitoring of OLED layer thickness and dopant concentration
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US20210320027A1 (en) * 2020-04-09 2021-10-14 Applied Materials, Inc. Systems and methods for substrate support temperature control
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
BR112022026630A2 (en) * 2020-06-26 2023-01-24 Armstrong World Ind Inc COATING HUMIDIFICATION SYSTEM
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
WO2022031268A1 (en) 2020-08-04 2022-02-10 Applied Materials, Inc. Apparatus for removing photoresist off of photomask
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TWI749802B (en) * 2020-10-08 2021-12-11 南亞科技股份有限公司 Conveying device
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
IT202000030872A1 (en) 2020-12-15 2022-06-15 Faspar S P A SUPPORT AND HANDLING GROUP FOR A TOOL GRIPPING DEVICE
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
KR20220087623A (en) * 2020-12-17 2022-06-27 삼성전자주식회사 Apparatus for processing a substrate
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
KR20220158515A (en) * 2021-05-24 2022-12-01 에이디알씨 주식회사 Spray coater and thin film transistor fabricated using the same
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
TW202339086A (en) * 2021-11-19 2023-10-01 美商應用材料股份有限公司 Substrate position calibration for substrate supports in substrate processing systems
US20230197463A1 (en) * 2021-12-21 2023-06-22 Canon Kabushiki Kaisha Apparatus including a substrate chuck, a dispenser, and a planarization head and methods of using the same
JP7326647B1 (en) 2022-12-07 2023-08-15 株式会社荏原製作所 Conveyor and substrate processing equipment

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5802856A (en) * 1996-07-31 1998-09-08 Stanford University Multizone bake/chill thermal cycling module
US20020020179A1 (en) * 2000-04-27 2002-02-21 Gary Winkler Process and apparatus for achieving precision temperature control
US20020153425A1 (en) * 2001-01-30 2002-10-24 Aqualisa Products Limited, A British Body Corporate Water mixing valve apparatus
US7069984B2 (en) * 2001-02-08 2006-07-04 Oriol Inc. Multi-channel temperature control system for semiconductor processing facilities
US7357842B2 (en) * 2004-12-22 2008-04-15 Sokudo Co., Ltd. Cluster tool architecture for processing a substrate

Family Cites Families (684)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US491171A (en) * 1893-02-07 Retouching device
US1383093A (en) 1921-02-03 1921-06-28 Clifford L Finch Signal-operator for spreading rails
US1927677A (en) 1927-01-15 1933-09-19 Cleveland Crane Eng Material storage and handling system
BE494127A (en) 1950-02-01
US3206041A (en) 1959-06-18 1965-09-14 Fmc Corp Article handling apparatus
US3193080A (en) 1963-04-08 1965-07-06 Speaker Sortation Systems Inc Multiple station feeding means
US3402835A (en) 1964-12-14 1968-09-24 Triax Co Control system for a zoned automatic warehouse arrangement
US3351219A (en) 1965-04-09 1967-11-07 Walter A Ruderfer Warehousing order selection system
US3279625A (en) 1965-04-30 1966-10-18 Taylor Wilson Mfg Company Apparatus for handling elongated articles
LU51022A1 (en) 1966-05-03 1968-02-12
US3610159A (en) 1968-06-06 1971-10-05 Bendix Corp Automatic baggage-handling system
DE1798090C2 (en) 1968-08-21 1981-10-08 Tax, Hans, 8000 München Device for controlling automatically steered road vehicles in a container loading system
US3750804A (en) 1969-03-07 1973-08-07 Triax Co Load handling mechanism and automatic storage system
US3876085A (en) 1970-03-05 1975-04-08 Thomas John Robert Bright Automated storage systems and apparatus therefor
US6076652A (en) 1971-04-16 2000-06-20 Texas Instruments Incorporated Assembly line system and apparatus controlling transfer of a workpiece
US3782564A (en) * 1971-09-27 1974-01-01 Conco Inc Product picking stacker crane system
US3796327A (en) * 1972-07-14 1974-03-12 R Meyer Manufacturing system
US4027246A (en) 1976-03-26 1977-05-31 International Business Machines Corporation Automated integrated circuit manufacturing system
JP3249765B2 (en) 1997-05-07 2002-01-21 東京エレクトロン株式会社 Substrate processing equipment
US4197000A (en) 1978-05-23 1980-04-08 Fsi Corporation Positive developing method and apparatus
US4303433A (en) 1978-08-28 1981-12-01 Torobin Leonard B Centrifuge apparatus and method for producing hollow microspheres
US4319689A (en) 1979-09-13 1982-03-16 Frazier Industrial Company Storage rack
US4304433A (en) 1980-03-17 1981-12-08 Bj-Hughes Inc. Pipe gripping head
US4348044A (en) 1980-10-10 1982-09-07 Cincinnati Milacron Inc. Article gripping apparatus
US4410209A (en) 1982-03-11 1983-10-18 Trapani Silvio P Wafer-handling tool
US5125790A (en) 1982-05-24 1992-06-30 Proconics International, Inc. Wafer transfer apparatus
JP2855046B2 (en) 1993-03-31 1999-02-10 大日本スクリーン製造株式会社 Substrate rotation holding device for rotary substrate processing equipment
US4778532A (en) 1985-06-24 1988-10-18 Cfm Technologies Limited Partnership Process and apparatus for treating wafers with process fluids
US4911761A (en) * 1984-05-21 1990-03-27 Cfm Technologies Research Associates Process and apparatus for drying surfaces
US4984597B1 (en) * 1984-05-21 1999-10-26 Cfmt Inc Apparatus for rinsing and drying surfaces
US4634655A (en) * 1984-06-04 1987-01-06 Dainippon Screen Mfg. Co., Ltd. Method of forming corrosion resistant film on the surface of substrate composed of copper or copper alloy
US4609575A (en) 1984-07-02 1986-09-02 Fsi Corporation Method of apparatus for applying chemicals to substrates in an acid processing system
US4639028A (en) 1984-11-13 1987-01-27 Economic Development Corporation High temperature and acid resistant wafer pick up device
DE3685835T2 (en) 1985-04-17 1993-02-18 Hitachi Ltd GRIPPER TOOL.
JPS61178187U (en) 1985-04-26 1986-11-06
US4895604A (en) 1985-07-15 1990-01-23 Dainippon Screen Mfg. Co., Ltd. Method and apparatus for rinsing materials or articles
US4682614A (en) 1985-07-26 1987-07-28 Fsi Corporation Wafer processing machine
US4664133A (en) 1985-07-26 1987-05-12 Fsi Corporation Wafer processing machine
JPS6278826A (en) 1985-10-01 1987-04-11 Dainippon Screen Mfg Co Ltd Method for surface treatment and device thereof
JPS6278828A (en) 1985-10-01 1987-04-11 Dainippon Screen Mfg Co Ltd Surface processing and apparatus thereof
JPH0533006Y2 (en) 1985-10-28 1993-08-23
JPS62129846A (en) 1985-12-02 1987-06-12 Dainippon Screen Mfg Co Ltd Method and apparatus for coating photoresist
JPH0621769B2 (en) * 1985-12-13 1994-03-23 大日本スクリ−ン製造株式会社 Pattern defect detection method and device
JPS62247085A (en) 1986-04-17 1987-10-28 Dainippon Screen Mfg Co Ltd Processing of thin metallic plate by photoetching
US4724621A (en) 1986-04-17 1988-02-16 Varian Associates, Inc. Wafer processing chuck using slanted clamping pins
JPH0621346B2 (en) 1986-06-11 1994-03-23 日本鉱業株式会社 Method for manufacturing high-purity metal tantalum target
FR2600747B1 (en) * 1986-06-30 1988-12-30 Inst Francais Du Petrole FLEXIBLE TUBE, ESPECIALLY FOR THE TRANSPORT OF HEAT-CONTAINING OR REFRIGERANT FLUIDS
JPS6314434A (en) 1986-07-04 1988-01-21 Dainippon Screen Mfg Co Ltd Substrate surface processing and equipment therefor
US4788994A (en) 1986-08-13 1988-12-06 Dainippon Screen Mfg. Co. Wafer holding mechanism
US4728252A (en) 1986-08-22 1988-03-01 Lam Research Corporation Wafer transport mechanism
JPS6377569A (en) 1986-09-19 1988-04-07 Dainippon Screen Mfg Co Ltd Rotary type surface treatment device for substrate
US4846623A (en) 1986-10-08 1989-07-11 Dainippon Screen Mfg. Co., Ltd. Wafer transferring device
JPS6398645A (en) 1986-10-16 1988-04-30 Dainippon Screen Mfg Co Ltd Positioning and holding device for photosensitive material
JPS63133545A (en) 1986-11-25 1988-06-06 Dainippon Screen Mfg Co Ltd Substrate transferring transporting device for thermal treatment equipment
US4778332A (en) 1987-02-09 1988-10-18 The Perkin-Elmer Corporation Wafer flip apparatus
DE3876241D1 (en) 1987-03-31 1993-01-07 Siemens Ag INDUSTRIAL ROBOT.
DE3712281A1 (en) 1987-04-10 1988-10-27 Heraeus Gmbh W C METHOD FOR PRODUCING HIGHLY DUCTILE TANTALE SEMI-FINISHED PRODUCTS
JPS63271931A (en) 1987-04-28 1988-11-09 Tokyo Electron Ltd Development device
US4897015A (en) 1987-05-15 1990-01-30 Ade Corporation Rotary to linear motion robot arm
JPS63191348U (en) 1987-05-27 1988-12-09
JPH0333058Y2 (en) 1987-06-26 1991-07-12
JPS6411777A (en) 1987-07-07 1989-01-17 Mitsubishi Electric Corp Multi-joint robot
JPH0350509Y2 (en) 1987-07-13 1991-10-29
JPS6419351A (en) * 1987-07-15 1989-01-23 Dainippon Screen Mfg Method for controlling dry part temperature of photosensitive material processor
US4984572A (en) * 1988-08-18 1991-01-15 Leonard Bloom Hemodynamically responsive system for and method of treating a malfunctioning heart
JPH0617295Y2 (en) 1987-11-27 1994-05-02 大日本スクリーン製造株式会社 Substrate transfer device
JPH0623935B2 (en) 1988-02-09 1994-03-30 大日本スクリーン製造株式会社 Heat treatment control method with improved reproducibility
KR970006206B1 (en) 1988-02-10 1997-04-24 도오교오 에레구토론 가부시끼가이샤 Automatic coating system
KR970003907B1 (en) 1988-02-12 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 Resist process system and resist processing method
KR970011644B1 (en) * 1988-04-08 1997-07-12 고다까 토시오 Coating device
US4900214A (en) 1988-05-25 1990-02-13 American Telephone And Telegraph Company Method and apparatus for transporting semiconductor wafers
US5002008A (en) 1988-05-27 1991-03-26 Tokyo Electron Limited Coating apparatus and method for applying a liquid to a semiconductor wafer, including selecting a nozzle in a stand-by state
JP2846891B2 (en) 1988-06-03 1999-01-13 東京エレクトロン株式会社 Processing equipment
JPH06103687B2 (en) 1988-08-12 1994-12-14 大日本スクリーン製造株式会社 Rotational surface treatment method, treatment end point detection method in rotation type surface treatment, and rotation type surface treatment device
JPH069501Y2 (en) 1988-09-27 1994-03-09 大日本スクリーン製造株式会社 Substrate rotary dryer
JPH02137852A (en) 1988-11-18 1990-05-28 Dainippon Screen Mfg Co Ltd Development end point detecting method for photoresist
US5061144A (en) 1988-11-30 1991-10-29 Tokyo Electron Limited Resist process apparatus
USRE34428E (en) 1988-12-02 1993-11-02 John Fluke Mfg. Co., Inc. Analog-to-digital converter with offset voltage polarity inversion
US5177563A (en) 1989-02-01 1993-01-05 Texas A&M University System Method and apparatus for locating physical objects
US5070813A (en) 1989-02-10 1991-12-10 Tokyo Electron Limited Coating apparatus
JP2507583B2 (en) * 1989-03-01 1996-06-12 三菱電機株式会社 Clean robot
CA2010511A1 (en) 1989-03-01 1990-09-01 Roberto L. Ceriani Method of enhancing cancer therapy by administration of unsaturated fatty acids
US5174855A (en) 1989-04-28 1992-12-29 Dainippon Screen Mfg. Co. Ltd. Surface treating apparatus and method using vapor
JPH069195B2 (en) 1989-05-06 1994-02-02 大日本スクリーン製造株式会社 Substrate surface treatment method
US5127362A (en) 1989-05-22 1992-07-07 Tokyo Electron Limited Liquid coating device
JPH0628223Y2 (en) 1989-06-14 1994-08-03 大日本スクリーン製造株式会社 Spin coating device
US5151871A (en) 1989-06-16 1992-09-29 Tokyo Electron Limited Method for heat-processing semiconductor device and apparatus for the same
US5254367A (en) 1989-07-06 1993-10-19 Tokyo Electron Limited Coating method and apparatus
US5150452A (en) 1989-07-28 1992-09-22 Megamation Incorporated Method and apparatus for anti-collision and collision protection for multiple robot system
JPH03136232A (en) 1989-08-31 1991-06-11 Dainippon Screen Mfg Co Ltd Substrate surface treating device
JPH0734426Y2 (en) 1989-11-27 1995-08-02 大日本スクリーン製造株式会社 Photosensitive material detector
US5197846A (en) 1989-12-22 1993-03-30 Hitachi, Ltd. Six-degree-of-freedom articulated robot mechanism and assembling and working apparatus using same
US5169408A (en) 1990-01-26 1992-12-08 Fsi International, Inc. Apparatus for wafer processing with in situ rinse
CH680275A5 (en) 1990-03-05 1992-07-31 Tet Techno Investment Trust
JP2808826B2 (en) 1990-05-25 1998-10-08 松下電器産業株式会社 Substrate transfer device
JP2704309B2 (en) 1990-06-12 1998-01-26 大日本スクリーン製造株式会社 Substrate processing apparatus and substrate heat treatment method
US5234499A (en) 1990-06-26 1993-08-10 Dainippon Screen Mgf. Co., Ltd. Spin coating apparatus
DE69113553T2 (en) 1990-07-23 1996-06-20 Dainippon Screen Mfg Interface device for transporting substrates between processing devices.
US5436848A (en) 1990-09-03 1995-07-25 Dainippon Screen Mfg. Co., Ltd. Method of and device for transporting semiconductor substrate in semiconductor processing system
JP2843134B2 (en) 1990-09-07 1999-01-06 東京エレクトロン株式会社 Coating device and coating method
US5416047A (en) 1990-09-07 1995-05-16 Tokyo Electron Limited Method for applying process solution to substrates
JP2892476B2 (en) 1990-09-14 1999-05-17 東京エレクトロン株式会社 Band-shaped liquid nozzle, liquid processing apparatus and liquid processing method
US5201653A (en) 1990-10-02 1993-04-13 Dainippon Screen Mfg. Co., Ltd. Substrate heat-treating apparatus
DK0482479T3 (en) 1990-10-23 1998-12-07 Dainippon Screen Mfg Method and apparatus for processing photosensitive material
JP2769645B2 (en) 1990-11-27 1998-06-25 大日本スクリーン製造株式会社 Sensitive material processing equipment
KR100230753B1 (en) 1991-01-23 1999-11-15 도꾜 일렉트론 큐슈리미티드 Liquid coating system
JPH081922B2 (en) 1991-01-25 1996-01-10 株式会社東芝 Wafer-holding device
JP2835890B2 (en) * 1991-09-17 1998-12-14 東京エレクトロン株式会社 Processing equipment
USD341418S (en) 1991-02-22 1993-11-16 Tokyo Electron Limited Supply nozzle for applying liquid resist to a semiconductor wafer
JP3241058B2 (en) 1991-03-28 2001-12-25 大日本スクリーン製造株式会社 Rotary coating device and rotary coating method
TW204411B (en) 1991-06-05 1993-04-21 Tokyo Electron Co Ltd
JPH053151A (en) 1991-06-18 1993-01-08 Hitachi Ltd Resist removing device
US5197856A (en) * 1991-06-24 1993-03-30 General Electric Company Compressor stator
JPH058194A (en) * 1991-07-02 1993-01-19 Sony Corp Structure of dust collector in orthogonal robot
US5580607A (en) 1991-07-26 1996-12-03 Tokyo Electron Limited Coating apparatus and method
USD341428S (en) 1991-07-29 1993-11-16 Zeus Scientific, Inc. Multi channel pipette work station
US5536918A (en) 1991-08-16 1996-07-16 Tokyo Electron Sagami Kabushiki Kaisha Heat treatment apparatus utilizing flat heating elements for treating semiconductor wafers
US5513946A (en) * 1991-08-27 1996-05-07 Canon Kabushiki Kaisha Clean robot
JPH0553634A (en) 1991-08-29 1993-03-05 Matsushita Electric Ind Co Ltd Multi-arm interference evading system
US5312487A (en) 1991-09-20 1994-05-17 Tokyo Electron Kabushiki Kaisha Coating apparatus
JPH0590238A (en) 1991-09-27 1993-04-09 Dainippon Screen Mfg Co Ltd Substrate rotary holding jig of pivoted substrate treating device
JP3209426B2 (en) 1991-10-04 2001-09-17 シーエフエムティ インコーポレイテッド Cleaning microparts with complex shapes
JP2639771B2 (en) 1991-11-14 1997-08-13 大日本スクリーン製造株式会社 Substrate cleaning / drying processing method and processing apparatus
JP2622046B2 (en) 1991-11-26 1997-06-18 大日本スクリーン製造株式会社 Substrate transfer device
US5275658A (en) 1991-12-13 1994-01-04 Tokyo Electron Limited Liquid supply apparatus
JP2760918B2 (en) 1992-02-03 1998-06-04 大日本スクリーン製造株式会社 Notched wafer position detector
JP2972970B2 (en) 1992-04-24 1999-11-08 東京エレクトロン株式会社 Processing equipment
US5788865A (en) 1992-10-14 1998-08-04 Herbert F. Boeckman, II Process for separating a hydrophobic liquid from a liquid contaminated therewith
JP2906006B2 (en) 1992-10-15 1999-06-14 東京エレクトロン株式会社 Processing method and apparatus
EP0597637B1 (en) * 1992-11-12 2000-08-23 Applied Materials, Inc. System and method for automated positioning of a substrate in a processing chamber
JPH06177012A (en) * 1992-12-03 1994-06-24 Nikon Corp Alignment device
KR970011065B1 (en) 1992-12-21 1997-07-05 다이닛뽕 스크린 세이조오 가부시키가이샤 Board changing apparatus and method in board handling system
JP2870719B2 (en) 1993-01-29 1999-03-17 東京エレクトロン株式会社 Processing equipment
JPH06244095A (en) 1993-02-12 1994-09-02 Dainippon Screen Mfg Co Ltd Substrate cooling device
US5485644A (en) * 1993-03-18 1996-01-23 Dainippon Screen Mfg. Co., Ltd. Substrate treating apparatus
SG130022A1 (en) 1993-03-25 2007-03-20 Tokyo Electron Ltd Method of forming coating film and apparatus therefor
JP2907676B2 (en) 1993-03-30 1999-06-21 大日本スクリーン製造株式会社 Processing liquid supply device for rotary substrate processing equipment
JP3347814B2 (en) 1993-05-17 2002-11-20 大日本スクリーン製造株式会社 Substrate cleaning / drying processing method and processing apparatus
TW268905B (en) 1993-05-20 1996-01-21 Tokyo Electron Co Ltd
JP2890087B2 (en) 1993-06-10 1999-05-10 東京エレクトロン株式会社 Processing equipment
DE69402918T2 (en) 1993-07-15 1997-08-14 Applied Materials Inc Substrate catcher and ceramic sheet for semiconductor processing equipment
US5443348A (en) 1993-07-16 1995-08-22 Semiconductor Systems, Inc. Cassette input/output unit for semiconductor processing system
US5766824A (en) 1993-07-16 1998-06-16 Semiconductor Systems, Inc. Method and apparatus for curing photoresist
EP0634783B1 (en) 1993-07-16 1997-08-06 Semiconductor Systems, Inc. Thermal process module for substrate coat/develop system
DE634699T1 (en) * 1993-07-16 1996-02-15 Semiconductor Systems Inc Grouped photolithographic system.
US5427820A (en) 1993-07-16 1995-06-27 Semiconductor Systems, Inc. Thermal control line for delivering liquid to a point of use in a photolithography system
JP3142195B2 (en) 1993-07-20 2001-03-07 大日本スクリーン製造株式会社 Chemical supply device
JP2674474B2 (en) 1993-07-29 1997-11-12 日本電気株式会社 Vapor growth method for strained quantum well semiconductor lasers
US5608943A (en) * 1993-08-23 1997-03-11 Tokyo Electron Limited Apparatus for removing process liquid
US5342068A (en) 1993-08-26 1994-08-30 Texas Instruments Incorporated Laminar flow vacuum chuck
US5418382A (en) 1993-09-23 1995-05-23 Fsi International, Inc. Substrate location and detection apparatus
JPH0727150U (en) * 1993-10-07 1995-05-19 大日本スクリーン製造株式会社 Silica-based coating liquid ejector
JPH07115058A (en) 1993-10-18 1995-05-02 Dainippon Screen Mfg Co Ltd Board cooling device
JP2845738B2 (en) * 1993-10-28 1999-01-13 大日本スクリーン製造株式会社 Substrate rotation holder for rotary substrate processing equipment
US5415890A (en) 1994-01-03 1995-05-16 Eaton Corporation Modular apparatus and method for surface treatment of parts with liquid baths
JPH07230173A (en) 1994-02-17 1995-08-29 Dainippon Screen Mfg Co Ltd Developing method and device
JPH07245285A (en) 1994-03-03 1995-09-19 Dainippon Screen Mfg Co Ltd Board processor
US5626913A (en) 1994-03-09 1997-05-06 Tokyo Electron Limited Resist processing method and apparatus
US5634377A (en) 1994-03-09 1997-06-03 Sony Corporation Articulated robot
US5431700A (en) 1994-03-30 1995-07-11 Fsi International, Inc. Vertical multi-process bake/chill apparatus
KR100198477B1 (en) * 1994-04-08 1999-06-15 이시다 아키라 Substrate treating device
JP2994553B2 (en) 1994-04-08 1999-12-27 大日本スクリーン製造株式会社 Substrate processing equipment
JPH07297258A (en) 1994-04-26 1995-11-10 Tokyo Electron Ltd Carrying equipment of plate body
US5934856A (en) * 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system
JP3073886B2 (en) * 1994-05-30 2000-08-07 大日本スクリーン製造株式会社 Substrate heat treatment equipment
US6124211A (en) 1994-06-14 2000-09-26 Fsi International, Inc. Cleaning method
JP3196917B2 (en) 1994-06-17 2001-08-06 大日本スクリーン製造株式会社 Substrate processing equipment
US5715173A (en) * 1994-06-27 1998-02-03 Dainippon Screen Mfg. Co., Ltd. Concentration controlling method and a substate treating apparatus utilizing same
US5688324A (en) 1994-07-15 1997-11-18 Dainippon Screen Mfg. Co., Ltd. Apparatus for coating substrate
FR2723005B1 (en) 1994-08-01 1996-09-13 Kodak Pathe LIQUID DISPENSING DEVICE BY GRAVITY AND PHOTOGRAPHIC COATING DEVICE
JP3116297B2 (en) * 1994-08-03 2000-12-11 東京エレクトロン株式会社 Processing method and processing apparatus
US5695817A (en) 1994-08-08 1997-12-09 Tokyo Electron Limited Method of forming a coating film
US5689749A (en) 1994-08-31 1997-11-18 Tokyo Electron Limited Apparatus for developing a resist-coated substrate
JP3033009B2 (en) 1994-09-09 2000-04-17 東京エレクトロン株式会社 Processing equipment
TW294821B (en) 1994-09-09 1997-01-01 Tokyo Electron Co Ltd
JP3099054B2 (en) * 1994-09-09 2000-10-16 東京エレクトロン株式会社 Coating apparatus and method
JP3122868B2 (en) 1994-09-29 2001-01-09 東京エレクトロン株式会社 Coating device
US5625433A (en) * 1994-09-29 1997-04-29 Tokyo Electron Limited Apparatus and method for developing resist coated on a substrate
US5620560A (en) * 1994-10-05 1997-04-15 Tokyo Electron Limited Method and apparatus for heat-treating substrate
JP3052116B2 (en) 1994-10-26 2000-06-12 東京エレクトロン株式会社 Heat treatment equipment
KR100370728B1 (en) 1994-10-27 2003-04-07 실리콘 밸리 그룹, 인크. Method of uniformly coating a substrate and device therefor
US5835684A (en) 1994-11-09 1998-11-10 Amada Company, Ltd. Method for planning/controlling robot motion
US6158446A (en) 1994-11-14 2000-12-12 Fsi International Ultra-low particle semiconductor cleaner
JPH08146613A (en) 1994-11-18 1996-06-07 Dainippon Screen Mfg Co Ltd Treating device for long size material
US5638687A (en) 1994-11-21 1997-06-17 Dainippon Screen Mfg. Co., Ltd. Substrate cooling method and apparatus
US6033475A (en) * 1994-12-27 2000-03-07 Tokyo Electron Limited Resist processing apparatus
US5849602A (en) 1995-01-13 1998-12-15 Tokyo Electron Limited Resist processing process
US5543022A (en) 1995-01-17 1996-08-06 Hmt Technology Corporation Disc-handling apparatus
JP3276553B2 (en) * 1995-01-19 2002-04-22 東京エレクトロン株式会社 Processing device and processing method
US5618348A (en) * 1995-01-27 1997-04-08 Dainippon Screen Mfg. Co., Ltd. Air elimination system
JPH08222616A (en) 1995-02-13 1996-08-30 Dainippon Screen Mfg Co Ltd Substrate processor
JP3350278B2 (en) 1995-03-06 2002-11-25 大日本スクリーン製造株式会社 Substrate processing equipment
TW306011B (en) * 1995-04-19 1997-05-21 Tokyo Electron Co Ltd
JPH08293534A (en) * 1995-04-20 1996-11-05 Tokyo Electron Ltd Conveying device for material to be treated
JP3401121B2 (en) 1995-04-21 2003-04-28 大日本スクリーン製造株式会社 Rotary coating device for substrates
US5853483A (en) 1995-05-02 1998-12-29 Dainippon Screen Mfg. Co., Ltd. Substrate spin treating method and apparatus
JP3028462B2 (en) 1995-05-12 2000-04-04 東京エレクトロン株式会社 Heat treatment equipment
JPH08316190A (en) 1995-05-18 1996-11-29 Dainippon Screen Mfg Co Ltd Substrate treatment apparatus
TW406216B (en) 1995-05-24 2000-09-21 Tokyo Electron Ltd Apparatus for coating resist on substrate
TW284907B (en) 1995-06-07 1996-09-01 Cauldron Lp Removal of material by polarized irradiation and back side application for radiation
US5843527A (en) 1995-06-15 1998-12-01 Dainippon Screen Mfg. Co., Ltd. Coating solution applying method and apparatus
KR100226326B1 (en) 1995-06-19 1999-10-15 이시다 아키라 Violet exposing apparatus and treating system of substrate
US5741113A (en) 1995-07-10 1998-04-21 Kensington Laboratories, Inc. Continuously rotatable multiple link robot arm mechanism
US5765444A (en) 1995-07-10 1998-06-16 Kensington Laboratories, Inc. Dual end effector, multiple link robot arm system with corner reacharound and extended reach capabilities
US6098484A (en) 1995-07-10 2000-08-08 Kensington Laboratories, Inc. High torque, low hysteresis, multiple link robot arm mechanism
JPH0945611A (en) 1995-07-27 1997-02-14 Dainippon Screen Mfg Co Ltd Spin coater of substrate
JP3069945B2 (en) 1995-07-28 2000-07-24 東京エレクトロン株式会社 Processing equipment
JP2676334B2 (en) 1995-07-31 1997-11-12 住友重機械工業株式会社 Robot arm
JP3518948B2 (en) 1995-08-24 2004-04-12 大日本スクリーン製造株式会社 Substrate rotation processing equipment
US6113702A (en) * 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
US5788868A (en) 1995-09-04 1998-08-04 Dainippon Screen Mfg. Co., Ltd. Substrate transfer method and interface apparatus
US5700046A (en) 1995-09-13 1997-12-23 Silicon Valley Group, Inc. Wafer gripper
US5733024A (en) * 1995-09-13 1998-03-31 Silicon Valley Group, Inc. Modular system
JPH0990643A (en) 1995-09-27 1997-04-04 Dainippon Screen Mfg Co Ltd Substrate treating device
JP3552178B2 (en) 1995-09-27 2004-08-11 大日本スクリーン製造株式会社 Substrate storage cassette, interface mechanism and substrate processing device
JPH09107013A (en) * 1995-10-09 1997-04-22 Dainippon Screen Mfg Co Ltd Substrate transferer
FR2739562B1 (en) 1995-10-09 1998-04-24 Moreau Defarges Alain JET INJECTION DEVICE WITHOUT NEEDLE, INCLUDING AN OVER-MOLDED CARTRIDGE
JPH09106934A (en) 1995-10-12 1997-04-22 Dainippon Screen Mfg Co Ltd Wafer developing device
JP3227642B2 (en) 1995-10-13 2001-11-12 東京エレクトロン株式会社 Coating device
US5766524A (en) 1995-10-16 1998-06-16 Governors Of The University Of Alberta Reclamation of leftover concrete
US5788773A (en) 1995-10-25 1998-08-04 Dainippon Screen Mfg. Co., Ltd. Substrate spin treating method and apparatus
US5898588A (en) * 1995-10-27 1999-04-27 Dainippon Screen Mfg. Co. Method and apparatus for controlling substrate processing apparatus
KR100315007B1 (en) 1995-11-22 2002-02-28 이시다 아키라 Substrate detection and transfer apparatus in cassette and method thereof
JP3380663B2 (en) 1995-11-27 2003-02-24 大日本スクリーン製造株式会社 Substrate processing equipment
JP3892493B2 (en) * 1995-11-29 2007-03-14 大日本スクリーン製造株式会社 Substrate processing system
US5762684A (en) 1995-11-30 1998-06-09 Dainippon Screen Mfg. Co., Ltd. Treating liquid supplying method and apparatus
TW334359B (en) * 1995-12-04 1998-06-21 Dai Nippon Scolin Seizo Kk Apparatus and method for treating substrates
JPH09162118A (en) 1995-12-11 1997-06-20 Dainippon Screen Mfg Co Ltd Deaerator of treatment liquid for substrate
TW318258B (en) 1995-12-12 1997-10-21 Tokyo Electron Co Ltd
JP3462325B2 (en) * 1995-12-21 2003-11-05 大日本スクリーン製造株式会社 Substrate processing equipment
US5665220A (en) 1995-12-26 1997-09-09 General Motors Corporation Electrolytic magnesium production process
US5704493A (en) * 1995-12-27 1998-01-06 Dainippon Screen Mfg. Co., Ltd. Substrate holder
JP3575717B2 (en) 1995-12-28 2004-10-13 大日本スクリーン製造株式会社 Substrate processing equipment
JPH09213772A (en) 1996-01-30 1997-08-15 Dainippon Screen Mfg Co Ltd Board holder
TW324834B (en) 1996-02-01 1998-01-11 Tokyo Electron Co Ltd Method for forming membrane
US6075606A (en) * 1996-02-16 2000-06-13 Doan; Trung T. Endpoint detector and method for measuring a change in wafer thickness in chemical-mechanical polishing of semiconductor wafers and other microelectronic substrates
JP3377909B2 (en) 1996-02-28 2003-02-17 大日本スクリーン製造株式会社 Substrate processing equipment
US6102164A (en) 1996-02-28 2000-08-15 Applied Materials, Inc. Multiple independent robot assembly and apparatus for processing and transferring semiconductor wafers
JP3462657B2 (en) 1996-02-29 2003-11-05 大日本スクリーン製造株式会社 Thin film forming apparatus and thin film forming method
US5656093A (en) * 1996-03-08 1997-08-12 Applied Materials, Inc. Wafer spacing mask for a substrate support chuck and method of fabricating same
JP3476305B2 (en) 1996-03-18 2003-12-10 大日本スクリーン製造株式会社 Rotary substrate processing equipment
JP3218425B2 (en) * 1996-03-25 2001-10-15 東京エレクトロン株式会社 Processing method and processing apparatus
DE19613620C2 (en) 1996-04-04 1998-04-16 Steag Micro Tech Gmbh Method and device for drying substrates
TW344097B (en) 1996-04-09 1998-11-01 Tokyo Electron Co Ltd Photoresist treating device of substrate and photoresist treating method
KR100274127B1 (en) 1996-04-23 2001-01-15 이시다 아키라 Substrate Temperature Control Method, Substrate Heat Treatment Apparatus and Substrate Support Apparatus
DE19654903C2 (en) 1996-04-24 1998-09-24 Steag Micro Tech Gmbh Device for treating substrates in a fluid container
US5761023A (en) * 1996-04-25 1998-06-02 Applied Materials, Inc. Substrate support with pressure zones having reduced contact area and temperature feedback
US5844476A (en) 1996-04-25 1998-12-01 Chen; Shou-Shan Automobile deceleration indicating device
US6176667B1 (en) * 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
JP3280883B2 (en) 1996-05-08 2002-05-13 東京エレクトロン株式会社 Development processing method and development processing apparatus
US6248398B1 (en) 1996-05-22 2001-06-19 Applied Materials, Inc. Coater having a controllable pressurized process chamber for semiconductor processing
JP3516195B2 (en) 1996-05-28 2004-04-05 東京エレクトロン株式会社 Method and apparatus for forming coating film
US5788453A (en) 1996-05-30 1998-08-04 Applied Materials, Inc. Piezoelectric wafer gripping system for robot blades
US6181336B1 (en) * 1996-05-31 2001-01-30 Silicon Graphics, Inc. Database-independent, scalable, object-oriented architecture and API for managing digital multimedia assets
JP3597639B2 (en) * 1996-06-05 2004-12-08 大日本スクリーン製造株式会社 Substrate processing apparatus and substrate processing method
US6062798A (en) 1996-06-13 2000-05-16 Brooks Automation, Inc. Multi-level substrate processing apparatus
JP3343033B2 (en) * 1996-06-28 2002-11-11 大日本スクリーン製造株式会社 Substrate processing equipment
JPH1022358A (en) 1996-06-28 1998-01-23 Dainippon Screen Mfg Co Ltd Substrate processing apparatus
US6672820B1 (en) 1996-07-15 2004-01-06 Semitool, Inc. Semiconductor processing apparatus having linear conveyer system
US6322119B1 (en) 1999-07-09 2001-11-27 Semitool, Inc. Robots for microelectronic workpiece handling
US6318951B1 (en) 1999-07-09 2001-11-20 Semitool, Inc. Robots for microelectronic workpiece handling
EP0828189B1 (en) * 1996-08-14 2004-11-10 Mitsubishi Paper Mills, Ltd. Apparatus for processing photosensitive material
EP0824224B1 (en) 1996-08-14 2002-03-06 Mitsubishi Paper Mills, Ltd. Apparatus for processing photosensitive material
JP3227595B2 (en) 1996-08-20 2001-11-12 東京エレクトロン株式会社 Development processing method and development processing apparatus
JP3442934B2 (en) * 1996-08-20 2003-09-02 大日本スクリーン製造株式会社 Substrate processing equipment
JP3245812B2 (en) 1996-08-30 2002-01-15 東京エレクトロン株式会社 Liquid treatment method and apparatus
JP3254574B2 (en) 1996-08-30 2002-02-12 東京エレクトロン株式会社 Method and apparatus for forming coating film
JP3278714B2 (en) * 1996-08-30 2002-04-30 東京エレクトロン株式会社 Coating film forming equipment
JP3245769B2 (en) 1996-08-30 2002-01-15 東京エレクトロン株式会社 Liquid treatment method and apparatus
JPH1074818A (en) 1996-09-02 1998-03-17 Tokyo Electron Ltd Treating device
US5938847A (en) 1996-09-03 1999-08-17 Tokyo Electron Limited Method and apparatus for coating a film on an object being processed
JP3779393B2 (en) 1996-09-06 2006-05-24 東京エレクトロン株式会社 Processing system
TW535216B (en) * 1996-09-13 2003-06-01 Tokyo Electron Ltd Photoresist processing method and photoresist processing system
JP3202929B2 (en) 1996-09-13 2001-08-27 東京エレクトロン株式会社 Processing system
JP3947761B2 (en) 1996-09-26 2007-07-25 株式会社日立国際電気 Substrate processing apparatus, substrate transfer machine, and substrate processing method
US6053058A (en) * 1996-09-30 2000-04-25 Dainippon Screen Mfg. Co., Ltd. Atmosphere concentration monitoring for substrate processing apparatus and life determination for atmosphere processing unit of substrate processing apparatus
KR100277522B1 (en) 1996-10-08 2001-01-15 이시다 아키라 Substrate Processing Equipment
CH697146A5 (en) 1996-10-09 2008-05-15 Tec Sem Ag Gripping device for handling wafers.
US5928389A (en) * 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
JP3420900B2 (en) 1996-10-21 2003-06-30 大日本スクリーン製造株式会社 Coating liquid application method
JP3540524B2 (en) 1996-10-28 2004-07-07 大日本スクリーン製造株式会社 Substrate processing apparatus and substrate processing method
US5756444A (en) 1996-11-01 1998-05-26 The Procter & Gamble Company Granular laundry detergent compositions which are substantially free of phosphate and aluminosilicate builders
JP3471543B2 (en) 1996-11-07 2003-12-02 大日本スクリーン製造株式会社 Rotary substrate drying equipment
JPH10144757A (en) 1996-11-08 1998-05-29 Dainippon Screen Mfg Co Ltd Substrate processing device
JPH10144599A (en) 1996-11-11 1998-05-29 Tokyo Electron Ltd Rotary treatment equipment and its washing method
US5961269A (en) 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
US5838121A (en) 1996-11-18 1998-11-17 Applied Materials, Inc. Dual blade robot
JP3315608B2 (en) 1996-11-20 2002-08-19 大日本スクリーン製造株式会社 Coating liquid application method
US6258167B1 (en) 1996-11-27 2001-07-10 Tokyo Electron Limited Process liquid film forming apparatus
JP3245813B2 (en) 1996-11-27 2002-01-15 東京エレクトロン株式会社 Coating film forming equipment
NL1004657C2 (en) * 1996-11-29 1998-06-03 Food Processing Systems Device for transferring substantially round, fragile objects, such as, for example, eggs.
JP3566475B2 (en) 1996-12-03 2004-09-15 東京エレクトロン株式会社 Processing equipment
TW382749B (en) * 1996-12-24 2000-02-21 Tokyo Electron Ltd Liquid supplying device
JP3333121B2 (en) 1996-12-25 2002-10-07 東京エレクトロン株式会社 Coating device
KR100283442B1 (en) 1996-12-26 2001-04-02 이시다 아키라 Developing apparatus and developing method
JP3490582B2 (en) * 1997-01-28 2004-01-26 大日本スクリーン製造株式会社 Substrate processing equipment
JP3429964B2 (en) * 1996-12-26 2003-07-28 大日本スクリーン製造株式会社 Substrate processing equipment
US6099643A (en) 1996-12-26 2000-08-08 Dainippon Screen Mfg. Co., Ltd. Apparatus for processing a substrate providing an efficient arrangement and atmospheric isolation of chemical treatment section
TW357389B (en) 1996-12-27 1999-05-01 Tokyo Electric Ltd Apparatus and method for supplying process solution to surface of substrate to be processed
TW464944B (en) 1997-01-16 2001-11-21 Tokyo Electron Ltd Baking apparatus and baking method
JPH10209102A (en) 1997-01-17 1998-08-07 Dainippon Screen Mfg Co Ltd Substrate processor
JP3579228B2 (en) 1997-01-24 2004-10-20 大日本スクリーン製造株式会社 Substrate processing equipment
JP3578577B2 (en) 1997-01-28 2004-10-20 大日本スクリーン製造株式会社 Processing solution supply method and apparatus
TW389949B (en) * 1997-01-30 2000-05-11 Tokyo Electron Ltd Method and apparatus for coating and development of the photo-resist solution
US6217655B1 (en) * 1997-01-31 2001-04-17 Applied Materials, Inc. Stand-off pad for supporting a wafer on a substrate support chuck
JP3559133B2 (en) * 1997-01-31 2004-08-25 大日本スクリーン製造株式会社 Heat treatment equipment and substrate processing equipment
JP3410342B2 (en) 1997-01-31 2003-05-26 東京エレクトロン株式会社 Coating device
JP3280880B2 (en) 1997-02-07 2002-05-13 東京エレクトロン株式会社 Degassing mechanism and processing apparatus using the same
JP3346716B2 (en) 1997-02-14 2002-11-18 東京エレクトロン株式会社 Substrate cooling method and substrate cooling device
US5955858A (en) 1997-02-14 1999-09-21 Applied Materials, Inc. Mechanically clamping robot wrist
JP3321540B2 (en) 1997-02-14 2002-09-03 東京エレクトロン株式会社 Deaeration mechanism, processing apparatus using the same, and deaeration method
EP0863538B1 (en) 1997-03-03 2003-05-21 Tokyo Electron Limited Coating apparatus and coating method
TW383414B (en) 1997-03-05 2000-03-01 Tokyo Electron Ltd Photoresist agent processing method and photoresist agent processing system and evaluation method and processing apparatus for photoresist agent film
WO2004075285A1 (en) 1997-03-07 2004-09-02 Takuya Shibao Substrate treating device
JP3442253B2 (en) 1997-03-13 2003-09-02 東京エレクトロン株式会社 Substrate processing equipment
JP3526184B2 (en) 1997-03-17 2004-05-10 大日本スクリーン製造株式会社 Substrate processing equipment
JP3693783B2 (en) * 1997-03-21 2005-09-07 大日本スクリーン製造株式会社 Substrate processing equipment
JP3548373B2 (en) 1997-03-24 2004-07-28 大日本スクリーン製造株式会社 Substrate processing equipment
US5944476A (en) 1997-03-26 1999-08-31 Kensington Laboratories, Inc. Unitary specimen prealigner and continuously rotatable multiple link robot arm mechanism
TW432520B (en) 1997-03-31 2001-05-01 Tokyo Electron Ltd Photoresist coating method and apparatus
US5980187A (en) 1997-04-16 1999-11-09 Kla-Tencor Corporation Mechanism for transporting semiconductor-process masks
JP3549141B2 (en) * 1997-04-21 2004-08-04 大日本スクリーン製造株式会社 Substrate processing device and substrate holding device
JP3715073B2 (en) 1997-04-22 2005-11-09 大日本スクリーン製造株式会社 Heat treatment equipment
TW419716B (en) 1997-04-28 2001-01-21 Tokyo Electron Ltd Processing apparatus
JP3612196B2 (en) 1997-04-28 2005-01-19 大日本スクリーン製造株式会社 Developing apparatus, developing method, and substrate processing apparatus
US6207231B1 (en) * 1997-05-07 2001-03-27 Tokyo Electron Limited Coating film forming method and coating apparatus
KR100265757B1 (en) 1997-05-09 2000-09-15 윤종용 Wafer status checking sensor for prevention of miss loading in wafer processing equipment
JP3917237B2 (en) 1997-05-20 2007-05-23 東京エレクトロン株式会社 Resist film forming method
JPH10335220A (en) * 1997-05-30 1998-12-18 Tokyo Electron Ltd Processing device
US6168667B1 (en) 1997-05-30 2001-01-02 Tokyo Electron Limited Resist-processing apparatus
JP3737604B2 (en) 1997-06-03 2006-01-18 大日本スクリーン製造株式会社 Substrate processing equipment
US6468353B1 (en) 1997-06-04 2002-10-22 Applied Materials, Inc. Method and apparatus for improved substrate handling
JPH113851A (en) 1997-06-11 1999-01-06 Tokyo Electron Ltd Liquid treatment device and liquid treatment method
US6073187A (en) 1997-06-20 2000-06-06 Compaq Computer Corporation Controls and indicators available to a user for a secondary operational mode of a portable computer which is open or closed state of the computer case
SG71809A1 (en) 1997-07-03 2000-04-18 Tokyo Electron Ltd Solution treatment apparatus
JPH1126550A (en) * 1997-07-04 1999-01-29 Tokyo Electron Ltd Substrate conveyer and apparatus for treating substrate, using the same
TW384505B (en) * 1997-07-04 2000-03-11 Tokyo Electron Ltd Coating device
SG135904A1 (en) 1997-07-04 2007-10-29 Tokyo Electron Ltd Process solution supplying apparatus
US5988971A (en) 1997-07-09 1999-11-23 Ade Optical Systems Corporation Wafer transfer robot
TW524873B (en) * 1997-07-11 2003-03-21 Applied Materials Inc Improved substrate supporting apparatus and processing chamber
US5869311A (en) 1997-07-17 1999-02-09 Incyte Pharmaceuticals, Inc. Mitochondrial processing peptidase subunit
JPH1133471A (en) 1997-07-23 1999-02-09 Tokyo Electron Ltd Coating apparatus
US6076979A (en) 1997-07-25 2000-06-20 Dainippon Screen Mfg. Co., Ltd. Method of and apparatus for supplying developing solution onto substrate
JP3265238B2 (en) * 1997-08-01 2002-03-11 東京エレクトロン株式会社 Liquid film forming apparatus and method
US6159291A (en) 1997-08-11 2000-12-12 Dainippon Screen Mfg. Co., Ltd. Substrate treating apparatus
TW442336B (en) 1997-08-19 2001-06-23 Tokyo Electron Ltd Film forming method
US6017437A (en) * 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
TW459266B (en) 1997-08-27 2001-10-11 Tokyo Electron Ltd Substrate processing method
JPH11129184A (en) 1997-09-01 1999-05-18 Dainippon Screen Mfg Co Ltd Substrate processing device and substrate carrying-in/ out device
US6213853B1 (en) * 1997-09-10 2001-04-10 Speedfam-Ipec Corporation Integral machine for polishing, cleaning, rinsing and drying workpieces
US6354311B1 (en) * 1997-09-10 2002-03-12 Dainippon Screen Mfg. Co., Ltd. Substrate drying apparatus and substrate processing apparatus
JP3788855B2 (en) 1997-09-11 2006-06-21 大日本スクリーン製造株式会社 Substrate processing unit and substrate processing apparatus using the same
US6155773A (en) 1997-09-22 2000-12-05 Applied Materials, Inc. Substrate clamping apparatus
US6571147B1 (en) 1997-09-22 2003-05-27 Dainippon Screen Mfg. Co., Ltd. System for and method of managing jobs
US6000227A (en) * 1997-09-24 1999-12-14 Applied Materials, Inc. Wafer cooling in a transfer chamber of a vacuum processing system
US5962070A (en) 1997-09-25 1999-10-05 Dainippon Screen Mfg. Co., Ltd. Substrate treating method and apparatus
US5903428A (en) * 1997-09-25 1999-05-11 Applied Materials, Inc. Hybrid Johnsen-Rahbek electrostatic chuck having highly resistive mesas separating the chuck from a wafer supported thereupon and method of fabricating same
JP3988805B2 (en) 1997-10-02 2007-10-10 大日本スクリーン製造株式会社 Substrate transfer method and apparatus
US6174371B1 (en) * 1997-10-06 2001-01-16 Dainippon Screen Mfg. Co., Ltd. Substrate treating method and apparatus
JP3641115B2 (en) 1997-10-08 2005-04-20 大日本スクリーン製造株式会社 Substrate processing equipment
US6260562B1 (en) 1997-10-20 2001-07-17 Dainippon Screen Mfg. Co., Ltd. Substrate cleaning apparatus and method
US6199568B1 (en) * 1997-10-20 2001-03-13 Dainippon Screen Mfg. Co., Ltd. Treating tank, and substrate treating apparatus having the treating tank
US6165273A (en) 1997-10-21 2000-12-26 Fsi International Inc. Equipment for UV wafer heating and photochemistry
US6491491B1 (en) 1997-10-30 2002-12-10 Sankyo Seiki Mfg. Co., Ltd. Articulated robot
TW418452B (en) 1997-10-31 2001-01-11 Tokyo Electron Ltd Coating process
TW392226B (en) * 1997-11-05 2000-06-01 Tokyo Electron Ltd Apparatus for processing substrate
FI980342A0 (en) * 1997-11-07 1998-02-13 Borealis As Polymerroer och -roerkopplingar
US6352083B1 (en) * 1997-11-20 2002-03-05 Dainippon Screen Mfg. Co., Ltd. Substrate treating apparatus and substrate treating method
US6116848A (en) 1997-11-26 2000-09-12 Brooks Automation, Inc. Apparatus and method for high-speed transfer and centering of wafer substrates
EP1049640A4 (en) 1997-11-28 2008-03-12 Mattson Tech Inc Systems and methods for low contamination, high throughput handling of workpieces for vacuum processing
US6257827B1 (en) * 1997-12-01 2001-07-10 Brooks Automation Inc. Apparatus and method for transporting substrates
JP3320648B2 (en) 1997-12-04 2002-09-03 東京エレクトロン株式会社 Resist film forming method and resist film forming apparatus
US6177133B1 (en) * 1997-12-10 2001-01-23 Silicon Valley Group, Inc. Method and apparatus for adaptive process control of critical dimensions during spin coating process
US6248168B1 (en) 1997-12-15 2001-06-19 Tokyo Electron Limited Spin coating apparatus including aging unit and solvent replacement unit
JP4178534B2 (en) 1997-12-24 2008-11-12 株式会社安川電機 Substrate transfer robot
US6190063B1 (en) * 1998-01-09 2001-02-20 Tokyo Electron Ltd. Developing method and apparatus
US6222161B1 (en) * 1998-01-12 2001-04-24 Tokyo Electron Limited Heat treatment apparatus
JP3329720B2 (en) 1998-01-19 2002-09-30 東京エレクトロン株式会社 Coating device
JP3323797B2 (en) 1998-01-21 2002-09-09 東京エレクトロン株式会社 Hydrophobic treatment device
US5923515A (en) 1998-01-27 1999-07-13 Lucent Technologies Inc. Battery protection fuse assembly
JP3246891B2 (en) 1998-02-03 2002-01-15 東京エレクトロン株式会社 Heat treatment equipment
JP3356676B2 (en) 1998-02-04 2002-12-16 東京エレクトロン株式会社 Development processing method and apparatus
US6291800B1 (en) * 1998-02-20 2001-09-18 Tokyo Electron Limited Heat treatment apparatus and substrate processing system
US6018616A (en) * 1998-02-23 2000-01-25 Applied Materials, Inc. Thermal cycling module and process using radiant heat
US6132165A (en) 1998-02-23 2000-10-17 Applied Materials, Inc. Single drive, dual plane robot
US6062240A (en) 1998-03-06 2000-05-16 Tokyo Electron Limited Treatment device
JPH11260707A (en) 1998-03-09 1999-09-24 Tokyo Electron Ltd Method and apparatus for development
US6359264B1 (en) * 1998-03-11 2002-03-19 Applied Materials, Inc. Thermal cycling module
US6368776B1 (en) * 1998-03-18 2002-04-09 Tokyo Electron Limited Treatment apparatus and treatment method
JPH11274024A (en) * 1998-03-18 1999-10-08 Tokyo Electron Ltd Method and device for supplying treatment liquid
US6261365B1 (en) 1998-03-20 2001-07-17 Tokyo Electron Limited Heat treatment method, heat treatment apparatus and treatment system
US6221787B1 (en) * 1998-04-20 2001-04-24 Tokyo Electron Limited Apparatus and method of forming resist film
US6108932A (en) 1998-05-05 2000-08-29 Steag Microtech Gmbh Method and apparatus for thermocapillary drying
US6336204B1 (en) * 1998-05-07 2002-01-01 Applied Materials, Inc. Method and apparatus for handling deadlocks in multiple chamber cluster tools
TW410415B (en) 1998-05-15 2000-11-01 Tokyo Electron Ltd Substrate carrying equipment and substrate processing equipment
JP3381776B2 (en) * 1998-05-19 2003-03-04 東京エレクトロン株式会社 Processing device and processing method
US6635113B2 (en) 1998-05-19 2003-10-21 Tokyo Electron Limited Coating apparatus and coating method
US6266125B1 (en) 1998-05-25 2001-07-24 Tokyo Electron Limited Resist processing method and apparatus
JPH11340119A (en) 1998-05-26 1999-12-10 Tokyo Electron Ltd Method and device for development processing
US5989763A (en) * 1998-05-28 1999-11-23 National Semicondustor Corporation Chemical gas analysis during processing of chemically amplified photoresist systems
US6109677A (en) 1998-05-28 2000-08-29 Sez North America, Inc. Apparatus for handling and transporting plate like substrates
JP3364155B2 (en) 1998-06-05 2003-01-08 東京エレクトロン株式会社 Coating film forming apparatus and method
US6183147B1 (en) * 1998-06-15 2001-02-06 Tokyo Electron Limited Process solution supply system, substrate processing apparatus employing the system, and intermediate storage mechanism employed in the system
US6142722A (en) 1998-06-17 2000-11-07 Genmark Automation, Inc. Automated opening and closing of ultra clean storage containers
US6416583B1 (en) 1998-06-19 2002-07-09 Tokyo Electron Limited Film forming apparatus and film forming method
JP3445937B2 (en) 1998-06-24 2003-09-16 東京エレクトロン株式会社 Multi-stage spin type substrate processing system
JP3333135B2 (en) 1998-06-25 2002-10-07 東京エレクトロン株式会社 Heat treatment apparatus and heat treatment method
JP3461725B2 (en) * 1998-06-26 2003-10-27 東京エレクトロン株式会社 Treatment liquid supply device and treatment liquid supply method
US6318957B1 (en) 1998-07-10 2001-11-20 Asm America, Inc. Method for handling of wafers with minimal contact
US6167322A (en) 1998-07-10 2000-12-26 Holbrooks; Orville Ray Intelligent wafer handling system and method
US6450755B1 (en) 1998-07-10 2002-09-17 Equipe Technologies Dual arm substrate handling robot with a batch loader
US6246030B1 (en) 1998-07-22 2001-06-12 Tokyo Electron Limited Heat processing method and apparatus
TW428216B (en) 1998-07-29 2001-04-01 Tokyo Electron Ltd Substrate process method and substrate process apparatus
US6361600B1 (en) * 1998-08-04 2002-03-26 Tokyo Electron Limited Film forming apparatus and film forming method
JP2000056474A (en) 1998-08-05 2000-02-25 Tokyo Electron Ltd Method for treating substrate
KR100535714B1 (en) 1998-08-10 2005-12-09 동경 엘렉트론 주식회사 Substrate process apparatus
KR100537040B1 (en) 1998-08-19 2005-12-16 동경 엘렉트론 주식회사 Developing apparatus
JP3574570B2 (en) * 1998-08-20 2004-10-06 東京応化工業株式会社 Processing unit
JP3453069B2 (en) 1998-08-20 2003-10-06 東京エレクトロン株式会社 Substrate temperature controller
WO2000014772A1 (en) 1998-09-02 2000-03-16 Tec-Sem Ag Device and method for handling individual wafers
US6402844B1 (en) 1998-09-08 2002-06-11 Tokyo Electron Limited Substrate processing method and substrate processing unit
US6185370B1 (en) * 1998-09-09 2001-02-06 Tokyo Electron Limited Heating apparatus for heating an object to be processed
US6254936B1 (en) 1998-09-14 2001-07-03 Silicon Valley Group, Inc. Environment exchange control for material on a wafer surface
US6780461B2 (en) 1998-09-14 2004-08-24 Asml Holding N.V. Environment exchange control for material on a wafer surface
US6203969B1 (en) * 1998-09-14 2001-03-20 Tokyo Electron Limited Resist processing apparatus which measures temperature of heat-sensing substrate and measuring method therein
US6689215B2 (en) * 1998-09-17 2004-02-10 Asml Holdings, N.V. Method and apparatus for mitigating cross-contamination between liquid dispensing jets in close proximity to a surface
JP3442669B2 (en) 1998-10-20 2003-09-02 東京エレクトロン株式会社 Substrate processing equipment
US6235641B1 (en) 1998-10-30 2001-05-22 Fsi International Inc. Method and system to control the concentration of dissolved gas in a liquid
JP2000141270A (en) 1998-11-06 2000-05-23 Matsushita Electric Ind Co Ltd Articulated robot
JP3458063B2 (en) 1998-11-20 2003-10-20 東京エレクトロン株式会社 Coating device and coating method
US6302960B1 (en) 1998-11-23 2001-10-16 Applied Materials, Inc. Photoresist coater
US6348113B1 (en) 1998-11-25 2002-02-19 Cabot Corporation High purity tantalum, products containing the same, and methods of making the same
US6329692B1 (en) 1998-11-30 2001-12-11 Motorola Inc. Circuit and method for reducing parasitic bipolar effects during eletrostatic discharges
JP3800282B2 (en) 1998-11-30 2006-07-26 大日本スクリーン製造株式会社 Coating liquid application method
US6453214B1 (en) 1998-12-02 2002-09-17 Newport Corporation Method of using a specimen sensing end effector to align a robot arm with a specimen stored on or in a container
US6256555B1 (en) 1998-12-02 2001-07-03 Newport Corporation Robot arm with specimen edge gripping end effector
US6454332B1 (en) 1998-12-04 2002-09-24 Applied Materials, Inc. Apparatus and methods for handling a substrate
JP3041613B1 (en) 1998-12-21 2000-05-15 セイコーインスツルメンツ株式会社 Line printer device
US6533531B1 (en) 1998-12-29 2003-03-18 Asml Us, Inc. Device for handling wafers in microelectronic manufacturing
US6616394B1 (en) 1998-12-30 2003-09-09 Silicon Valley Group Apparatus for processing wafers
US6768930B2 (en) 1998-12-31 2004-07-27 Asml Holding N.V. Method and apparatus for resolving conflicts in a substrate processing system
US6418356B1 (en) 1998-12-31 2002-07-09 Silicon Valley Group, Inc. Method and apparatus for resolving conflicts in a substrate processing system
US6678572B1 (en) * 1998-12-31 2004-01-13 Asml Holdings, N.V. Recipe cascading in a wafer processing system
US6087632A (en) 1999-01-11 2000-07-11 Tokyo Electron Limited Heat processing device with hot plate and associated reflector
US6228171B1 (en) 1999-01-29 2001-05-08 Tokyo Electron Ltd. Heat processing apparatus
JP3455458B2 (en) 1999-02-01 2003-10-14 東京エレクトロン株式会社 Coating and developing apparatus and substrate recycling system in coating and developing processing
US6410194B1 (en) 1999-02-04 2002-06-25 Tokyo Electron Limited Resist film forming method and resist coating apparatus
US6427096B1 (en) 1999-02-12 2002-07-30 Honeywell International Inc. Processing tool interface apparatus for use in manufacturing environment
KR100593627B1 (en) 1999-02-16 2006-06-28 동경 엘렉트론 주식회사 Processing apparatus, processing system, discrimination method and detection method
US6169274B1 (en) * 1999-03-01 2001-01-02 Tokyo Electron Ltd. Heat treatment apparatus and method, detecting temperatures at plural positions each different in depth in holding plate, and estimating temperature of surface of plate corresponding to detected result
JP2000260858A (en) 1999-03-12 2000-09-22 Sumitomo Heavy Ind Ltd Wafer transfer hand and wafer transfer method using the same
US6398429B1 (en) 1999-03-15 2002-06-04 Tokyo Electron Limited Developing method and developing apparatus
US6322312B1 (en) 1999-03-18 2001-11-27 Applied Materials, Inc. Mechanical gripper for wafer handling robots
US6610150B1 (en) * 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
JP3393082B2 (en) 1999-04-02 2003-04-07 東京エレクトロン株式会社 Developing method and developing device
KR100585448B1 (en) * 1999-04-08 2006-06-02 동경 엘렉트론 주식회사 Film forming method and film forming apparatus
KR100604024B1 (en) 1999-04-19 2006-07-24 동경 엘렉트론 주식회사 Coating film forming method and coating apparatus
US6575177B1 (en) 1999-04-27 2003-06-10 Applied Materials Inc. Semiconductor substrate cleaning system
JP4021118B2 (en) 1999-04-28 2007-12-12 東京エレクトロン株式会社 Substrate processing equipment
JP3587723B2 (en) 1999-04-30 2004-11-10 東京エレクトロン株式会社 Substrate processing apparatus and substrate processing method
US6499777B1 (en) * 1999-05-11 2002-12-31 Matrix Integrated Systems, Inc. End-effector with integrated cooling mechanism
US6191394B1 (en) * 1999-05-19 2001-02-20 Tokyo Electron Ltd. Heat treating apparatus
JP3616275B2 (en) 1999-05-31 2005-02-02 東京エレクトロン株式会社 Liquid treatment apparatus, treatment liquid supply nozzle used therefor, and liquid treatment method
TW505822B (en) 1999-06-09 2002-10-11 Tokyo Electron Ltd Developing method and developing apparatus
US6656281B1 (en) 1999-06-09 2003-12-02 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US6464789B1 (en) 1999-06-11 2002-10-15 Tokyo Electron Limited Substrate processing apparatus
US6293713B1 (en) 1999-07-02 2001-09-25 Tokyo Electron Limited Substrate processing apparatus
JP3957445B2 (en) * 1999-07-02 2007-08-15 東京エレクトロン株式会社 Substrate processing apparatus and substrate processing method
US6238109B1 (en) 1999-07-02 2001-05-29 Tokyo Electron Limited Processing solution supply apparatus
US6166509A (en) 1999-07-07 2000-12-26 Applied Materials, Inc. Detection system for substrate clamp
US6251195B1 (en) 1999-07-12 2001-06-26 Fsi International, Inc. Method for transferring a microelectronic device to and from a processing chamber
KR100704749B1 (en) 1999-07-19 2007-04-09 동경 엘렉트론 주식회사 Substrate processing apparatus and substrate processing method
KR100629746B1 (en) 1999-07-28 2006-09-28 동경 엘렉트론 주식회사 Developing apparatus and method thereof
KR100597287B1 (en) 1999-07-28 2006-07-04 동경 엘렉트론 주식회사 Substrate processing apparatus and method
US6716478B2 (en) 1999-08-04 2004-04-06 Tokyo Electron Limited Coating film forming apparatus and coating film forming method
US6383948B1 (en) 1999-12-20 2002-05-07 Tokyo Electron Limited Coating film forming apparatus and coating film forming method
US6450805B1 (en) 1999-08-11 2002-09-17 Tokyo Electron Limited Hot plate cooling method and heat processing apparatus
US6474986B2 (en) 1999-08-11 2002-11-05 Tokyo Electron Limited Hot plate cooling method and heat processing apparatus
US6312171B1 (en) 1999-08-12 2001-11-06 Tokyo Electron Limited Developing apparatus and method thereof
TW480584B (en) 1999-08-17 2002-03-21 Tokyo Electron Ltd Solution processing apparatus and method
US6313441B1 (en) 1999-08-18 2001-11-06 Applied Materials, Inc. Control system and method for providing variable ramp rate operation of a thermal cycling system
JP2001060542A (en) 1999-08-19 2001-03-06 Tokyo Electron Ltd Method for forming resist pattern
US6196532B1 (en) 1999-08-27 2001-03-06 Applied Materials, Inc. 3 point vacuum chuck with non-resilient support members
US6536964B1 (en) 1999-09-03 2003-03-25 Tokyo Electron Limited Substrate processing system and substrate processing method
KR100700764B1 (en) 1999-09-03 2007-03-27 동경 엘렉트론 주식회사 Substrate processing apparatus and substrate processing method
US6707544B1 (en) * 1999-09-07 2004-03-16 Applied Materials, Inc. Particle detection and embedded vision system to enhance substrate yield and throughput
TW476983B (en) 1999-09-30 2002-02-21 Tokyo Electron Ltd Heat treatment unit and heat treatment method
US6514343B1 (en) * 1999-10-01 2003-02-04 Tokyo Electron Limited Coating apparatus
JP3635217B2 (en) * 1999-10-05 2005-04-06 東京エレクトロン株式会社 Liquid processing apparatus and method
US6402400B1 (en) 1999-10-06 2002-06-11 Tokyo Electron Limited Substrate processing apparatus
JP2001110793A (en) 1999-10-12 2001-04-20 Dainippon Screen Mfg Co Ltd Heat treatment device and substrate treatment device
US6527860B1 (en) 1999-10-19 2003-03-04 Tokyo Electron Limited Substrate processing apparatus
US6402401B1 (en) 1999-10-19 2002-06-11 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US6364547B1 (en) * 1999-10-25 2002-04-02 Tokyo Electron Limited Solution processing apparatus
US6431769B1 (en) 1999-10-25 2002-08-13 Tokyo Electron Limited Substrate processing system and substrate processing method
TW471015B (en) 1999-10-26 2002-01-01 Tokyo Electron Ltd Solution processing apparatus
US6278089B1 (en) 1999-11-02 2001-08-21 Applied Materials, Inc. Heater for use in substrate processing
KR100616293B1 (en) * 1999-11-11 2006-08-28 동경 엘렉트론 주식회사 Substrate processing apparatus and substrate processing method
KR100583134B1 (en) 1999-11-16 2006-05-24 동경 엘렉트론 주식회사 Substrate Processing Unit and Processing Method
JP4090648B2 (en) 1999-11-18 2008-05-28 東京エレクトロン株式会社 Film forming method and film forming apparatus
KR100728244B1 (en) 1999-11-18 2007-06-13 동경 엘렉트론 주식회사 Silylation treatment unit and method
TW518639B (en) * 1999-11-18 2003-01-21 Tokyo Electron Ltd Heat treatment device, cooling treatment device and cooling treatment method
US6597179B2 (en) 1999-11-19 2003-07-22 Gelcore, Llc Method and device for remote monitoring of LED lamps
JP3306398B2 (en) 1999-11-29 2002-07-24 大日本スクリーン製造株式会社 Substrate transfer device and transfer teaching system
US6402508B2 (en) 1999-12-09 2002-06-11 Tokyo Electron Limited Heat and cooling treatment apparatus and substrate processing system
US6695922B2 (en) * 1999-12-15 2004-02-24 Tokyo Electron Limited Film forming unit
US6514344B2 (en) * 1999-12-16 2003-02-04 Tokyo Electron Limited Film forming unit
US6676757B2 (en) * 1999-12-17 2004-01-13 Tokyo Electron Limited Coating film forming apparatus and coating unit
KR20010062439A (en) 1999-12-17 2001-07-07 히가시 데쓰로 Coating film and forming apparatus
US6616760B2 (en) 1999-12-17 2003-09-09 Tokyo Electron Limited Film forming unit
WO2001048800A1 (en) 1999-12-24 2001-07-05 Ebara Corporation Semiconductor wafer processing apparatus and processing method
US20020152955A1 (en) 1999-12-30 2002-10-24 Yezdi Dordi Apparatus and method for depositing an electroless solution
US6384894B2 (en) 2000-01-21 2002-05-07 Tokyo Electron Limited Developing method and developing unit
GB0002669D0 (en) 2000-02-04 2000-03-29 Applied Materials Inc A method and apparatus for implanting semiconductor wafer substrates
JP3437812B2 (en) 2000-02-07 2003-08-18 タツモ株式会社 Substrate transfer device
EP1124252A2 (en) * 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
JP2001230191A (en) 2000-02-18 2001-08-24 Tokyo Electron Ltd Method and apparatus for supplying treatment liquid
JP3842512B2 (en) 2000-02-24 2006-11-08 オムロン株式会社 Fluid heating device
KR100462237B1 (en) 2000-02-28 2004-12-17 주성엔지니어링(주) Cluster tool for semiconductor device fabrication having a substrate cooling apparatus
US6401353B2 (en) 2000-03-08 2002-06-11 Dainippon Screen Mfg. Co., Ltd. Substrate dryer
JP4054159B2 (en) 2000-03-08 2008-02-27 東京エレクトロン株式会社 Substrate processing method and apparatus
JP2001257144A (en) 2000-03-09 2001-09-21 Tokyo Electron Ltd Heat treatment apparatus for substrate
US6634806B2 (en) 2000-03-13 2003-10-21 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
US6327793B1 (en) 2000-03-20 2001-12-11 Silicon Valley Group Method for two dimensional adaptive process control of critical dimensions during spin coating process
JP3706294B2 (en) 2000-03-27 2005-10-12 東京エレクトロン株式会社 Treatment liquid supply apparatus and treatment liquid supply method
SG105487A1 (en) 2000-03-30 2004-08-27 Tokyo Electron Ltd Substrate processing apparatus and substrate processing method
AU2001247687A1 (en) 2000-03-30 2001-10-15 Tokyo Electron Limited Dry silylation plasma etch process
JP2001291655A (en) 2000-04-07 2001-10-19 Tokyo Electron Ltd Method for evaluating hydrophobic treatment, method for forming resist pattern, and formation system for the resist pattern
JP3792986B2 (en) 2000-04-11 2006-07-05 東京エレクトロン株式会社 Film forming method and film forming apparatus
JP3519669B2 (en) 2000-04-25 2004-04-19 東京エレクトロン株式会社 Development processing method and development processing apparatus
TW593376B (en) 2000-04-27 2004-06-21 Shinetsu Chemical Co Polymer, chemically amplified resist composition and patterning process
US6689519B2 (en) * 2000-05-04 2004-02-10 Kla-Tencor Technologies Corp. Methods and systems for lithography process control
US6572205B2 (en) 2000-05-09 2003-06-03 Sony Computer Entertainment Inc. Electronic device cabinet and electronic device
JP3545676B2 (en) 2000-05-10 2004-07-21 東京エレクトロン株式会社 Development processing apparatus and development processing method
JP3648129B2 (en) * 2000-05-10 2005-05-18 東京エレクトロン株式会社 Coating development processing method and coating development processing system
US6579370B2 (en) 2000-05-16 2003-06-17 Tokyo Electron Limited Apparatus and method for coating treatment
JP2001345241A (en) * 2000-05-31 2001-12-14 Tokyo Electron Ltd System and method for treating substrate
JP2001351848A (en) * 2000-06-07 2001-12-21 Tokyo Electron Ltd Substrate treatment system and substrate treatment method
US6706321B2 (en) 2000-06-13 2004-03-16 Tokyo Electron Limited Developing treatment method and developing treatment unit
JP2001358197A (en) 2000-06-16 2001-12-26 Tokyo Electron Ltd Substrate-processing apparatus
JP3585217B2 (en) 2000-07-03 2004-11-04 東京エレクトロン株式会社 Substrate processing equipment
JP3581303B2 (en) 2000-07-31 2004-10-27 東京エレクトロン株式会社 Discrimination method and processing device
JP2002134402A (en) * 2000-08-15 2002-05-10 Tokyo Electron Ltd Substrate processing method and device thereof
US6460805B1 (en) 2000-08-24 2002-10-08 Msa Aircraft Products, Ltd. Double convex aircraft window
CN100398272C (en) 2000-09-01 2008-07-02 阿赛斯特技术公司 Edge grip aligner with buffering capabilities
JP4004248B2 (en) 2000-09-01 2007-11-07 大日本スクリーン製造株式会社 Substrate processing apparatus and substrate inspection method
US6379056B1 (en) * 2000-09-12 2002-04-30 Tokyo Electron Limited Substrate processing apparatus
JP3837016B2 (en) 2000-09-28 2006-10-25 大日本スクリーン製造株式会社 Substrate processing method and substrate processing apparatus
DE10049845A1 (en) * 2000-10-09 2002-04-11 Philips Corp Intellectual Pty Multiband microwave aerial with substrate with one or more conductive track structures
JP3587776B2 (en) 2000-10-10 2004-11-10 東京エレクトロン株式会社 Coating device and coating method
GB2384309B8 (en) 2000-10-13 2016-03-02 Irm Llc High throughput processing system and method of using
US6616762B2 (en) 2000-10-13 2003-09-09 Tokyo Electron Limited Treatment solution supply apparatus and treatment solution supply method
US6805769B2 (en) 2000-10-13 2004-10-19 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus
TW563196B (en) 2000-10-30 2003-11-21 Dainippon Screen Mfg Substrate processing apparatus
JP3616748B2 (en) 2000-11-07 2005-02-02 東京エレクトロン株式会社 Development processing method, development processing apparatus, and processing apparatus
US6691216B2 (en) 2000-11-08 2004-02-10 Texas Instruments Incorporated Shared program memory for use in multicore DSP devices
US6669782B1 (en) 2000-11-15 2003-12-30 Randhir P. S. Thakur Method and apparatus to control the formation of layers useful in integrated circuits
US6689220B1 (en) * 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
EP1341221A1 (en) * 2000-11-22 2003-09-03 Nikon Corporation Aligner, aligning method and method for fabricating device
JP3741604B2 (en) 2000-11-27 2006-02-01 東京エレクトロン株式会社 Heat treatment apparatus and heat treatment method
US6692219B2 (en) 2000-11-29 2004-02-17 Tokyo Electron Limited Reduced edge contact wafer handling system and method of retrofitting and using same
JP3943828B2 (en) 2000-12-08 2007-07-11 東京エレクトロン株式会社 Coating, developing device and pattern forming method
JP2002184831A (en) 2000-12-11 2002-06-28 Hirata Corp Foup opener
JP2002184671A (en) 2000-12-14 2002-06-28 Tokyo Electron Ltd Method and system for substrate treatment
JP3702175B2 (en) 2000-12-19 2005-10-05 東京エレクトロン株式会社 Heat treatment apparatus and method, and pattern formation method
US6744020B2 (en) 2001-01-04 2004-06-01 Tokyo Electron Limited Heat processing apparatus
JP2002208554A (en) 2001-01-10 2002-07-26 Tokyo Electron Ltd System for treating substrate
JP3950299B2 (en) 2001-01-15 2007-07-25 東京エレクトロン株式会社 Substrate processing apparatus and method
US6790286B2 (en) 2001-01-18 2004-09-14 Dainippon Screen Mfg. Co. Ltd. Substrate processing apparatus
JP4124400B2 (en) * 2001-01-19 2008-07-23 大日本スクリーン製造株式会社 Substrate processing equipment
US20020098072A1 (en) 2001-01-19 2002-07-25 Applied Materials, Inc. Dual bladed robot apparatus and associated method
KR100848772B1 (en) 2001-02-22 2008-07-28 도쿄엘렉트론가부시키가이샤 Substrate processing apparatus
US6692165B2 (en) * 2001-03-01 2004-02-17 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus
US6860945B2 (en) 2001-03-22 2005-03-01 Tokyo Electron Limited Substrate coating unit and substrate coating method
US6669808B2 (en) 2001-03-22 2003-12-30 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus and substrate processing method
JP3713447B2 (en) * 2001-04-05 2005-11-09 東京エレクトロン株式会社 Development processing equipment
US6623235B2 (en) 2001-04-11 2003-09-23 Pri Automation, Inc. Robot arm edge gripping device for handling substrates using two four-bar linkages
US6884294B2 (en) 2001-04-16 2005-04-26 Tokyo Electron Limited Coating film forming method and apparatus
TW544797B (en) 2001-04-17 2003-08-01 Kobe Steel Ltd High-pressure processing apparatus
JP4025030B2 (en) 2001-04-17 2007-12-19 東京エレクトロン株式会社 Substrate processing apparatus and transfer arm
JP4435443B2 (en) 2001-04-17 2010-03-17 大日本スクリーン製造株式会社 Substrate transport apparatus and substrate transport method
JP3967618B2 (en) 2001-04-17 2007-08-29 東京エレクトロン株式会社 Substrate processing method and substrate processing system
US6558053B2 (en) 2001-04-19 2003-05-06 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus
US6823880B2 (en) 2001-04-25 2004-11-30 Kabushiki Kaisha Kobe Seiko Sho High pressure processing apparatus and high pressure processing method
KR100488376B1 (en) 2001-04-27 2005-05-11 가부시키가이샤 고베 세이코쇼 Substrate processing method and substrate processing arrangements
US6641963B1 (en) * 2001-04-30 2003-11-04 Advanced Micro Devices, Inc System and method for in situ control of post exposure bake time and temperature
JP3934362B2 (en) 2001-05-14 2007-06-20 大日本スクリーン製造株式会社 Element support device
JP4006191B2 (en) 2001-05-14 2007-11-14 大日本スクリーン製造株式会社 Optical fiber coupling equipment
US6889105B2 (en) 2001-05-16 2005-05-03 Dainippon Screen Mfg. Co., Ltd. Scheduling method and program for a substrate processing apparatus
US6852194B2 (en) * 2001-05-21 2005-02-08 Tokyo Electron Limited Processing apparatus, transferring apparatus and transferring method
JP3825277B2 (en) 2001-05-25 2006-09-27 東京エレクトロン株式会社 Heat treatment device
US6598805B2 (en) 2001-05-30 2003-07-29 Dainippon Screen Mfg. Co., Ltd Substrate cleaning apparatus
KR100857972B1 (en) 2001-06-07 2008-09-10 도쿄엘렉트론가부시키가이샤 Method of forming coating film and apparatus for forming coating film
US6752585B2 (en) 2001-06-13 2004-06-22 Applied Materials Inc Method and apparatus for transferring a semiconductor substrate
AT500378B1 (en) 2001-06-13 2006-12-15 Tgw Transportgeraete Gmbh STACKER UNIT
US6683006B2 (en) * 2001-06-25 2004-01-27 Tokyo Electron Limited Film forming method and film forming apparatus
KR100452317B1 (en) * 2001-07-11 2004-10-12 삼성전자주식회사 photo-lithography fabrication system and method there of
EP1406751A2 (en) * 2001-07-13 2004-04-14 FSI International Robotic system control
US20030010449A1 (en) 2001-07-16 2003-01-16 Gramarossa Daniel J. Automatic wafer processing and plating system
US6689782B2 (en) * 2001-07-16 2004-02-10 Essential Therapeutics, Inc. Fungal efflux pump inhibitors
US6579730B2 (en) 2001-07-18 2003-06-17 Applied Materials, Inc. Monitoring process for oxide removal
US6458607B1 (en) * 2001-07-23 2002-10-01 Advanced Micro Devices, Inc. Using UV/VIS spectrophotometry to regulate developer solution during a development process
JP2003037107A (en) * 2001-07-25 2003-02-07 Tokyo Electron Ltd Processing apparatus and processing method
TWI232509B (en) 2001-07-25 2005-05-11 Tokyo Electron Ltd Processing apparatus and processing method
JP3725051B2 (en) * 2001-07-27 2005-12-07 大日本スクリーン製造株式会社 Substrate processing equipment
JP3880343B2 (en) 2001-08-01 2007-02-14 株式会社ルネサステクノロジ Load port, substrate processing apparatus, and atmosphere replacement method
US6841342B2 (en) * 2001-08-08 2005-01-11 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US6878636B2 (en) * 2001-08-27 2005-04-12 Applied Materials, Inc. Method for enhancing substrate processing
JP3869306B2 (en) 2001-08-28 2007-01-17 東京エレクトロン株式会社 Development processing method and developer coating apparatus
US7217076B2 (en) 2001-08-31 2007-05-15 Asyst Technologies, Inc. Semiconductor material handling system
US6878216B2 (en) 2001-09-03 2005-04-12 Tokyo Electron Limited Substrate processing method and substrate processing system
JP3844670B2 (en) 2001-09-14 2006-11-15 東京エレクトロン株式会社 Coating film forming device
US6808566B2 (en) 2001-09-19 2004-10-26 Tokyo Electron Limited Reduced-pressure drying unit and coating film forming method
JP4073186B2 (en) 2001-09-20 2008-04-09 大日本スクリーン製造株式会社 Substrate processing apparatus schedule creation method and program thereof
US6878401B2 (en) 2001-09-27 2005-04-12 Tokyo Electron Limited Substrate processing method
JP4018892B2 (en) 2001-10-03 2007-12-05 大日本スクリーン製造株式会社 Substrate processing equipment
US6598806B2 (en) 2001-10-09 2003-07-29 Gary G. B. Phipps Windshield washer apparatus for marine craft
US6819402B2 (en) * 2001-10-18 2004-11-16 Asml Holding N.V. System and method for laser beam expansion
US6790283B2 (en) 2001-10-18 2004-09-14 Tokyo Electron Limited Coating apparatus
JP3718647B2 (en) 2001-10-19 2005-11-24 東京エレクトロン株式会社 Developing apparatus and developing method
US6664122B1 (en) 2001-10-19 2003-12-16 Novellus Systems, Inc. Electroless copper deposition method for preparing copper seed layers
JP4018958B2 (en) 2001-10-30 2007-12-05 大日本スクリーン製造株式会社 Substrate processing equipment
US6752442B2 (en) 2001-11-09 2004-06-22 Speedfam-Ipec Corporation Workpiece handling end-effector and a method for processing workpieces using a workpiece handling end-effector
JP3751246B2 (en) 2001-11-13 2006-03-01 大日本スクリーン製造株式会社 Thin film forming apparatus and conveying method
US6682113B2 (en) 2001-11-16 2004-01-27 Applied Materials, Inc. Wafer clamping mechanism
US6811613B2 (en) 2001-11-26 2004-11-02 Tokyo Electron Limited Coating film forming apparatus
JP2003170384A (en) 2001-12-04 2003-06-17 Rorze Corp Scalar robot for carrying flat plate-like object and processing system for flat plate-like object
JP3910054B2 (en) * 2001-12-10 2007-04-25 東京エレクトロン株式会社 Substrate processing equipment
JP2003257849A (en) 2001-12-26 2003-09-12 Dainippon Screen Mfg Co Ltd Substrate developing and processing device
JP4025069B2 (en) 2001-12-28 2007-12-19 大日本スクリーン製造株式会社 Substrate processing apparatus and substrate processing method
US6678581B2 (en) 2002-01-14 2004-01-13 Taiwan Semiconductor Manufacturing Co. Ltd Method of calibrating a wafer edge gripping end effector
US20030131458A1 (en) * 2002-01-15 2003-07-17 Applied Materials, Inc. Apparatus and method for improving throughput in a cluster tool for semiconductor wafer processing
US6758612B1 (en) * 2002-01-16 2004-07-06 Advanced Micro Devices, Inc. System and method for developer endpoint detection by reflectometry or scatterometry
US6451621B1 (en) * 2002-01-16 2002-09-17 Advanced Micro Devices, Inc. Using scatterometry to measure resist thickness and control implant
JP2003215002A (en) 2002-01-17 2003-07-30 Dainippon Screen Mfg Co Ltd Apparatus and method for treating board
US7138014B2 (en) 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
JP3992601B2 (en) 2002-01-31 2007-10-17 大日本スクリーン製造株式会社 Chemical treatment equipment
JP4195227B2 (en) 2002-02-22 2008-12-10 東京エレクトロン株式会社 Introducing port structure of workpiece
US6991710B2 (en) 2002-02-22 2006-01-31 Semitool, Inc. Apparatus for manually and automatically processing microelectronic workpieces
JP3637898B2 (en) 2002-03-05 2005-04-13 セイコーエプソン株式会社 Display driving circuit and display panel having the same
JP3811082B2 (en) 2002-03-08 2006-08-16 大日本スクリーン製造株式会社 Substrate processing apparatus and substrate processing method
US6796054B2 (en) 2002-03-12 2004-09-28 Tokyo Electron Limited Low-pressure dryer and low-pressure drying method
US6848625B2 (en) * 2002-03-19 2005-02-01 Tokyo Electron Limited Process liquid supply mechanism and process liquid supply method
WO2003080479A2 (en) 2002-03-20 2003-10-02 Fsi International, Inc. Systems and methods incorporating an end effector with a rotatable and/or pivotable body and/or an optical sensor having a light path that extends along a length of the end effector
JP3688264B2 (en) 2002-03-20 2005-08-24 東京エレクトロン株式会社 Heat treatment method and heat treatment apparatus
JP3939178B2 (en) * 2002-03-25 2007-07-04 大日本スクリーン製造株式会社 High pressure drying apparatus, high pressure drying method and substrate processing apparatus
JP4274736B2 (en) 2002-03-28 2009-06-10 大日本スクリーン製造株式会社 Substrate processing equipment
US6752544B2 (en) 2002-03-28 2004-06-22 Dainippon Screen Mfg. Co., Ltd. Developing apparatus and developing method
US6869234B2 (en) 2002-03-28 2005-03-22 Dainippon Screen Mfg. Co., Ltd. Developing apparatus and developing method
JP4048074B2 (en) * 2002-04-12 2008-02-13 東京エレクトロン株式会社 Processing equipment
JP4342147B2 (en) 2002-05-01 2009-10-14 大日本スクリーン製造株式会社 Substrate processing equipment
CN1276306C (en) * 2002-05-14 2006-09-20 株式会社东芝 Processing method, mfg. method and processing device for semiconductor
JP2003347186A (en) 2002-05-23 2003-12-05 Dainippon Screen Mfg Co Ltd Substrate treatment device
US6678582B2 (en) * 2002-05-30 2004-01-13 Kuka Roboter Gmbh Method and control device for avoiding collisions between cooperating robots
US6645880B1 (en) 2002-06-10 2003-11-11 Dainippon Screen Mfg. Co., Ltd. Treating solution applying method
US6832863B2 (en) 2002-06-11 2004-12-21 Dainippon Screen Mfg. Co., Ltd. Substrate treating apparatus and method
US6807455B2 (en) 2002-06-26 2004-10-19 Dainippon Screen Mfg. Co. Ltd. System for and method of processing substrate
SE523109C2 (en) 2002-07-15 2004-03-30 Stock Of Sweden Ab Device for power transmission of a working machine
JP2004055697A (en) 2002-07-17 2004-02-19 Ace:Kk Apparatus and method for transferring and conveying substrate
KR20040013965A (en) 2002-08-09 2004-02-14 삼성전자주식회사 Process chamber of multi-chamber type
JP4233285B2 (en) * 2002-08-23 2009-03-04 大日本スクリーン製造株式会社 Substrate processing equipment
TWI262165B (en) 2002-10-16 2006-09-21 Sez Ag Device and method for transporting wafer-shaped articles
US6885467B2 (en) 2002-10-28 2005-04-26 Tevet Process Control Technologies Ltd. Method and apparatus for thickness decomposition of complicated layer structures
US6946511B2 (en) 2002-10-29 2005-09-20 Dupont Dow Elastomers, Llc Plasma resistant elastomer parts
US7306696B2 (en) * 2002-11-01 2007-12-11 Applied Materials, Inc. Interferometric endpoint determination in a substrate etching process
KR100486690B1 (en) * 2002-11-29 2005-05-03 삼성전자주식회사 Substrate processing apparatus and method for controlling contamination in substrate transfer module
US6770424B2 (en) 2002-12-16 2004-08-03 Asml Holding N.V. Wafer track apparatus and methods for dispensing fluids with rotatable dispense arms
JP4053906B2 (en) 2003-03-14 2008-02-27 株式会社豊電子工業 Hand device for work robot
US7654596B2 (en) 2003-06-27 2010-02-02 Mattson Technology, Inc. Endeffectors for handling semiconductor wafers
EP1495702A1 (en) 2003-07-10 2005-01-12 Nestec S.A. Device for the extraction of a cartridge
US7100954B2 (en) 2003-07-11 2006-09-05 Nexx Systems, Inc. Ultra-thin wafer handling system
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US7226269B2 (en) 2004-01-15 2007-06-05 Applied Materials, Inc. Substrate edge grip apparatus
KR100996102B1 (en) 2004-10-27 2010-11-22 삼성전자주식회사 Apparatus and method for controlling an bias adaptation bias of a high power amplifier
US20060182535A1 (en) 2004-12-22 2006-08-17 Mike Rice Cartesian robot design
US7651306B2 (en) 2004-12-22 2010-01-26 Applied Materials, Inc. Cartesian robot cluster tool architecture
US7819079B2 (en) 2004-12-22 2010-10-26 Applied Materials, Inc. Cartesian cluster tool configuration for lithography type processes
US7699021B2 (en) 2004-12-22 2010-04-20 Sokudo Co., Ltd. Cluster tool substrate throughput optimization
US7798764B2 (en) 2005-12-22 2010-09-21 Applied Materials, Inc. Substrate processing sequence in a cartesian robot cluster tool
JP4356936B2 (en) 2005-01-21 2009-11-04 東京エレクトロン株式会社 Coating and developing apparatus and method thereof
US20060236941A1 (en) 2005-04-20 2006-10-26 Applied Materials, Inc. Passive wafer support for particle free wafer acceleration
US7374391B2 (en) 2005-12-22 2008-05-20 Applied Materials, Inc. Substrate gripper for a substrate handling robot
US20060241813A1 (en) 2005-04-22 2006-10-26 Applied Materials, Inc. Optimized cluster tool transfer process and collision avoidance design
WO2007112454A2 (en) * 2006-03-28 2007-10-04 Stratusys Inc. Apparatus and method for processing substrates using one or more vacuum transfer chamber units

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5802856A (en) * 1996-07-31 1998-09-08 Stanford University Multizone bake/chill thermal cycling module
US20020020179A1 (en) * 2000-04-27 2002-02-21 Gary Winkler Process and apparatus for achieving precision temperature control
US20020153425A1 (en) * 2001-01-30 2002-10-24 Aqualisa Products Limited, A British Body Corporate Water mixing valve apparatus
US7069984B2 (en) * 2001-02-08 2006-07-04 Oriol Inc. Multi-channel temperature control system for semiconductor processing facilities
US7357842B2 (en) * 2004-12-22 2008-04-15 Sokudo Co., Ltd. Cluster tool architecture for processing a substrate

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9370273B2 (en) 2010-12-02 2016-06-21 Pepsico, Inc. Hot and cold beverage dispenser
US10046958B2 (en) 2010-12-02 2018-08-14 Pepsico, Inc. Hot and cold beverage dispenser

Also Published As

Publication number Publication date
JP2013093597A (en) 2013-05-16
US20120180983A1 (en) 2012-07-19
JP2012169654A (en) 2012-09-06
US7925377B2 (en) 2011-04-12
US20060134536A1 (en) 2006-06-22
US20060132730A1 (en) 2006-06-22
US20060134340A1 (en) 2006-06-22
US20090064929A1 (en) 2009-03-12
US8181596B2 (en) 2012-05-22
US20060130750A1 (en) 2006-06-22
US7255747B2 (en) 2007-08-14
JP2012069957A (en) 2012-04-05
US7371022B2 (en) 2008-05-13
US20060278165A1 (en) 2006-12-14
US7396412B2 (en) 2008-07-08
US20080296316A1 (en) 2008-12-04
US20090064928A1 (en) 2009-03-12
US8146530B2 (en) 2012-04-03
CN101142656A (en) 2008-03-12
US8550031B2 (en) 2013-10-08
US20060134330A1 (en) 2006-06-22
US20060286300A1 (en) 2006-12-21
US20060130767A1 (en) 2006-06-22
US20080223293A1 (en) 2008-09-18
US7743728B2 (en) 2010-06-29
CN101443131A (en) 2009-05-27
US8215262B2 (en) 2012-07-10
CN101443131B (en) 2011-08-17
US20120320361A1 (en) 2012-12-20
US7694647B2 (en) 2010-04-13
US20090067956A1 (en) 2009-03-12
US7357842B2 (en) 2008-04-15
US20080199282A1 (en) 2008-08-21
US20060130747A1 (en) 2006-06-22

Similar Documents

Publication Publication Date Title
US20060158240A1 (en) Distributed temperature control system for point of dispense temperature control on track systems utilizing mixing of hot and cold streams
US7831135B2 (en) Method and system for controlling bake plate temperature in a semiconductor processing chamber
US6468586B1 (en) Environment exchange control for material on a wafer surface
KR101071004B1 (en) Integrated thermal unit
US7601934B2 (en) Integrated thermal unit having a shuttle with a temperature controlled surface
US20070254092A1 (en) Systems and Methods for Detecting Abnormal Dispense of Semiconductor Process Fluids
US20070251450A1 (en) Systems and Methods for Monitoring and Controlling Dispense Using a Digital Optical Sensor
US20070272327A1 (en) Chemical dispense system
US7282675B2 (en) Integrated thermal unit having a shuttle with a temperature controlled surface
US20080006650A1 (en) Method and apparatus for multi-chamber exhaust control
US7274005B2 (en) Bake plate having engageable thermal mass
US7297906B2 (en) Integrated thermal unit having a shuttle with two-axis movement
CN108363429B (en) Point-of-use mixing system and method for controlling temperature of liquid dispensed on a substrate
Schaper et al. Control systems for the nanolithography process
WO2018125414A1 (en) Methods and apparatus for enhanced flow detection repeatability of thermal-based mass flow controllers (mfcs)
US7741585B2 (en) Integrated thermal unit having a shuttle with two-axis movement
US20070207259A1 (en) Track lithography system with integrated photoresist pump, filter, and buffer vessel
Zhang et al. Across wafer critical dimension uniformity enhancement through lithography and etch process sequence: Concept, approach, modeling, and experiment
US7527442B2 (en) Process for forming resist pattern, and resist coating and developing apparatus
US20070251939A1 (en) Control scheme for cold wafer compensation on a lithography track
US20070254493A1 (en) Integrated thermal unit having vertically arranged bake and chill plates
US20070295276A1 (en) Bake plate having engageable thermal mass
US7288746B2 (en) Integrated thermal unit having laterally adjacent bake and chill plates on different planes
US20070254094A1 (en) Method and apparatus for controlling dispense operations in a track lithography tool
US20070261818A1 (en) Multi-temperature output heat exchanger with single chiller

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GONDHALEKAR, SUDHIR R.;ISHIKAWA, TETSUYA;REEL/FRAME:017390/0687

Effective date: 20060323

AS Assignment

Owner name: SOKUDO CO., LTD., JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:APPLIED MATERIALS, INC.;REEL/FRAME:018361/0714

Effective date: 20060720

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION