US20060157449A1 - Plasma processing apparatus and a plasma processing method - Google Patents

Plasma processing apparatus and a plasma processing method Download PDF

Info

Publication number
US20060157449A1
US20060157449A1 US11/348,300 US34830006A US2006157449A1 US 20060157449 A1 US20060157449 A1 US 20060157449A1 US 34830006 A US34830006 A US 34830006A US 2006157449 A1 US2006157449 A1 US 2006157449A1
Authority
US
United States
Prior art keywords
temperature
plasma
etching
side wall
plasma processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/348,300
Inventor
Kazue Takahashi
Toshio Masuda
Tetsunori Kaji
Ken'etsu Yokogawa
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US11/348,300 priority Critical patent/US20060157449A1/en
Publication of US20060157449A1 publication Critical patent/US20060157449A1/en
Priority to US12/230,565 priority patent/US20090008363A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32678Electron cyclotron resonance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Definitions

  • the present invention relates to a plasma processing apparatus and a plasma processing method and in particularly to an apparatus for etching an insulation film such as a silicon oxide film of a wafer using a plasma and relates to a plasma etching apparatus and a plasma etching method having a plasma generation source which can be corresponded to a minute practicing of an etching pattern and further enable for maintaining a stable etching characteristic during a long period.
  • an oxide film plasma etching apparatus is exemplified and techniques and problems of this apparatus are shown.
  • a type which is used most widely is a narrow electrode type high frequency plasma generation apparatus which is comprised of a pair of opposing electrodes.
  • the systems of the narrow electrode type high frequency plasma generation apparatus have known that 25 there is a system in which a high frequency having from 13.56 MHZ to a several 10 MHZ degree is applied to one electrode and to another electrode by mounting a wafer a high frequency bias of about 1 MHZ is applied separately to the electrode on which a wafer is mounted, and there is another system in which a high frequency is applied to the pair of electrodes.
  • this narrow electrode type of plasma source etching apparatus since the distance between the electrodes is narrow, for example, from 20 mm to 30 mm, it is known as a narrow electrode type plasma source and a parallel flat plate type plasma source. Further, in the narrow electrode type plasma source, it is difficult to generate a plasma in a region where the pressure is low, however, by the addition of a magnetic field, an apparatus is obtained in which a lowering of the discharge pressure can be achieved.
  • these apparatuses include a plasma etching apparatus having an induction type plasma source in which an induction coil is used and another plasma etching apparatus in which a plasma etching microwave is introduced.
  • a plasma etching apparatus having an induction type plasma source and a microwave type plasma source it is possible to generate and maintain the plasma under a low pressure; and, since the plasma density is high, such a plasma source is known as a low pressure and a high density plasma source.
  • etching gas a mixture gas, in which argon (Ar), a gas including carbon (C) and fluorine (F), such as C 4 F 8 , and a gas including hydrogen (H), such as CHF 3 , are mixed, is used; and, further, another mixture gas, in which oxygen (O 2 ) and carbon monoxide (CO) and hydrogen (H 2 ) etc. are added to the above-stated mixture gas, is used.
  • Ar argon
  • F fluorine
  • H hydrogen
  • gases are dissociated by the plasma and are dissolved to form CF 3 , CF 2 , CF, and F.
  • the amount and the ratio of this gas molecule species exerts a large influence on the etching characteristic of the silicon oxide film (hereinafter, it will be referred to merely as an “oxide film”).
  • a method for increasing the CFx radical amount in the plasma in which the temperature of the wall face of the etching chamber is raised to about 200° C., in an effort to discharge the deposition film which has adhered to the wall face by reducing the adhesion of the deposition film to the wall face of the etching chamber.
  • a high temperature performance of the wall face of the etching chamber becomes indispensable.
  • An oxide film etching apparatus described in Japanese application patent laid-open publication No. Hei 7-183283 is an example of an apparatus in which a wall face of an etching chamber is formed to have a high temperature performance.
  • the narrow electrode type of plasma source in which the distance between the electrodes is narrow, in a case where a low pressure is used, since the average free path distance of the gas molecules becomes long, the collision frequency of the gas molecules is decreased, and, in place of this, the collision between the gas molecules and the electrode becomes dominant.
  • the surface of the etching chamber is the surface which is subjected to the plasma, and the surface does not include a surface of the top plate (ceiling), a surface of the floor, and a surface of the electrode (the wafer).
  • the narrow electrode type plasma source from the aspect of the plasma and a wafer, since the side wall area is narrow, the deposition and the gas discharge at the side wall have almost no influence on the etching characteristic; however, in the narrow electrode type plasma apparatus in which a low pressurization is used, it is necessary to take a new countermeasure.
  • a phenomenon in which the etching characteristic is influenced by the time lapse change stated above is known particularly in the case of oxide film etching.
  • the temperature change of the side wall in the oxide film etching apparatus represents an important problem.
  • any of the plasma sources to satisfy the requirement for oxide film etching, namely for obtaining a high etching speed, while attaining a high selection ratio, low micro loading, and the passing-through of a deep hole, there still remain problems to be solved.
  • the characteristic feature according to the present invention resides in a plasma processing apparatus and in a plasma processing method using a vacuum processing chamber, a sample table for mounting a sample which is processed in said vacuum processing chamber, and a plasma generation means, wherein, when plasma processing is carried out by generating a plasma by introduction of a gas which contains at least carbon and fluorine into the processing chamber, and by which a gas species is generated which contains carbon and fluorine according to a plasma dissociation, said plasma generation means being a plasma generation means in which the degree of plasma dissociation is in a middle range and said gas species containing carbon and fluorine is generated fully in the plasma, and wherein the temperature of a region which forms a side wall of said vacuum processing chamber is controlled to have a range of 10° C. to 120° C.
  • a UHF type ECR plasma etching apparatus having a UHF band microwave radiation antenna disposed at a position opposite to the wafer, an etching gas is supplied from a gas supply portion provided on an antenna portion.
  • the UHF band microwave is radiated directly to the plasma from the antenna and is radiated in the plasma through a dielectric body which is provided at a periphery of the antenna.
  • an etching position and a wafer delivery position are located at separate locations, and an electrode raising and lowering function is provided.
  • a distance (called an “electrode interval”) between the wafer mount electrode and the antenna or the gas supply plate is established as 50 mm to 100 mm taking into consideration re-association of the reaction products.
  • a side wall temperature at a periphery of the electrode is temperature adjusted within a range of 10° C. to 120° C., preferably a range of 30° C. to 50° C.
  • a gas species is discharged from a deposition film on the side wall, and this has an
  • the temperature control accuracy of the side wall is controlled to ⁇ 5° C. Since the side wall temperature is low, even when the temperature of the side wall fluctuates by 5° C. degree, the fluctuation of a discharge gas amount which is discharged from the side wall will be small, so that the influence on the etching characteristic can be neglected.
  • the plasma source is a UHF type ECR system
  • the plasma dissociation is in a middle range and a CFx species exists fully to a level necessary for the oxide film etching. Since the problem of a shortage of CFx species and an excess F, which is inherent in a high density plasma source, can be solved, to increase the selection ratio, it is unnecessary to increase the side wall temperature.
  • FIG. 1 is a schematic sectional diagram showing an etching apparatus of a plasma processing system representing one embodiment according to the present invention
  • FIG. 2 is a graph showing a size relationship of various kinds of plasma sources of a plasma processing apparatus and a plasma processing method according to the present invention
  • FIG. 3 is a graph showing a characteristic of a gas discharge from a deposition film of a plasma source of a plasma processing apparatus and a plasma processing method according to the present invention
  • FIG. 4 is a graph showing the influence of a side wall temperature on a time lapse change of a plasma source of a plasma processing apparatus and a plasma processing method according to the present invention
  • FIG. 5 is a graph showing an etching speed change in a case where a temperature adjustment of a side wall is not performed.
  • FIG. 6 is a graph showing an etching speed change in a case where a temperature adjustment of a side wall is performed according to the present invention.
  • FIG. 1 is an example of a UHF type ECR plasma etching apparatus.
  • a coil 2 is installed at a peripheral portion of an etching chamber 1 (a vacuum processing chamber), which is operated as a vacuum vessel, and this coil 2 generates an electron cyclotron resonance (ECR) field.
  • An etching gas is supplied from a gas supply pipe 3 and is introduced via a gas supply plate 4 to the etching chamber 1 .
  • the gas supply plate 4 is comprised of a plate made of silicon or a glass form carbon in which about 100 fine holes having a diameter of from 0.4 mm to 0.5 mm degree are provided.
  • a disc-shaped antenna 5 is provided, and this antenna 5 radiates microwave energy in the UHF band.
  • the microwave energy is supplied to the antenna 5 from a power supply 6 through an induction shaft 7 .
  • an oscillating electric field in a space above the antenna 5 is introduced into the etching chamber 1 through a dielectric body 8 .
  • a volume combination electric field is generated, and this electric field becomes an effective plasma generation source.
  • the frequency of the microwave energy is set to a range of from 300 MHZ to 1 GHz and has a band area in which the electron temperature of the plasma is a low temperature of from 0.25 eV to 1 eV.
  • a frequency band in the vicinity of 450 MHZ can be employed.
  • a quartz or an alumina material can be employed as the dielectric body 8 .
  • a heat resistant polymer having a small dielectric loss such as a polyimide etc., can be employed as well.
  • the electrode for mounting a wafer (the wafer mount electrode or sample table) 9 is provided below the gas supply plate 4 , and a wafer 10 representing a sample is supported on the sample table through a electrostatic adsorption.
  • a high frequency bias is applied to the wafer mount electrode 9 from a high frequency power supply 11 .
  • the temperature control of an inner wall of the etching chamber 1 is carried out at a temperature adjustment side wall 12 of the etching chamber 1 .
  • a coolant medium which is temperature controlled is introduced, so that the temperature adjustment side wall 12 is maintained at a constant temperature.
  • the constant temperature in the temperature adjustment side wall 12 is set to 30° C.
  • the etching gas and reaction products are deposited on the inner wall of the etching chamber 1 and they are also deposited at the periphery and in a downstream area of the wafer mount electrode 9 , so that a deposition film is generated which is the origin of the foreign matter in the etching chamber 1 . Accordingly, it is necessary to periodically remove the deposition film, however, it is not always easy to remove a strongly adhered deposition film.
  • the cleaning of the deposition film is carried out using an exclusive cleaning apparatus.
  • the time used for establishing a vacuum state by evacuation of the etching chamber 1 , which has been opened to the air for cleaning, is important from an aspect of the non-operation time of the apparatus and further from an aspect of an improvement of the productivity of the apparatus. Accordingly, it is desirable to prevent the deposition film from adhering on a portion where a component exchange-over is not carried out easily, and to try to provide the component to which the deposition film has adhered as a component which can be easily replaced by another clean component. In this way, the opening time for cleaning in the etching chamber 1 can be shortened, and a reduction of the cleaning and evacuation time can be achieved.
  • a deposition film cover 13 is provided in the downstream region of the temperature adjustment side wall 12 of the etching chamber 1 .
  • a vacuum evacuation and wafer delivery opening portion is provided to the cover 13 . Since the deposition film can be removed with this cover 13 , the adhesion of the deposition film in the downstream region of the temperature adjustment side wall 12 can be reduced.
  • a vacuum chamber 15 is connected directly to the etching chamber 1 , and a turbo molecular pump 14 having an evacuation speed of from
  • a vacuum evacuation speed adjustment conductance valve 16 is installed, and this evacuation speed adjustment conductance valve 16 is used for separating the turbo molecular pump 14 during the chamber open time, or the evacuation speed adjustment conductance valve 16 is used for not opening the chamber to the air.
  • the wafer 10 is carried in from a transfer chamber by a transfer arm, and the wafer 10 is delivered onto the wafer mount electrode 9 .
  • the transfer arm is then retracted, and, after a valve arranged between the etching chamber 1 and a transfer chamber has been closed, the wafer mount electrode 9 is raised to a position where the etching is to be carried out.
  • the distance between the wafer 10 and the gas introduction plate 4 is set to from 50 mm to 100 mm.
  • etching gas a mixture gas comprised of Ar, and C 4 F 8 , and O 2 is used, and the respective flow amounts are 500 sccm, 10 sccm and 5 sccm.
  • the pressure of the etching gas is 2 Pa.
  • the output of the UHF microwave power supply 6 is 1 kW, and the output of a bias power supply 11 to the wafer 10 is 600 W.
  • a current is applied to the coil 2 and a resonance magnetic field having 0.016 T of UHF energy at 450 MHZ is generated between the gas supply plate 4 and the wafer mount electrode 9 (namely the wafer 10 ).
  • the microwave power supply 6 is operated. Due to the electron cyclotron resonance phenomenon, a strong plasma is generated in the ECR area having a resonance magnetic field strength of 0.016 T.
  • the incident ion density on the surface of the wafer 10 is uniform, and, when the ECR is positioned as stated above and the shape of the ECR area is formed with a raised portion extending toward the wafer 10 , the required uniformity of the ion current density can be attained.
  • helium (He) gas is introduced, and the temperature adjustment of the wafer 10 is carried out between the wafer mounting face of the wafer mount electrode 9 , which is temperature controlled by a coolant medium, and the wafer 10 , through the helium (He) gas.
  • the high frequency power supply 11 is operated, and a high frequency bias is applied to the wafer mount electrode 9 . Accordingly, ions are incident vertically from the plasma onto the wafer 10 . In oxide film etching, it is necessary to carry out a processing with high energy ions.
  • a high frequency bias voltage Vpp (the voltage between the maximum peak and the minimum peak) has a value of from 1000 V to 2000 V.
  • Vpp the voltage between the maximum peak and the minimum peak
  • the temperature of the wafer 10 rises.
  • the etching characteristic has a superior characteristic, and so the wafer temperature is adjusted to a value of several 10° C.
  • the heat input amount to the wafer 10 is large, and so the coolant medium temperature of the wafer mount electrode 9 is set in the vicinity of ⁇ 20° C.
  • the etching is started, and the etching is finished within a predetermined etching time.
  • an etching finish time can be determined, and, after a suitable over etching has been performed, then the etching is finished.
  • the etching is completed at a time when the application of the high frequency bias voltage is stopped. Simultaneously with this, the supply of the etching gas is stopped.
  • the supply of the electric adsorption gas is stopped, and also the supply of the microwave energy is stopped. Further, the current supply to the coil 2 is stopped. Further, the wafer mount electrode 9 is lowered until the surface thereof reaches the wafer delivery position.
  • the etching chamber 1 is evacuated until high vacuum is achieved.
  • the valve between the etching chamber 1 and the transfer chamber is opened, the transfer arm is inserted therein and then the waver 10 is carried out.
  • a new wafer is carried in and the etching is performed again according to the above-stated procedures.
  • the electron temperature of the UHF band microwave ECR plasma is in a range of from 0.25 eV to 1 eV and the dissociation of C 4 F 8 , which is the etching gas, does not progress much.
  • the dissociation of C 4 F 8 is a complicated process, in which the gas species which contributes to the etching is first dissociated from CF 3 to CF 2 , then CF is generated, and finally F is generated. As a result, the higher the electron temperature, the more the plasma becomes rich in F.
  • the radicals for forming the deposition film are CF 3 and CF 2 .
  • the plasma dissociation is restrained suitably and a plasma having a large CF 2 /CF (CF 2 -CF ratio) is generated; (2) the discrepancy between a 90° angle and the ion incident angle is restrained to a small value and a tapering formation of the etching shape; and (3) even when the etching is repeated many times, the fluctuation of the etching characteristic is small.
  • a main cause is that the orbit of the ions is displaced with the collision of the ions and a gas molecule in the vapor phase, and so it is effective to lower the pressure to lessen the occurrence of such collisions. Since the UHF band microwave plasma etching apparatus according to the present invention cases electron cyclotron resonance, it is possible to generate the plasma under a low pressure.
  • a main cause of the time lapse change is the time fluctuation of the kinds of gas which are discharged from the deposition film which adheres to the inner wall (the side wall, the ceiling, etc) and the other components of the etching chamber 1 . More specifically, the temperature fluctuation of the members to be subjected to the processing, such as the side wall, represents a large cause of the problem.
  • the apparatus As a countermeasure against the restraint of the time lapse change, basically the apparatus is formed so as to prevent fluctuation of the desorption and adsorption phenomenon of the deposition film on the wall face using temperature control; however, in various plasma generation systems, the wall face area used to form the apparatus differs.
  • the relationship between the etching chamber height and the side face area is shown in FIG. 2 .
  • the height of the etching chamber is low, and also the area of the side wall face is narrow.
  • the height of the etching chamber is high, and also the area of the side wall face is wide.
  • the height of the etching chamber (the electrode interval) and the area of the side wall are positioned intermediately relative to the other types of apparatus, and the apparatus occupies a region which is suitable for oxide film etching.
  • the height of the etching chamber (the electrode interval) and the area of the side wall has a middle value in the 30 mm-100 mm range of the narrow electrode (about 30 mm) and the microwave ECR induction type (more than 100 mm).
  • the height of the etching chamber, namely the electrode interval is a distance of from 50 mm to 100 mm, and the reaction products generated by the etching are re-dissociated and re-incident on the wafer 10 .
  • the etching characteristic of the oxide film is influenced, however, this is caused by making the most suitable performance to the influence degree, such as the re-dissociation and the incidence of the reaction products etc. with the etching characteristic of the oxide film.
  • the electrode interval is set to a predetermined distance which is determined by a relative relationship of the mean free ion path in the vicinity at a pressure of 2 Pa.
  • the electrode interval is set to the above stated distance, the pressure distribution on the face of the wafer 10 can be made uniform. In a case where the wafer diameter is large, such as from 200 mm to 300 mm, the difference in pressure between the center and the periphery of the wafer 10 can be small. Further, since the conductance, which depends on the electrode interval, is large, a high speed of evacuation of the chamber 1 to a high vacuum can be attained, and, as a result, the time during which the etching gas and the reaction products remain in the chamber.
  • the adhesion amount of the deposition film becomes large, with the result that the degree of influence on the etching characteristic becomes large.
  • the side wall comprises the entire inner wall of the etching chamber, and includes the top plate and other portions which contact the plasma.
  • the downstream region therefore can hardly comprise a region where the deposition film is adhered.
  • FIG. 3 shows the results in a case in which the temperature of the deposition film was changed 1° C., and the gas discharge amounts from the deposition film were measured. It is seen from these results that when the temperature of the deposition film is high, a large amount of gas is discharged with a temperature fluctuation of 1° C. It is supposed that when the gas which corresponds to the flow amount of 0.01 sccm by the conversion calculation of the flow amount of the etching gas, there is a possibility that the etching characteristic is influenced, and the temperature adjustment range of the side wall temperature at this time is shown on the right side in FIG. 3 .
  • the side wall temperature is established within a range of from 10° C. to 120° C. Preferably, it is controlled from the room temperature 20° C. to 50° C.
  • system in which a coolant medium which is connected to the temperature adjustment means is introduced to the side wall.
  • FIG. 3 shows the results in which the discharge amounts from the deposition film were measured.
  • FIG. 4 The stability of the gas discharge amounts and the magnitude of the fluctuation amounts into which the consideration of the adhesion amounts is taken are shown in FIG. 4 .
  • the horizontal axis indicates the side wall temperature of the etching chamber
  • the vertical axis indicates the relative magnitude of the deposition film amount, the degree of influence on the time lapse change and the gas discharge amount.
  • the gas discharge amount from the deposition film increases abruptly for side wall temperatures which exceed 200° C.
  • the amount of the deposition film which adheres to the side wall reduces gradually in proportion to an increase in the temperature and decreases abruptly for temperatures in excess of 200° C. The reason for this is that when the temperature exceeds
  • the deposition film does not adhere to the side wall.
  • the influence for referring to the etching characteristic to the deposition film of the side wall is small.
  • the temperature is high, the gas discharge amount from the unit deposition film is large, however a deposition film will hardly adhere, and, as a result, the gas discharge amount is small and the influence on the etching characteristic is small.
  • the deposition film is comparatively large and the gas discharge amount is large, and, as a result, the temperature fluctuation of the side wall has a large influence on the etching characteristic.
  • the side wall temperature is set to the AREA 1 or the AREA 3 .
  • the temperature range of the AREA 1 is less than 120° C., and in the AREA. 3 , the temperature range is more than 200° C., while in the AREA 2 the temperature range is from 120° C. to 200° C.
  • the temperature of the side wall is established in the temperature range of the AREA 1 in FIG. 4 .
  • the side wall temperature may be established in the low temperature range, however, taking into consideration the ease in establishing the temperature and providing a coolant medium without creating condensation, the lower limitation temperature is set to 10° C.
  • FIG. 5 shows the etching speed fluctuation in a UHF type ECR plasma etching apparatus in a case of using a mixture gas containing Ar and C 4 F 8 , and in which continuous etching is carried out.
  • the temperature adjustment of the side wall is not carried out, and so the temperature fluctuation rises with the discharge time of the plasma to 60° C. degree from room temperature.
  • the temperature fluctuation is ⁇ 20° C.
  • the etching speed of the silicon nitride at the etching starting time is high, as a result of the fluctuation of the etching characteristic.
  • FIG. 6 shows the etching characteristic in a case where the temperature adjustment of the side wall is carried out.
  • a UHF type ECR plasma etching apparatus when the plasma source is suited for the etching of an oxide film, it is not limited to a UHF type ECR plasma etching apparatus. Namely, when the electron temperature in the plasma is the low, for example, an electron temperature of less than 1 eV, and when a high density plasma is used, for example, it is possible to employ an apparatus using a pulse plasma source in which the application of the microwave is carried out intermittedly.
  • the temperature adjustment of the side wall is exemplified by using a coolant medium, however the invention is not limited to the use of a coolant medium, since it can employ any one of the various types of compulsory cooling using water cooling and vapor cooling, a heater, or lamp heating using infrared rays.
  • the temperature must be formed within the range of
  • the etching characteristic even when the temperature adjustment range of the side wall is ⁇ 10° C., a stable etching characteristic can be obtained, and the temperature adjustment can be carried out extremely easily.
  • the yield can be improved and the throughput can be improved.
  • the temperature adjustment is established in a low temperature range of from 10° C. to 120° C., the inconvenience in which the size of the etching chamber is made large due to thermal expansion can be avoided.
  • the line expansion coefficient of the aluminum alloy which is largely used in the etching chamber is 24 ⁇ 10 ⁇ 6 K ⁇ 1 ; on the other hand, for alumina and quartz, the respective line expansion coefficients are 6 ⁇ 10 ⁇ 6 K ⁇ 1 and 0.41 ⁇ 10 ⁇ 6 K ⁇ 1 .
  • the change in size of the vacuum sealing portion exerts an influence on the sealing characteristic, and the heat resistant performance of the elastomer which forms the seal material also becomes a problem.
  • the temperature reduces a level of more than 150° C., the possibility that the life of the seal material will be short becomes high.

Abstract

In an oxide film etching process, a plasma having a suitable ratio of CF3, CF2, CF, and F is necessary, and there is a problem in that the etching characteristic fluctuates in accordance with a temperature fluctuation of the etching chamber. Using a UHF type ECR plasma etching apparatus having a low electron temperature, a suitable dissociation can be obtained, and by maintaining the temperature of a side wall of the etching chamber in a range from 10° C. and 120° C., a stable etching characteristic can be obtained. Since oxide film etching using a low electron temperature and a high density plasma can be obtained, an etching result having a superior characteristic can be obtained, and, also, since the side wall temperature adjustment range is low, a simplified apparatus structure and a heat resistant performance countermeasure can be obtained easily.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a Continuation application of application Ser. No. 09/414,520, filed Oct. 8, 1999, the contents of which are incorporated herein by reference in their entirely.
  • BACKGROUND OF THE INVENTION
  • The present invention relates to a plasma processing apparatus and a plasma processing method and in particularly to an apparatus for etching an insulation film such as a silicon oxide film of a wafer using a plasma and relates to a plasma etching apparatus and a plasma etching method having a plasma generation source which can be corresponded to a minute practicing of an etching pattern and further enable for maintaining a stable etching characteristic during a long period.
  • Among conventional plasma processing apparatuses, an oxide film plasma etching apparatus is exemplified and techniques and problems of this apparatus are shown. As the conventional plasma source of an oxide film use etching apparatus, a type which is used most widely is a narrow electrode type high frequency plasma generation apparatus which is comprised of a pair of opposing electrodes.
  • The systems of the narrow electrode type high frequency plasma generation apparatus have known that 25 there is a system in which a high frequency having from 13.56 MHZ to a several 10 MHZ degree is applied to one electrode and to another electrode by mounting a wafer a high frequency bias of about 1 MHZ is applied separately to the electrode on which a wafer is mounted, and there is another system in which a high frequency is applied to the pair of electrodes.
  • In this narrow electrode type of plasma source etching apparatus, since the distance between the electrodes is narrow, for example, from 20 mm to 30 mm, it is known as a narrow electrode type plasma source and a parallel flat plate type plasma source. Further, in the narrow electrode type plasma source, it is difficult to generate a plasma in a region where the pressure is low, however, by the addition of a magnetic field, an apparatus is obtained in which a lowering of the discharge pressure can be achieved.
  • In addition to the above-stated narrow electrode type of apparatus, other plasma etching apparatuses have been known. These apparatuses include a plasma etching apparatus having an induction type plasma source in which an induction coil is used and another plasma etching apparatus in which a plasma etching microwave is introduced. In these apparatuses having an induction type etching source and a microwave type plasma source, it is possible to generate and maintain the plasma under a low pressure; and, since the plasma density is high, such a plasma source is known as a low pressure and a high density plasma source.
  • In silicon oxide film etching, as an etching gas, a mixture gas, in which argon (Ar), a gas including carbon (C) and fluorine (F), such as C4F8, and a gas including hydrogen (H), such as CHF3, are mixed, is used; and, further, another mixture gas, in which oxygen (O2) and carbon monoxide (CO) and hydrogen (H2) etc. are added to the above-stated mixture gas, is used. These gases are dissociated by the plasma and are dissolved to form CF3, CF2, CF, and F. The amount and the ratio of this gas molecule species exerts a large influence on the etching characteristic of the silicon oxide film (hereinafter, it will be referred to merely as an “oxide film”).
  • In particular, in the case of a high density plasma source, since the electron temperature in the plasma is high, plasma dissociation progresses, and the plasma comes to have many fluorine gas molecules F. Further, as the ionization progresses, the ratio of neutral gas molecule species (radicals) becomes low. For these reasons, in oxide film etching with a high electron temperature and a high density plasma, since the amount of CFx (CF3, CF2, CF) which adheres to a silicon surface, which is a foundation of the oxide film, is lowered, there are problems in that the etching-speed of the silicon (Si) is large and the selection ratio is small.
  • As means for solving the above stated problems, a method for increasing the CFx radical amount in the plasma has been known, in which the temperature of the wall face of the etching chamber is raised to about 200° C., in an effort to discharge the deposition film which has adhered to the wall face by reducing the adhesion of the deposition film to the wall face of the etching chamber. As a result, in an apparatus in which a high density plasma is used, to obtain the desired selection ratio, a high temperature performance of the wall face of the etching chamber becomes indispensable.
  • An oxide film etching apparatus described in Japanese application patent laid-open publication No. Hei 7-183283 is an example of an apparatus in which a wall face of an etching chamber is formed to have a high temperature performance.
  • As a countermeasure for obtaining the high selection ratio in addition to the above technique, there is a known method in which the electron temperature in the plasma is lowered and plasma dissociation is restrained. More specifically, in this method the plasma application is carried out intermittently, and so this method is called a pulse plasma method.
  • As another one example of obtaining a high selection ratio, there is a method in which materials for consuming fluorine (F) are installed in an etching 25, chamber in advance. In Japanese application patent laid-open publication No. Hei 9-283494, such a method is described, in which a side wall of an etching chamber is constituted by silicon (Si), and a heating means for heating the side wall and a bias application means are provided, so that the fluorine (F) in the plasma is consumed.
  • In oxide film etching in which narrow electrode type of plasma generation is used, in correspondence with the fine patterning in which a device pattern size is less than 0.25 μm, it is necessary to make the scattering of the ion incident angle at a portion to be subjected to the etching extremely small. Since the scattering of the ion incident angle causes an abnormality of the etching shape and a decrease in the number of ions reaching the bottom of a deep hole, problems are caused including a lowering of the etching speed and a premature stopping of the etching in the formation of holes. This scattering of the ion incident angle is caused by the incident angle distribution having a spread angle because the ions collide with radicals in the plasma.
  • To solve the above-stated problems, it is effective to decrease the number of collisions between ions and radicals; more particularly, it is necessary to lower the pressure. As a result, in the narrow electrode type of plasma generation apparatus, because it is difficult to carry out the plasma discharge under low pressure conditions, even under a low pressure sufficient to generate a plasma, it is proposed that the frequency of the plasma generation source be made high and that a magnetic field be applied.
  • Further, in the narrow electrode type of plasma source in which the distance between the electrodes is narrow, in a case where a low pressure is used, since the average free path distance of the gas molecules becomes long, the collision frequency of the gas molecules is decreased, and, in place of this, the collision between the gas molecules and the electrode becomes dominant.
  • This is not a preferable condition, since, in the etching apparatus, according to the collision of the gas molecules in the plasma, it is necessary to control the maintenance and the reaction of the plasma; and, as a result, in order to accommodate a low pressurization, it is necessary to provide a large electrode interval.
  • When the electrode interval is wide, the surface area of the side wall in the etching chamber becomes large. Here, the surface of the etching chamber is the surface which is subjected to the plasma, and the surface does not include a surface of the top plate (ceiling), a surface of the floor, and a surface of the electrode (the wafer).
  • Until now, in the narrow electrode type plasma source, from the aspect of the plasma and a wafer, since the side wall area is narrow, the deposition and the gas discharge at the side wall have almost no influence on the etching characteristic; however, in the narrow electrode type plasma apparatus in which a low pressurization is used, it is necessary to take a new countermeasure.
  • Further, to accommodate a large diameter wafer, it is necessary to make the gas pressure distribution across the wafer face and the reaction product distribution uniform; and, for this purpose, it is necessary to provide a wide electrode interval, and so the area ratio of the side wall becomes more and more important.
  • The influence of the affects of the reaction products which adhere to the side wall on the etching characteristic is discussed above, however, when the etching is continued over a long period of time, a change of the influence becomes a problem. For example, by repeatedly carrying out etching operation, the temperature of the side wall will rise gradually. When the temperature of the side wall has risen sufficiently, the characteristic of the adhesion and adsorption of the reaction products on the side wall is changed, and, as a result, the etching characteristic fluctuates.
  • Further, in a case where the amount of the deposition film on the side wall accompanying the etching is increased gradually, in accordance with the dependence on the amount of the deposition film, it is possible to change the desorption and adsorption characteristic of the reaction products at the side wall surface.
  • A phenomenon in which the etching characteristic is influenced by the time lapse change stated above is known particularly in the case of oxide film etching. As a result, the temperature change of the side wall in the oxide film etching apparatus represents an important problem.
  • In particular, in a high electron temperature and high density plasma source, it is necessary to establish a high side wall temperature. In the case of a high side wall temperature, even the side wall temperature fluctuates a little, and so the adsorption and desorption characteristic of the deposition film is changed largely. For these reasons, it is necessary to restrain the side wall temperature fluctuation to a small range, and a high accuracy temperature adjustment, such as 200° C.±2° C. needs to be carried out.
  • As stated above, in any of the plasma sources, to satisfy the requirement for oxide film etching, namely for obtaining a high etching speed, while attaining a high selection ratio, low micro loading, and the passing-through of a deep hole, there still remain problems to be solved.
  • The important problem in an oxide film etching apparatus involves the dissociation of the gas molecules as the plasma is being formed under the most suitable conditions for the etching of the oxide film. To address this problem, a new plasma generation source producing a high density plasma under a low electron temperature has been proposed. For example, Japanese application patent laid-open publication No. Hei 8-300039, discloses a UHF type ECR apparatus having a plasma excitation frequency in the UHF band from 300 MHZ to 1 GHz. The electron temperature of the plasma which is excited in the frequency band in the above stated range is low, for example, from 0.25 eV to 1 eV, and the plasma dissociation of C4F8 is at a level suitable to oxide film etching. Further, since it is an ECR (Electron Cyclotron Resonance) system, even under a low pressure, it is possible to generate a high density plasma.
  • As stated above, for achieving fine patterning on a wafer of large diameter, it is necessary to make the electron temperature low and to
  • prevent an excessive dissociation of the etching gas, and further to make the plasma density high. Further, it is necessary to make the plasma density, the gas pressure and the reaction product distribution on the wafer uniform; and, as a result, it is necessary to provide an apparatus in which the oxide film etching characteristic is not changed over a long period of operation.
  • SUMMARY OF THE INVENTION
  • It is an object of the present invention to provide a plasma processing apparatus and a plasma processing method, wherein, using a UHF type ECR plasma etching apparatus to generate a high density plasma under a low electron temperature necessary for oxide film etching etc., a premature stopping of the etching does not occur, and in which a stable operation or a stable processing can be carried out.
  • The characteristic feature according to the present invention resides in a plasma processing apparatus and in a plasma processing method using a vacuum processing chamber, a sample table for mounting a sample which is processed in said vacuum processing chamber, and a plasma generation means, wherein, when plasma processing is carried out by generating a plasma by introduction of a gas which contains at least carbon and fluorine into the processing chamber, and by which a gas species is generated which contains carbon and fluorine according to a plasma dissociation, said plasma generation means being a plasma generation means in which the degree of plasma dissociation is in a middle range and said gas species containing carbon and fluorine is generated fully in the plasma, and wherein the temperature of a region which forms a side wall of said vacuum processing chamber is controlled to have a range of 10° C. to 120° C.
  • In a UHF type ECR plasma etching apparatus having a UHF band microwave radiation antenna disposed at a position opposite to the wafer, an etching gas is supplied from a gas supply portion provided on an antenna portion. The UHF band microwave is radiated directly to the plasma from the antenna and is radiated in the plasma through a dielectric body which is provided at a periphery of the antenna.
  • In an electrode for mounting the wafer (a wafer mount electrode or a lower electrode), an etching position and a wafer delivery position are located at separate locations, and an electrode raising and lowering function is provided. A distance (called an “electrode interval”) between the wafer mount electrode and the antenna or the gas supply plate is established as 50 mm to 100 mm taking into consideration re-association of the reaction products.
  • According to the plasma processing apparatus, a side wall temperature at a periphery of the electrode is temperature adjusted within a range of 10° C. to 120° C., preferably a range of 30° C. to 50° C. As the side wall temperature fluctuates, a gas species is discharged from a deposition film on the side wall, and this has an
  • influence on the etching characteristic.
  • In accordance with the present invention, to restrain the above-stated influence, the temperature control accuracy of the side wall is controlled to ±5° C. Since the side wall temperature is low, even when the temperature of the side wall fluctuates by 5° C. degree, the fluctuation of a discharge gas amount which is discharged from the side wall will be small, so that the influence on the etching characteristic can be neglected.
  • Further, since the plasma source is a UHF type ECR system, the plasma dissociation is in a middle range and a CFx species exists fully to a level necessary for the oxide film etching. Since the problem of a shortage of CFx species and an excess F, which is inherent in a high density plasma source, can be solved, to increase the selection ratio, it is unnecessary to increase the side wall temperature.
  • Herein, when the dissociation is excessive, F or C becomes rich, and when the dissociation is insufficient, there is a shortage of F, CF2, CF3, etc; accordingly, it is desirable to have a plasma dissociation fall in middle range. Further, since the side wall temperature is controlled to a low temperature, with a side wall temperature control accuracy of ±5° C., the fluctuation of the etching characteristic can be restrained for a long period of operation.
  • BRIEF DESCRIPTION OF DRAWINGS
  • FIG. 1 is a schematic sectional diagram showing an etching apparatus of a plasma processing system representing one embodiment according to the present invention;
  • FIG. 2 is a graph showing a size relationship of various kinds of plasma sources of a plasma processing apparatus and a plasma processing method according to the present invention;
  • FIG. 3 is a graph showing a characteristic of a gas discharge from a deposition film of a plasma source of a plasma processing apparatus and a plasma processing method according to the present invention;
  • FIG. 4 is a graph showing the influence of a side wall temperature on a time lapse change of a plasma source of a plasma processing apparatus and a plasma processing method according to the present invention;
  • FIG. 5 is a graph showing an etching speed change in a case where a temperature adjustment of a side wall is not performed; and
  • FIG. 6 is a graph showing an etching speed change in a case where a temperature adjustment of a side wall is performed according to the present invention.
  • DESCRIPTION OF AN EMBODIMENT OF THE INVENTION
  • Hereinafter, a plasma processing apparatus and a plasma processing method representing one embodiment according to the present invention will be explained.
  • FIG. 1 is an example of a UHF type ECR plasma etching apparatus. At a peripheral portion of an etching chamber 1 (a vacuum processing chamber), which is operated as a vacuum vessel, a coil 2 is installed, and this coil 2 generates an electron cyclotron resonance (ECR) field. An etching gas is supplied from a gas supply pipe 3 and is introduced via a gas supply plate 4 to the etching chamber 1. The gas supply plate 4 is comprised of a plate made of silicon or a glass form carbon in which about 100 fine holes having a diameter of from 0.4 mm to 0.5 mm degree are provided.
  • At an upper portion of the gas supply plate 4, a disc-shaped antenna 5 is provided, and this antenna 5 radiates microwave energy in the UHF band. The microwave energy is supplied to the antenna 5 from a power supply 6 through an induction shaft 7. When the microwave energy is radiated from a periphery of the antenna 5, an oscillating electric field in a space above the antenna 5 is introduced into the etching chamber 1 through a dielectric body 8. Further, between the antenna 5 and an electrode 9, a volume combination electric field is generated, and this electric field becomes an effective plasma generation source. The frequency of the microwave energy is set to a range of from 300 MHZ to 1 GHz and has a band area in which the electron temperature of the plasma is a low temperature of from 0.25 eV to 1 eV.
  • In this embodiment according to the present invention, a frequency band in the vicinity of 450 MHZ can be employed. Further, as the dielectric body 8, a quartz or an alumina material can be employed. Further, a heat resistant polymer having a small dielectric loss, such as a polyimide etc., can be employed as well.
  • The electrode for mounting a wafer (the wafer mount electrode or sample table) 9 is provided below the gas supply plate 4, and a wafer 10 representing a sample is supported on the sample table through a electrostatic adsorption. To draw the ions in the plasma to the wafer 10, a high frequency bias is applied to the wafer mount electrode 9 from a high frequency power supply 11.
  • Further, the temperature control of an inner wall of the etching chamber 1, representing the vacuum processing chamber, which is an essential feature according to the present invention, is carried out at a temperature adjustment side wall 12 of the etching chamber 1. To the temperature adjustment side wall 12, although not shown in the figure, a coolant medium which is temperature controlled is introduced, so that the temperature adjustment side wall 12 is maintained at a constant temperature. In this embodiment according to the present invention, the constant temperature in the temperature adjustment side wall 12 is set to 30° C.
  • The etching gas and reaction products are deposited on the inner wall of the etching chamber 1 and they are also deposited at the periphery and in a downstream area of the wafer mount electrode 9, so that a deposition film is generated which is the origin of the foreign matter in the etching chamber 1. Accordingly, it is necessary to periodically remove the deposition film, however, it is not always easy to remove a strongly adhered deposition film. Herein, in this embodiment according to the present invention, the cleaning of the deposition film is carried out using an exclusive cleaning apparatus.
  • The time used for establishing a vacuum state by evacuation of the etching chamber 1, which has been opened to the air for cleaning, is important from an aspect of the non-operation time of the apparatus and further from an aspect of an improvement of the productivity of the apparatus. Accordingly, it is desirable to prevent the deposition film from adhering on a portion where a component exchange-over is not carried out easily, and to try to provide the component to which the deposition film has adhered as a component which can be easily replaced by another clean component. In this way, the opening time for cleaning in the etching chamber 1 can be shortened, and a reduction of the cleaning and evacuation time can be achieved.
  • In this embodiment according to the present invention, to prevent the deposition film from adhering to the downstream region of the etching chamber 1, a deposition film cover 13 is provided in the downstream region of the temperature adjustment side wall 12 of the etching chamber 1. To the cover 13, a vacuum evacuation and wafer delivery opening portion is provided. Since the deposition film can be removed with this cover 13, the adhesion of the deposition film in the downstream region of the temperature adjustment side wall 12 can be reduced.
  • A vacuum chamber 15 is connected directly to the etching chamber 1, and a turbo molecular pump 14 having an evacuation speed of from
  • 2000 L/s to 3000 L/s is installed in the vacuum chamber 15. Further, although not shown in the figure, to an opening portion of the turbo molecular pump 14, a vacuum evacuation speed adjustment conductance valve 16 is installed, and this evacuation speed adjustment conductance valve 16 is used for separating the turbo molecular pump 14 during the chamber open time, or the evacuation speed adjustment conductance valve 16 is used for not opening the chamber to the air.
  • Next, an example of oxide film etching using the plasma processing apparatus of this embodiment according to the present invention will be explained.
  • To the etching chamber 1 which is evacuated to a high vacuum condition, although not shown in the figure, the wafer 10 is carried in from a transfer chamber by a transfer arm, and the wafer 10 is delivered onto the wafer mount electrode 9. The transfer arm is then retracted, and, after a valve arranged between the etching chamber 1 and a transfer chamber has been closed, the wafer mount electrode 9 is raised to a position where the etching is to be carried out. In the case of this embodiment according to the present invention, the distance between the wafer 10 and the gas introduction plate 4 (an electrode interval) is set to from 50 mm to 100 mm.
  • As the etching gas, a mixture gas comprised of Ar, and C4F8, and O2 is used, and the respective flow amounts are 500 sccm, 10 sccm and 5 sccm. The pressure of the etching gas is 2 Pa. The output of the UHF microwave power supply 6 is 1 kW, and the output of a bias power supply 11 to the wafer 10 is 600 W.
  • A current is applied to the coil 2 and a resonance magnetic field having 0.016 T of UHF energy at 450 MHZ is generated between the gas supply plate 4 and the wafer mount electrode 9 (namely the wafer 10). Next, the microwave power supply 6 is operated. Due to the electron cyclotron resonance phenomenon, a strong plasma is generated in the ECR area having a resonance magnetic field strength of 0.016 T.
  • To improve the uniformity of the etching characteristic, it is necessary to ensure that the incident ion density on the surface of the wafer 10 is uniform, and, when the ECR is positioned as stated above and the shape of the ECR area is formed with a raised portion extending toward the wafer 10, the required uniformity of the ion current density can be attained.
  • After a spark of the plasma, not shown in the figure, from a direct current power supply which is connected directly in parallel with the high frequency power supply 11, a high voltage is applied to the wafer mount electrode 9, and then the wafer 10 is electrostatically attracted to and held on the wafer mount electrode 9.
  • At a rear face of the wafer 10, helium (He) gas is introduced, and the temperature adjustment of the wafer 10 is carried out between the wafer mounting face of the wafer mount electrode 9, which is temperature controlled by a coolant medium, and the wafer 10, through the helium (He) gas.
  • Next, the high frequency power supply 11 is operated, and a high frequency bias is applied to the wafer mount electrode 9. Accordingly, ions are incident vertically from the plasma onto the wafer 10. In oxide film etching, it is necessary to carry out a processing with high energy ions.
  • In this embodiment, according to the present invention, a high frequency bias voltage Vpp (the voltage between the maximum peak and the minimum peak) has a value of from 1000 V to 2000 V. In response to the impact of high energy ions with the wafer surface, the temperature of the wafer 10 rises. In oxide film etching, since the selection ratio is high at higher temperature values, the etching characteristic has a superior characteristic, and so the wafer temperature is adjusted to a value of several 10° C. However, since it is necessary to carry out the processing with high energy ions, the heat input amount to the wafer 10 is large, and so the coolant medium temperature of the wafer mount electrode 9 is set in the vicinity of −20° C.
  • At this time, when the bias voltage is applied to the wafer 10, the etching is started, and the etching is finished within a predetermined etching time. Or, though not shown in the figure, by monitoring the plasma luminescence strength change of the reaction products and further judging the finish point of the etching, an etching finish time can be determined, and, after a suitable over etching has been performed, then the etching is finished. The etching is completed at a time when the application of the high frequency bias voltage is stopped. Simultaneously with this, the supply of the etching gas is stopped.
  • However, it is necessary to provide a process in which the electrostatically held wafer 10 is released from the wafer mount electrode 9, and, for this purpose, an electric adsorption gas, such as Ar etc., is supplied. By stopping the supply of the electrostatic adsorption voltage, and then connecting the electric supply line to an earth ground, while maintaining the discharge of the microwave energy, an electric adsorption time of 10 seconds is allocated. Accordingly, the electric charges on the wafer 10 are adsorbed by the earth ground through the plasma, and, as a result, the wafer 10 can be removed easily.
  • When the electric adsorption process is ended, the supply of the electric adsorption gas is stopped, and also the supply of the microwave energy is stopped. Further, the current supply to the coil 2 is stopped. Further, the wafer mount electrode 9 is lowered until the surface thereof reaches the wafer delivery position.
  • After that, for some time, the etching chamber 1 is evacuated until high vacuum is achieved. At a time point when the high vacuum state has been reached, the valve between the etching chamber 1 and the transfer chamber is opened, the transfer arm is inserted therein and then the waver 10 is carried out. In case there is to be a next etching process, a new wafer is carried in and the etching is performed again according to the above-stated procedures.
  • In the above description, the representative flow of the etching process was explained.
  • The electron temperature of the UHF band microwave ECR plasma is in a range of from 0.25 eV to 1 eV and the dissociation of C4F8, which is the etching gas, does not progress much. The dissociation of C4F8 is a complicated process, in which the gas species which contributes to the etching is first dissociated from CF3 to CF2, then CF is generated, and finally F is generated. As a result, the higher the electron temperature, the more the plasma becomes rich in F.
  • As stated in the Background of the Invention, to ensure the proper selection ratio in the oxide film etching, in the deposition of a film on the foundation silicon, it is necessary to restrain the etching according to the high incident ion energy. Namely, since high energy ions are incident on the wafer, when there is no deposition film, there is a possibility that the etching will progress according to a physical sputter.
  • As a result, for the etching to progress, it is necessary to supply high energy ions to the bottom of a hole, however to ensure the required selection ratio, it is necessary to supply radicals which form a deposition film. It is said that the radicals for forming the deposition film are CF3 and CF2.
  • On the other hand, F radicals form SiF4 etc. and the foundation silicon is caused to be etched. As a result, to perform high selection ratio etching, it is necessary to make CF2/F (CF2-F ratio) large. In the case of UHF band microwave ECR plasma, since the electron temperature is low, the generation amount of F is small, and a plasma having a plentiful amount of CF3, CF2 and CF is formed. Accordingly, as shown in the case of a high electron temperature and a high density plasma, to supply CF2 and CF3, which become insufficient due to the excessive progress of the dissociation of the plasma, it is unnecessary to heat the inner wall of the etching chamber 1 to more than 200° C.
  • As the necessary points for achieving a fine processing correspondence etching, the following points are stated, namely (1) under a low electron temperature, the plasma dissociation is restrained suitably and a plasma having a large CF2/CF (CF2-CF ratio) is generated; (2) the discrepancy between a 90° angle and the ion incident angle is restrained to a small value and a tapering formation of the etching shape; and (3) even when the etching is repeated many times, the fluctuation of the etching characteristic is small.
  • In addition to the above, an item relating to the etching characteristics is an important development problem, however, in the present specification, such an item is not mentioned.
  • The above-stated item (1) for the necessary points for the fine processing correspondence etching is solved by the use of the UHF band microwave plasma etching apparatus according to the present invention.
  • As to the above-stated item (2) for the necessary points for the fine practicing correspondence etching, a main cause is that the orbit of the ions is displaced with the collision of the ions and a gas molecule in the vapor phase, and so it is effective to lower the pressure to lessen the occurrence of such collisions. Since the UHF band microwave plasma etching apparatus according to the present invention cases electron cyclotron resonance, it is possible to generate the plasma under a low pressure.
  • As to the above-stated item (3) for the necessary points for the fine processing correspondence etching, it is necessary to prevent fluctuation of the etching characteristic even when the number of etching operations which are repeated is in the order of several hundred; namely, it is necessary to restrain the time lapse change. A main cause of the time lapse change is the time fluctuation of the kinds of gas which are discharged from the deposition film which adheres to the inner wall (the side wall, the ceiling, etc) and the other components of the etching chamber 1. More specifically, the temperature fluctuation of the members to be subjected to the processing, such as the side wall, represents a large cause of the problem.
  • As a countermeasure against the restraint of the time lapse change, basically the apparatus is formed so as to prevent fluctuation of the desorption and adsorption phenomenon of the deposition film on the wall face using temperature control; however, in various plasma generation systems, the wall face area used to form the apparatus differs.
  • The relationship between the etching chamber height and the side face area is shown in FIG. 2. In the narrow electrode plasma type apparatus, the height of the etching chamber is low, and also the area of the side wall face is narrow. On the other hand, in the high density plasma apparatus, the height of the etching chamber is high, and also the area of the side wall face is wide.
  • In the UHF type ECR apparatus according to the present invention, the height of the etching chamber (the electrode interval) and the area of the side wall are positioned intermediately relative to the other types of apparatus, and the apparatus occupies a region which is suitable for oxide film etching. Namely, according to the present invention, the height of the etching chamber (the electrode interval) and the area of the side wall has a middle value in the 30 mm-100 mm range of the narrow electrode (about 30 mm) and the microwave ECR induction type (more than 100 mm). The height of the etching chamber, namely the electrode interval, is a distance of from 50 mm to 100 mm, and the reaction products generated by the etching are re-dissociated and re-incident on the wafer 10.
  • For the above stated reasons, the etching characteristic of the oxide film is influenced, however, this is caused by making the most suitable performance to the influence degree, such as the re-dissociation and the incidence of the reaction products etc. with the etching characteristic of the oxide film. Namely, in this embodiment according to the present invention, the electrode interval is set to a predetermined distance which is determined by a relative relationship of the mean free ion path in the vicinity at a pressure of 2 Pa.
  • Since the electrode interval is set to the above stated distance, the pressure distribution on the face of the wafer 10 can be made uniform. In a case where the wafer diameter is large, such as from 200 mm to 300 mm, the difference in pressure between the center and the periphery of the wafer 10 can be small. Further, since the conductance, which depends on the electrode interval, is large, a high speed of evacuation of the chamber 1 to a high vacuum can be attained, and, as a result, the time during which the etching gas and the reaction products remain in the chamber.
  • In a case where the area of the side wall is further widened, there is a possibility that the adhesion amount of the deposition film becomes large, with the result that the degree of influence on the etching characteristic becomes large. In an apparatus for maintaining a high density plasma, according to the requirements of the plasma generation method, it is necessary to form the height of the etching chamber to fall in a range from 100 mm to 200 mm. Accordingly, the ratio of the area of the side wall to the whole area of the etching chamber is high, and so the influence on the fluctuation of the etching characteristic by the etching gas and the deposition of the reaction products on the side wall will be large.
  • As methods for restraining this influence, there are methods in which the temperature fluctuation of the side wall is reduced or the side wall is heated to a high temperature to prevent a deposition film from adhering thereto.
  • Further, as stated above, in an apparatus using a high density plasma source, since the electron temperature is high, an F-rich plasma is generated. Therefore, to ensure a proper selection ratio, it is necessary to reduce the gas species which adheres to the side wall, or it is necessary to promote a gas discharge from the deposition film. As a result, it is necessary to raise the side wall to a high temperature.
  • For the above stated reasons, in a high electron temperature and high density plasma etching apparatus, the side wall is heated to
  • 200° C. degree and the temperature fluctuation is maintained within a range of +2° C. However, it is difficult technically to heat the
  • side wall to a high temperature of more than 200° C., and it is also difficult technically to restrain, with high accuracy, the temperature fluctuation to as little as +2° C. Further, such a technique invites a complicated structure for the apparatus and a problem in reliability, as well as an increase in cost. Further, the side wall comprises the entire inner wall of the etching chamber, and includes the top plate and other portions which contact the plasma.
  • In a portion where the deposition film adheres, but which is not contacted directly by the plasma, since this portion has a possibility for affecting the etching characteristic, it is necessary to take such portion fully into consideration. Further, in the apparatus according to the present invention, since the side wall is from 50 mm to 100 mm, the downstream region therefore can hardly comprise a region where the deposition film is adhered. As a result, for oxide film plasma etching, it is desirable to provide an apparatus in which fluctuation of the etching characteristic is not generated, even when the temperature adjustment accuracy in the control of the side wall temperature is mitigated.
  • In the UHF type ECR plasma apparatus according to the present invention, it is unnecessary to increase the side wall temperature to improve the selection ratio. There is the advantage that the side wall temperature can be established from the view point of the restraint of the time lapse change.
  • FIG. 3 shows the results in a case in which the temperature of the deposition film was changed 1° C., and the gas discharge amounts from the deposition film were measured. It is seen from these results that when the temperature of the deposition film is high, a large amount of gas is discharged with a temperature fluctuation of 1° C. It is supposed that when the gas which corresponds to the flow amount of 0.01 sccm by the conversion calculation of the flow amount of the etching gas, there is a possibility that the etching characteristic is influenced, and the temperature adjustment range of the side wall temperature at this time is shown on the right side in FIG. 3.
  • In the case of a wall temperature of 200° C., when the side wall is not controlled to ±2° C., the fluctuation of the gas discharge amount becomes less than 0.01 sccm. On the other hand, when the side wall temperature is controlled to less than 120° C., even the side wall temperature changes cause a small change in the gas discharge amount. Namely, it is understood that even when the control accuracy of the side wall temperature +5° C. and ±10° C., the gas discharge for giving an influence to the etching characteristic does not occur. In the etching apparatus according to the present invention, the side wall temperature is established within a range of from 10° C. to 120° C. Preferably, it is controlled from the room temperature 20° C. to 50° C. With this temperature range, since the etching chamber is not heated to a high temperature, there is the advantage that the size of the apparatus is small, and the materials used for the vacuum sealing and materials having a different thermal expansion coefficient can be used freely, and the temperature control can be performed easily.
  • According to the present invention, system is provided in which a coolant medium which is connected to the temperature adjustment means is introduced to the side wall. By the employment of such a system, the temperature control can be carried out to less than ±10° C.
  • Further, FIG. 3 shows the results in which the discharge amounts from the deposition film were measured. When the side wall temperature reaches a high temperature of more than 200° C., since the adhesion amounts of the deposition film themselves become small, in an apparatus having a high temperature control in which a deposition film does not adhere, as shown in the example of FIG. 3, substantial gas discharge amounts become small.
  • The stability of the gas discharge amounts and the magnitude of the fluctuation amounts into which the consideration of the adhesion amounts is taken are shown in FIG. 4. In FIG. 4, the horizontal axis indicates the side wall temperature of the etching chamber, and the vertical axis indicates the relative magnitude of the deposition film amount, the degree of influence on the time lapse change and the gas discharge amount.
  • The gas discharge amount from the deposition film increases abruptly for side wall temperatures which exceed 200° C. On the other hand, the amount of the deposition film which adheres to the side wall (the deposition speed) reduces gradually in proportion to an increase in the temperature and decreases abruptly for temperatures in excess of 200° C. The reason for this is that when the temperature exceeds
  • 200° C., and further when the temperature exceeds 300° C., the deposition film does not adhere to the side wall.
  • Accordingly, in the temperature range of the AREA 1 in FIG. 4, since the side wall temperature is low, the influence for referring to the etching characteristic to the deposition film of the side wall is small. Further, in the AREA 3 in FIG. 4, since the temperature is high, the gas discharge amount from the unit deposition film is large, however a deposition film will hardly adhere, and, as a result, the gas discharge amount is small and the influence on the etching characteristic is small.
  • However, in the AREA 2 in FIG. 4, which represents an intermediate temperature range between AREA 1 and AREA 3, the deposition film is comparatively large and the gas discharge amount is large, and, as a result, the temperature fluctuation of the side wall has a large influence on the etching characteristic.
  • Taking into consideration the above-stated points, to restrain the time lapse change, the side wall temperature is set to the AREA 1 or the AREA 3. The temperature range of the AREA 1 is less than 120° C., and in the AREA. 3, the temperature range is more than 200° C., while in the AREA 2 the temperature range is from 120° C. to 200° C.
  • According to this embodiment of the present invention, the temperature of the side wall is established in the temperature range of the AREA 1 in FIG. 4. Further, from the above-described principle, the side wall temperature may be established in the low temperature range, however, taking into consideration the ease in establishing the temperature and providing a coolant medium without creating condensation, the lower limitation temperature is set to 10° C.
  • FIG. 5 shows the etching speed fluctuation in a UHF type ECR plasma etching apparatus in a case of using a mixture gas containing Ar and C4F8, and in which continuous etching is carried out. In this case, the temperature adjustment of the side wall is not carried out, and so the temperature fluctuation rises with the discharge time of the plasma to 60° C. degree from room temperature. The temperature fluctuation is ±20° C. The etching speed of the silicon nitride at the etching starting time is high, as a result of the fluctuation of the etching characteristic.
  • On the other hand, FIG. 6 shows the etching characteristic in a case where the temperature adjustment of the side wall is carried out. After the etching chamber is opened to the air and evacuation of the chamber is carried out, but without covering the inner portion of the etching chamber by the deposition film and also the process for presenting the regular state, immediately after the etching is started, the etching characteristic is stable from the starting time of the etching, and the fluctuation after that is not hardly in evidence. Further, the side wall temperature fluctuation at this time is within ±5° C.
  • As understood from the above-stated results, in a UHF type ECR plasma etching apparatus, by performing a temperature adjustment of the side wall, an extremely stable etching characteristic can be obtained.
  • Further, in this embodiment according to the present invention, it is assumed that a UHF type ECR plasma etching apparatus is used, however, when the plasma source is suited for the etching of an oxide film, it is not limited to a UHF type ECR plasma etching apparatus. Namely, when the electron temperature in the plasma is the low, for example, an electron temperature of less than 1 eV, and when a high density plasma is used, for example, it is possible to employ an apparatus using a pulse plasma source in which the application of the microwave is carried out intermittedly.
  • Further, it is possible to employ an apparatus using a plasma source in which an induction type plasma, except for the fact that the microwave is pulse driven. When the side wall of the etching chamber of these plasma sources is established at a range of 10° C. to 120° C., it is possible to obtain a superior oxide film etching characteristic, and, further, it is possible to exhibit a stable characteristic during a long period of operation.
  • Further, the temperature adjustment of the side wall is exemplified by using a coolant medium, however the invention is not limited to the use of a coolant medium, since it can employ any one of the various types of compulsory cooling using water cooling and vapor cooling, a heater, or lamp heating using infrared rays.
  • To summarize, the temperature must be formed within the range of
  • 10° C. to 120° C. With the above stated temperature range, even when the temperature adjustment range of the side wall is ±5° C. degree, a fully stable etching characteristic can be obtained.
  • According to the etching characteristic, even when the temperature adjustment range of the side wall is ±10° C., a stable etching characteristic can be obtained, and the temperature adjustment can be carried out extremely easily.
  • According to the present invention, since a superior oxide film etching characteristic can be obtained and a stable characteristic can be obtained during a long period of operation, the following advantages can be expected.
  • Namely, the yield can be improved and the throughput can be improved. Further, since the temperature adjustment is established in a low temperature range of from 10° C. to 120° C., the inconvenience in which the size of the etching chamber is made large due to thermal expansion can be avoided. For example, the line expansion coefficient of the aluminum alloy which is largely used in the etching chamber is 24×10−6K−1; on the other hand, for alumina and quartz, the respective line expansion coefficients are 6×10−6K−1 and 0.41×10−6K−1. Since the line expansion coefficients differ so much, when the etching chamber is heated to produce the plasma discharge or the etching chamber is temperature controlled compulsively at a high temperature, the differences in the sizes between the materials become large, making it necessary to structurally design the chamber to avoid thermal expansion.
  • Further, the change in size of the vacuum sealing portion exerts an influence on the sealing characteristic, and the heat resistant performance of the elastomer which forms the seal material also becomes a problem. When the temperature reduces a level of more than 150° C., the possibility that the life of the seal material will be short becomes high.
  • As stated above, various problems are caused due to high temperature, and the addition of heat resistant performance structurally causes the cost of the apparatus to increase.

Claims (10)

1. A plasma processing method of using a plasma processing apparatus comprising a vacuum processing chamber, a sample table for mounting a sample which is processed in said vacuum processing chamber, a temperature adjustment side wall of said vacuum processing chamber and a plasma generation means for generating a plasma according to introduction of a gas which contains at least carbon and fluorine thereby forming a gas species which contains carbon and fluorine by plasma dissociation and a plasma processing is carried out using said plasma, the plasma processing method comprising the steps of:
generating a plasma which contains in said formed gas species a smaller amount of F and a greater amount of CF3, CF2 or CF as a ratio of the gas species by controlling the electron temperature of said plasma generated to a range of from 0.25 eV to 1 eV,
etching said sample having an insulating film as a film to be processed by using said plasma, and
controlling a temperature of said temperature adjustment side wall to a range of 10° C. to 120° C., thereby adhering deposits to the temperature adjustment side wall and controlling the amount of the gas released from the deposits.
2. A plasma processing method according to claim 1, wherein said plasma generation means is an electron cyclotron resonance system using a microwave at a frequency of from 300 MHz to 1 GHz.
3. A plasma processing method according to claim 2, wherein a temperature-adjusted coolant medium is used as a means for controlling the temperature of said temperature of said temperature adjustment side wall.
4. A plasma processing method according to claim 3, wherein the temperature of said temperature adjustment side wall is controlled to a range of 30° C. to 50° C.
5. A plasma processing method according to claim 3, wherein said temperature of said temperature adjustment side wall is controlled so as to restrain the amount of the gas released from the deposits.
6. A plasma processing method according to claim 2, wherein the temperature of said temperature adjustment side wall is controlled to a range of 30° C. to 50° C.
7. A plasma processing method according to claim 2, wherein said temperature of said temperature adjustment side wall is controlled so as to restrain the amount of the gas released from the deposits.
8. A plasma processing method according to claim 1, wherein a temperature-adjusted coolant medium is used as a means for controlling the temperature of said temperature adjustment side wall.
9. A plasma processing method according to claim 1, wherein the temperature of said temperature adjustment side wall is controlled to a range of 30° C. to 50° C.
10. A plasma processing method according to claim 1, wherein said temperature of said temperature adjustment side wall is controlled so as to restrain the amount of the gas released from the deposits.
US11/348,300 1998-10-12 2006-02-07 Plasma processing apparatus and a plasma processing method Abandoned US20060157449A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US11/348,300 US20060157449A1 (en) 1998-10-12 2006-02-07 Plasma processing apparatus and a plasma processing method
US12/230,565 US20090008363A1 (en) 1998-10-12 2008-09-02 Plasma processing apparatus and a plasma processing method

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP10-289696 1998-10-12
JP28969698A JP3764594B2 (en) 1998-10-12 1998-10-12 Plasma processing method
US09/414,520 US7048869B2 (en) 1998-10-12 1999-10-08 Plasma processing apparatus and a plasma processing method
US11/348,300 US20060157449A1 (en) 1998-10-12 2006-02-07 Plasma processing apparatus and a plasma processing method

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/414,520 Continuation US7048869B2 (en) 1998-10-12 1999-10-08 Plasma processing apparatus and a plasma processing method

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/230,565 Continuation US20090008363A1 (en) 1998-10-12 2008-09-02 Plasma processing apparatus and a plasma processing method

Publications (1)

Publication Number Publication Date
US20060157449A1 true US20060157449A1 (en) 2006-07-20

Family

ID=17746569

Family Applications (3)

Application Number Title Priority Date Filing Date
US09/414,520 Expired - Lifetime US7048869B2 (en) 1998-10-12 1999-10-08 Plasma processing apparatus and a plasma processing method
US11/348,300 Abandoned US20060157449A1 (en) 1998-10-12 2006-02-07 Plasma processing apparatus and a plasma processing method
US12/230,565 Abandoned US20090008363A1 (en) 1998-10-12 2008-09-02 Plasma processing apparatus and a plasma processing method

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/414,520 Expired - Lifetime US7048869B2 (en) 1998-10-12 1999-10-08 Plasma processing apparatus and a plasma processing method

Family Applications After (1)

Application Number Title Priority Date Filing Date
US12/230,565 Abandoned US20090008363A1 (en) 1998-10-12 2008-09-02 Plasma processing apparatus and a plasma processing method

Country Status (2)

Country Link
US (3) US7048869B2 (en)
JP (1) JP3764594B2 (en)

Cited By (130)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070175587A1 (en) * 2006-01-20 2007-08-02 Ngk Insulators, Ltd. Method of generating discharge plasma
US20080122368A1 (en) * 2006-08-29 2008-05-29 Ngk Insulators, Ltd. Methods of generating plasma, of etching an organic material film, of generating minus ions, of oxidation and nitriding
US20130029494A1 (en) * 2010-03-04 2013-01-31 Tokyo Electron Limited Plasma etching method, method for producing semiconductor device, and plasma etching device
CN104299874A (en) * 2013-07-17 2015-01-21 中微半导体设备(上海)有限公司 Plasma treatment device
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9355863B2 (en) 2012-12-18 2016-05-31 Applied Materials, Inc. Non-local plasma oxide etch
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9384997B2 (en) 2012-11-20 2016-07-05 Applied Materials, Inc. Dry-etch selectivity
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9406523B2 (en) * 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9412608B2 (en) 2012-11-30 2016-08-09 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9418858B2 (en) 2011-10-07 2016-08-16 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9437451B2 (en) 2012-09-18 2016-09-06 Applied Materials, Inc. Radical-component oxide etch
US9449845B2 (en) 2012-12-21 2016-09-20 Applied Materials, Inc. Selective titanium nitride etching
US9449850B2 (en) 2013-03-15 2016-09-20 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9472412B2 (en) 2013-12-02 2016-10-18 Applied Materials, Inc. Procedure for etch rate consistency
US9472417B2 (en) 2013-11-12 2016-10-18 Applied Materials, Inc. Plasma-free metal etch
US9478432B2 (en) 2014-09-25 2016-10-25 Applied Materials, Inc. Silicon oxide selective removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9607856B2 (en) 2013-03-05 2017-03-28 Applied Materials, Inc. Selective titanium nitride removal
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US9887096B2 (en) 2012-09-17 2018-02-06 Applied Materials, Inc. Differential silicon oxide etch
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003059897A (en) * 2001-07-31 2003-02-28 Applied Materials Inc Method for removing native oxide
JP3820188B2 (en) 2002-06-19 2006-09-13 三菱重工業株式会社 Plasma processing apparatus and plasma processing method
JP3816081B2 (en) * 2004-03-10 2006-08-30 松下電器産業株式会社 Plasma etching apparatus and plasma etching method
JP4492949B2 (en) * 2004-11-01 2010-06-30 ルネサスエレクトロニクス株式会社 Manufacturing method of electronic device
CN100443637C (en) * 2005-12-08 2008-12-17 北京北方微电子基地设备工艺研究中心有限责任公司 Method for controlling chip temperature in reaction chamber for semiconductor etching process
CA2635593C (en) * 2005-12-28 2014-10-28 Wabtec Holding Corp. Multi-fluid heat exchanger arrangement
US8707899B2 (en) * 2009-02-26 2014-04-29 Hitachi High-Technologies Corporation Plasma processing apparatus
CN114001858B (en) * 2020-07-28 2024-04-05 中微半导体设备(上海)股份有限公司 Capacitive film vacuum gauge, plasma reaction device and film preparation method

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5254171A (en) * 1991-04-16 1993-10-19 Sony Corporation Bias ECR plasma CVD apparatus comprising susceptor, clamp, and chamber wall heating and cooling means
US5324553A (en) * 1993-04-30 1994-06-28 Energy Conversion Devices, Inc. Method for the improved microwave deposition of thin films
US5429070A (en) * 1989-06-13 1995-07-04 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5589041A (en) * 1995-06-07 1996-12-31 Sony Corporation Plasma sputter etching system with reduced particle contamination
US5770097A (en) * 1994-12-23 1998-06-23 International Business Machines Corporation Control of etch selectivity
US5874013A (en) * 1994-06-13 1999-02-23 Hitachi, Ltd. Semiconductor integrated circuit arrangement fabrication method
US5874012A (en) * 1995-03-16 1999-02-23 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
US5961850A (en) * 1995-03-20 1999-10-05 Hitachi, Ltd. Plasma processing method and apparatus
US6015465A (en) * 1998-04-08 2000-01-18 Applied Materials, Inc. Temperature control system for semiconductor process chamber
US6054063A (en) * 1997-06-24 2000-04-25 Nec Corporation Method for plasma treatment and apparatus for plasma treatment
US6215087B1 (en) * 1996-11-14 2001-04-10 Tokyo Electron Limited Plasma film forming method and plasma film forming apparatus
US6245190B1 (en) * 1997-03-26 2001-06-12 Hitachi, Ltd. Plasma processing system and plasma processing method
US6589437B1 (en) * 1999-03-05 2003-07-08 Applied Materials, Inc. Active species control with time-modulated plasma
US20040058554A1 (en) * 1999-08-06 2004-03-25 Masaru Izawa Dry etching method

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0722393A (en) 1993-06-23 1995-01-24 Toshiba Corp Dry etching equipment and method
JP3210207B2 (en) 1994-04-20 2001-09-17 東京エレクトロン株式会社 Plasma processing equipment
JP3319285B2 (en) 1996-06-05 2002-08-26 株式会社日立製作所 Plasma processing apparatus and plasma processing method
JPH1092794A (en) 1996-09-17 1998-04-10 Toshiba Corp Plasma treatment device and plasma treatment method

Patent Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5429070A (en) * 1989-06-13 1995-07-04 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5254171A (en) * 1991-04-16 1993-10-19 Sony Corporation Bias ECR plasma CVD apparatus comprising susceptor, clamp, and chamber wall heating and cooling means
US5324553A (en) * 1993-04-30 1994-06-28 Energy Conversion Devices, Inc. Method for the improved microwave deposition of thin films
US5874013A (en) * 1994-06-13 1999-02-23 Hitachi, Ltd. Semiconductor integrated circuit arrangement fabrication method
US5770097A (en) * 1994-12-23 1998-06-23 International Business Machines Corporation Control of etch selectivity
US5874012A (en) * 1995-03-16 1999-02-23 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
US6815365B2 (en) * 1995-03-16 2004-11-09 Hitachi, Ltd. Plasma etching apparatus and plasma etching method
US6171438B1 (en) * 1995-03-16 2001-01-09 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
US5961850A (en) * 1995-03-20 1999-10-05 Hitachi, Ltd. Plasma processing method and apparatus
US5589041A (en) * 1995-06-07 1996-12-31 Sony Corporation Plasma sputter etching system with reduced particle contamination
US6215087B1 (en) * 1996-11-14 2001-04-10 Tokyo Electron Limited Plasma film forming method and plasma film forming apparatus
US6245190B1 (en) * 1997-03-26 2001-06-12 Hitachi, Ltd. Plasma processing system and plasma processing method
US6054063A (en) * 1997-06-24 2000-04-25 Nec Corporation Method for plasma treatment and apparatus for plasma treatment
US6015465A (en) * 1998-04-08 2000-01-18 Applied Materials, Inc. Temperature control system for semiconductor process chamber
US6589437B1 (en) * 1999-03-05 2003-07-08 Applied Materials, Inc. Active species control with time-modulated plasma
US20040058554A1 (en) * 1999-08-06 2004-03-25 Masaru Izawa Dry etching method

Cited By (181)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7750574B2 (en) 2006-01-20 2010-07-06 Ngk Insulators, Ltd. Method of generating discharge plasma
US20070175587A1 (en) * 2006-01-20 2007-08-02 Ngk Insulators, Ltd. Method of generating discharge plasma
US20080122368A1 (en) * 2006-08-29 2008-05-29 Ngk Insulators, Ltd. Methods of generating plasma, of etching an organic material film, of generating minus ions, of oxidation and nitriding
US7914692B2 (en) 2006-08-29 2011-03-29 Ngk Insulators, Ltd. Methods of generating plasma, of etching an organic material film, of generating minus ions, of oxidation and nitriding
US9324572B2 (en) * 2010-03-04 2016-04-26 Tokyo Electron Limited Plasma etching method, method for producing semiconductor device, and plasma etching device
US20130029494A1 (en) * 2010-03-04 2013-01-31 Tokyo Electron Limited Plasma etching method, method for producing semiconductor device, and plasma etching device
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9754800B2 (en) 2010-05-27 2017-09-05 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9418858B2 (en) 2011-10-07 2016-08-16 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9887096B2 (en) 2012-09-17 2018-02-06 Applied Materials, Inc. Differential silicon oxide etch
US9437451B2 (en) 2012-09-18 2016-09-06 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US9384997B2 (en) 2012-11-20 2016-07-05 Applied Materials, Inc. Dry-etch selectivity
US9412608B2 (en) 2012-11-30 2016-08-09 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9355863B2 (en) 2012-12-18 2016-05-31 Applied Materials, Inc. Non-local plasma oxide etch
US9449845B2 (en) 2012-12-21 2016-09-20 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9607856B2 (en) 2013-03-05 2017-03-28 Applied Materials, Inc. Selective titanium nitride removal
US9449850B2 (en) 2013-03-15 2016-09-20 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9659792B2 (en) 2013-03-15 2017-05-23 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9704723B2 (en) 2013-03-15 2017-07-11 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
CN104299874A (en) * 2013-07-17 2015-01-21 中微半导体设备(上海)有限公司 Plasma treatment device
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9711366B2 (en) 2013-11-12 2017-07-18 Applied Materials, Inc. Selective etch for metal-containing materials
US9472417B2 (en) 2013-11-12 2016-10-18 Applied Materials, Inc. Plasma-free metal etch
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9472412B2 (en) 2013-12-02 2016-10-18 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9837249B2 (en) 2014-03-20 2017-12-05 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9564296B2 (en) 2014-03-20 2017-02-07 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) * 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9773695B2 (en) 2014-07-31 2017-09-26 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9837284B2 (en) 2014-09-25 2017-12-05 Applied Materials, Inc. Oxide etch selectivity enhancement
US9478432B2 (en) 2014-09-25 2016-10-25 Applied Materials, Inc. Silicon oxide selective removal
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Also Published As

Publication number Publication date
JP2000124190A (en) 2000-04-28
US20090008363A1 (en) 2009-01-08
US20020040766A1 (en) 2002-04-11
JP3764594B2 (en) 2006-04-12
US7048869B2 (en) 2006-05-23

Similar Documents

Publication Publication Date Title
US7048869B2 (en) Plasma processing apparatus and a plasma processing method
US4367114A (en) High speed plasma etching system
US6165377A (en) Plasma etching method and apparatus
US6214162B1 (en) Plasma processing apparatus
US5626679A (en) Method and apparatus for preparing a silicon oxide film
US6576860B2 (en) Plasma processing method and apparatus for eliminating damages in a plasma process of a substrate
US4985114A (en) Dry etching by alternately etching and depositing
US4521286A (en) Hollow cathode sputter etcher
US5242539A (en) Plasma treatment method and apparatus
KR100302167B1 (en) Plasma Treatment Equipment and Plasma Treatment Methods
US9378929B2 (en) Plasma processing apparatus and plasma processing method
JP5600644B2 (en) Workpiece manufacturing equipment
EP1169490B1 (en) Vacuum processing apparatus
WO2005050723A1 (en) Plasma film-forming apparatus and plasma film-forming method
WO2010058642A1 (en) Plasma processing device and plasma processing method
JPH1171680A (en) Device for improved remote microwave plasma source used together with substrate treating apparatus
JP3319285B2 (en) Plasma processing apparatus and plasma processing method
US20090065148A1 (en) Methods and apparatus for igniting a low pressure plasma
US6020570A (en) Plasma processing apparatus
JP4052454B2 (en) Method for manufacturing silicon oxide film or silicon nitride film
JPH11283940A (en) Plasma treatment method
EP1154466A1 (en) Method and apparatus for plasma processing
JPH11204297A (en) Plasma treating device and plasma treating method
JP3477573B2 (en) Plasma processing apparatus, plasma generation introduction member and slot electrode
JP3077516B2 (en) Plasma processing equipment

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION