US20060154483A1 - Method of providing a structure using self-aligned features - Google Patents

Method of providing a structure using self-aligned features Download PDF

Info

Publication number
US20060154483A1
US20060154483A1 US11/277,340 US27734006A US2006154483A1 US 20060154483 A1 US20060154483 A1 US 20060154483A1 US 27734006 A US27734006 A US 27734006A US 2006154483 A1 US2006154483 A1 US 2006154483A1
Authority
US
United States
Prior art keywords
trench
depositing
copper
seed layer
mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/277,340
Inventor
Dinesh Chopra
Kevin Donohoe
Cem Basceri
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Priority to US11/277,340 priority Critical patent/US20060154483A1/en
Publication of US20060154483A1 publication Critical patent/US20060154483A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/90Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions
    • H01L28/91Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions made by depositing layers, e.g. by depositing alternating conductive and insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/66583Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with initial gate mask or masking layer complementary to the prospective gate location, e.g. with dummy source and drain contacts

Definitions

  • the present invention relates generally to a method of fabricating semiconductor devices. More particularly, the present invention relates to a method of self-aligned plating of copper.
  • the in-process semiconductor device which may still be part of an undiced semiconductor wafer, is exposed to a solution containing ions of the metal to be deposited.
  • the wafer serves as the cathode and is connected at its edges to a negative terminal of a power supply.
  • a suitable anode is also exposed to the solution and is connected to a positive terminal of the power supply.
  • the power supply generates an electrical current which flows between the anode and the cathode through the solution.
  • the electrical current causes an electrochemical reaction at the surface of the wafer, resulting in the metal ions in the solution being deposited thereon.
  • Electroless deposition is also another option, wherein deposition occurs in an aqueous medium through an exchange reaction between metal complexes in solution and the particular metal to be coated; and an externally applied electric current is not required.
  • Shih also proposes a solution to the dishing problem. After depositing a copper seed layer over a dielectric layer defining a trench, Shih proposes depositing a continuous insulating layer over the dielectric layer. Shih then patterns the insulating layer using photolithography in order to remove the portions of insulating material over the trench. Shih suggests using the same photo mask used to define the trench in the dielectric. Ideally, only the portion of the seed layer within the trenches remains exposed as a result of this process. Copper is subsequently electroplated and, because the portion of the seed layer external to the trench is covered by the insulating layer, plating does not occur in that location. Rather, plating is limited to the trenches.
  • the purported result is a relatively easier CMP process that need only remove a small amount of copper, the insulating layer, and the seed layer.
  • Shih's process requires that the photolithography step used to pattern the insulating layer be in perfect alignment with the photolithography step used to define the trenches in the dielectric. Otherwise, copper will form outside of the trench and copper formation inside the trench will be hindered. Moreover, assuming that such alignment is achieved, Shih's process still requires additional lithography and etching steps. As discussed above, there is an ever-present desire in the art to minimize the number of process steps needed to fabricate a semiconductor device.
  • PECVD plasma-assisted chemical vapor deposition
  • generating a plasma as part of the deposition process results in neutral particles that enhance deposition upon the surface of a workpiece.
  • other factors may interfere with deposition.
  • One such factor is the aspect ratio defined by a portion of the surface. The aspect ratio is defined as the depth of a feature divided by the width of a feature. If the surface defines a feature with a high aspect ratio, such as a deep trench with a narrow width, it is theorized that the isotropic flux of neutrals will decrease within the trench, thereby preventing deposition therein. In some circumstances, deposition does not occur at all within the trench.
  • This non-conformal deposition is an undesirable result in many instances of fabrication.
  • FIGS. 1-4 are cross-sectional views of an in-process semiconductor device depicting an exemplary process within the scope of the current invention.
  • FIG. 5 is a cross-sectional view of an in-process semiconductor device depicting an application of an exemplary process within the scope of the current invention.
  • FIGS. 6-8 are cross-sectional views of an in-process semiconductor device depicting another exemplary process within the scope of the current invention.
  • FIGS. 9-12 are cross-sectional views of an in-process semiconductor device depicting yet another exemplary process within the scope of the current invention.
  • FIGS. 13-15 are cross-sectional views of an in-process semiconductor device depicting still another exemplary process within the scope of the current invention.
  • FIGS. 16-19 are cross-sectional views of an in-process semiconductor device depicting one more exemplary process within the scope of the current invention.
  • FIG. 1 illustrates an in-process semiconductor device as known in the art, wherein an oxide 10 defines a trench 12 , and a continuous seed layer 14 about 1000 angstroms thick has been deposited thereover. It is preferred that the trench define an aspect ratio of greater than 0.5.
  • the oxide 10 is over a semiconductor substrate 13 .
  • substrate or “semiconductor substrate” will be understood to mean any construction comprising semiconductor material, including but not limited to bulk semiconductive materials such as a semiconductor wafer (either alone or in assemblies comprising other materials thereon), and semiconductive material layers (either alone or in assemblies comprising other materials).
  • the term “substrate” also refers to any supporting structure including, but not limited to, the semiconductive substrates described above.
  • a semiconductor device may comprise conductive and insulative materials as well as a semiconductive material.
  • the seed layer comprises copper and was deposited by a PVD process, as is known in the art.
  • prior art teaches either subsequently (1) plating over the entire surface, including outside of the trench; or (2) layering insulation over the entire surface and subsequently patterning that layer in an attempt to expose only the trench to the plating process.
  • At least one exemplary embodiment of the current invention avoids both plating outside of the trench and having to pattern a material over the seed layer. Instead, a material 16 is deposited over the seed layer under parameters such that the material 16 avoids the trench 12 . The result of such a deposition is illustrated in FIG. 2 .
  • the material 16 is one that is capable of surviving a subsequent process that adds to the inside of the trench 12 . Again, for purposes of explaining the current invention, it is assumed that the subsequent process is a plating process. Accordingly, material 16 could be a dielectric. More specific examples of material 16 include one comprising a hydrocarbon, a fluorocarbon, or a fluorohydrocarbon.
  • an exemplary deposition process that avoids the trench 12 can occur in an IPS etcher manufactured by Applied Materials, Inc.
  • Exemplary parameters include introducing CH 2 F 2 gas at a flow rate ranging from 20-60 sccm, preferably 40 sccm; a pressure ranging from 1 to 40 mTorr, preferably 25 mTorr; a source power ranging from 600 W to 1500 W, preferably 1000 W; a bias power of about 0 W; a roof temperature of about 140° C.; and an outer ring temperature of about 200° C.
  • the plating process mentioned above is carried out.
  • electrical contact is made with the seed layer 14 at the edge of the wafer, wherein the wafer has a face on which the semiconductor device is being formed.
  • the wafer is placed face-down at the opening of a tank filled with a liquid comprising copper sulfate, sulfuric acid, hydrochloric acid, and organic additives.
  • the wafer serves as a cathode, and an anode is found within the tank.
  • the anode and cathode are 1 to 5 cm apart, preferably 3 cm.
  • the liquid in the tank flows from the bottom of the tank, around the anode, toward the tank opening where the wafer is located, and spills out of the tank after contacting the wafer's face.
  • the flow rate of the liquid ranges from 2 to 7 gallons per minute (gpm), preferably 5.5 gpm.
  • a current ranging from 2 to 8 amps is generated for 1 to 7 minutes.
  • a current of 4 to 5 amps is generated for 1 minute, and a current of 6 amps is subsequently generated for 3 minutes.
  • the waveform can be a pulse, direct current (DC), or a reverse pulse waveform; preferably a DC waveform is used.
  • the wafer is rotated at a rate of 20 to 70 rotations per minute (rpm), preferably 40 rpm.
  • FIG. 3 The result of this process is illustrated in FIG. 3 , wherein copper 18 plates the trench 12 but does not plate outside of the trench 12 because material 16 was deposited in such a manner so as to isolate a portion of the seed layer 14 external to the trench 12 from the plating fluid.
  • a removal step such as CMP, may then be used to remove the material 16 and the seed layer 14 external to the trench 12 , resulting in the structure appearing in FIG. 4 .
  • Exemplary CMP parameters include applying a pressure of 2 to 5 pounds per square inch (psi) against the wafer, preferably 3 psi; a pad rotation rate ranging from 30 to 100 rpm, preferably 80 rpm; a wafer rotation rate ranging from 30 to 100 rpm, preferably 80 rpm; a slurry flow rate ranging from 50 to 200 milliliters per minute, preferably 100 milliliters per minute; and a temperature ranging from 70 to 130° F., preferably 77° F.
  • psi pounds per square inch
  • the slurry itself comprises an abrasive such as SiO 2 , Al 2 O 3 , TiO 2 , or CeO 2 ; Al 2 O 3 is preferable.
  • the slurry may also contain an oxidizer such as H 2 O 2 , KIO 3 , FeNO 3 , ammonium persulfate, or ammonium molybdate; H 2 O 2 is preferable.
  • the pH of the slurry can range from 2 to 10 and is preferably 7.
  • the CMP continues until the material 16 and the seed layer 14 external to the trench 12 are removed. An exemplary time required for such a result is 60 seconds.
  • removing material 16 and seed layer 14 is preferable to removing a relatively thick layer of plated copper in terms of process time, amount of slurry used, and amount of copper wasted.
  • the basic process disclosed above can have applications at several stages during semiconductor device fabrication. Such stages include word line, bit line, plug, and interconnect formation. Exemplary embodiments of the current invention include within their scope those comprising one such stage, combinations of such stages, and all stages.
  • the entrenched copper 18 of FIG. 4 could serve as a word line/transistor gate 30 , as seen in FIG. 5 , by removing the oxide 10 and adding an oxide or nitride cap 20 , adding dielectric spacers 22 , and defining active areas 24 using a doping process.
  • Subsequent fabrication steps involve providing a second oxide 26 and etching openings 28 in the second oxide 26 down to the active areas 24 . At this stage, it is desired to fill the openings 28 with a material that will allow electrical communication between the active areas 24 and conductive structures to be provided over the second oxide 26 .
  • the conductive structures that fill the openings and allow such communication are often referred to as “plugs” and can be formed in a manner similar to that used to form the transistor gate 30 .
  • a second seed layer 32 is provided over the second oxide 26 , and a second dielectric 34 is deposited in a manner so as to avoid portions of the seed layer 32 lining the openings 28 .
  • a plating process or some other deposition method is used to deposit an electrically conductive material 36 only on the exposed portions of seed layer 32 .
  • a subsequent CMP step can be used to remove the second dielectric 34 and portions of the second seed layer 32 external to the openings 28 , resulting in the in-process device including plugs 38 seen in FIG. 8 .
  • FIG. 9 illustrates that a third oxide 40 is deposited over the second oxide 26 and a container 42 is defined from the third oxide 40 .
  • defining the container 42 comprises etching the third oxide 40 as guided by a patterned mask 43 , such as a nitride mask.
  • the mask 43 need not be removed immediately after defining container 42 , as it can be removed later in the same process that will remove other layers, as detailed below.
  • FIG. 9 illustrates that a third oxide 40 is deposited over the second oxide 26 and a container 42 is defined from the third oxide 40 .
  • defining the container 42 comprises etching the third oxide 40 as guided by a patterned mask 43 , such as a nitride mask.
  • the mask 43 need not be removed immediately after defining container 42 , as it can be removed later in the same process that will remove other layers, as detailed below.
  • a third seed layer 44 is deposited so that it conforms to the underlying surfaces, including lining the container 42 .
  • the third seed layer 44 comprises platinum and is deposited by a PVD process.
  • a third dielectric 46 is deposited so that it forms only in regions external to the container 42 .
  • a layer of platinum, serving as a first electrode 48 and depicted in FIG. 11 is plated onto the exposed third seed layer 44 using methods known in the art.
  • a subsequent CMP step removes the third dielectric 46 , portions of the third seed layer 44 external to the container 42 , and the mask 43 , yielding the in-process device seen in FIG. 12 .
  • a capacitor dielectric 50 is formed over at least the first electrode 48 .
  • a fourth seed layer 52 again comprising platinum, is deposited by way of PVD over the capacitor dielectric 50 .
  • a fourth dielectric 54 is selectively deposited onto portions of the fourth seed layer 52 that are outside of the container 42 .
  • a subsequent plating process selectively deposits platinum, which serves as a second electrode 56 ( FIG. 14 ). It should be noted that, in many semiconductor circuits, this second electrode serves as a cell plate node that is in electrical communication with a plurality of capacitors.
  • the seed layer comprises platinum—a noble metal—which is resistant to CMP.
  • FIG. 16 Another stage in which the disclosed process may be used is illustrated beginning with FIG. 16 .
  • This stage concerns the formation of a bit line contact.
  • the fourth seed layer 52 and capacitor dielectric 50 are removed from an area above one of the plugs 38 , and a fourth oxide 58 is placed in that area as well as areas above the fourth seed layer 52 and capacitor dielectric 50 .
  • a contact opening 60 is etched through the fourth oxide 58 and the third oxide 40 down to one of the plugs 38 .
  • FIG. 17 demonstrates that a conformal fifth seed layer 62 that lines the contact opening 60 is provided, as is a non-conformal fifth dielectric 64 .
  • a subsequent plating process deposits metal 66 only within the contact opening 60 ( FIG. 18 ), thereby forming a bit line contact 68 .
  • the plug 36 under bit line contact 68 is not necessary for purposes of this exemplary embodiment: the formation of that plug 36 could be skipped and the bit line contact 68 could be formed so that it extends all the way to the underlying active
  • bit line itself 70 may be provided in a similar manner.
  • exemplary embodiments of the current invention include any one or any combination of the stages described above.
  • materials other than platinum or copper can be provided using the techniques described above.
  • Such other metals include silver, gold, cobalt, nickel, non-metals, non-conductive materials, and in general any material that benefits from a seed layer, as well as combinations of materials.
  • exemplary embodiments of the current invention are not limited to the plasma process addressed above.
  • Other plasma processes often referred to as “high density” or “decoupled” plasma processes may be used in embodiments of the current invention.
  • any deposition process that uses a plasma without applying substantial amounts of capacitively coupled power through the wafer could be used in such embodiments.
  • embodiments of the current invention may be applied to a plasma process wherein the capacitively coupled power through the wafer is 20% or less than the total power delivered to the chamber.
  • plasma process are not the only deposition processes that could be used to provide a masking material outside of the trench. Any process that provides the appropriate non-conformal material could be used. For instance, reacting silane with vaporized hydrogen peroxide results in a gas which condenses as a liquid on a substrate cooled to about 0° C. The condensation is non-conformal, as portions of the liquid on horizontal surfaces are thicker than portions of the liquid on vertical surfaces. A subsequent heat treatment dries the liquid to form SiO 2 . Given a support surface defining a trench and an ambient temperature during deposition approaching 100° C., it is believed that the non-conformal oxide will deposit only at the top of a trench to the exclusion of the sides and bottom of the trench.
  • a barrier layer highlights the advantages of at least some embodiments of the current invention. Specifically, it is known in the art to deposit a conformal layer of tantalum before depositing a seed layer and plating copper. The tantalum acts as a barrier to copper diffusion. Assuming that copper is plated both within and without the trenches, one skilled in the art must then remove both copper and tantalum from regions outside of the trenches. Further assuming that the unwanted copper and tantalum will be removed by way of CMP, one skilled in the art has a choice of three main types of slurry.
  • a first type is effective against copper but generally ineffective against tantalum; for example, EP-C5001 from Cabot Corporation will remove Cu at 6000A/minute and will not remove Ta.
  • a second type is effective against tantalum but generally ineffective against copper; EP-C4200A from Cabot corporation, for instance, will remove Ta at 500A/min and will not remove Cu.
  • a third type works on both copper and tantalum, but the copper removal rate is still relatively low. In general, a low removal rate for copper is considered to be about 1000A/min or less.
  • Cu-10k-2 from Planar Solutions will remove both Cu (at 650A/min) and Ta (at 800A/min). The exact chemistry of these slurries are trade secrets of the vendors selling them, but it is believed that the slurries are based on hydrogen peroxide and include different additives.
  • Exemplary embodiments of the current invention avoid both problems. Such embodiments allow the use of the third type of chemistry. Because there is a relatively low amount of copper to CMP—the seed layer and perhaps some plated copper extending up from the trench—the relatively low copper removal rate is inconsequential. Further, it is believed that the slurry will be effective in removing the self-aligned dielectric mask as well. As a result, the two-step CMP process in the prior art is simplified to a one-step CMP process in exemplary embodiments of the current invention.
  • an in-process semiconductor device comprising a material defining a trench and a seed layer over the material.
  • a plasma process is used to deposit a non-conformal mask onto the seed layer.
  • the mask is non-conformal in that it does not deposit inside the trench.
  • the seed layer therein is exposed.
  • the mask does cover portions of the seed layer outside of the trench.
  • a CMP step removes the mask and seed layer external to the trench.
  • Alternative exemplary embodiments of the current invention involve the use of phenomena other than decreasing isotropic flux in a high aspect ratio feature to provide an appropriate non-conformal mask layer. Still other embodiments concern the provision of materials other than copper.
  • exemplary embodiments of the current invention address the application of this process in at least one of several stages of semiconductor device fabrication, including forming a conductive line predominantly at one elevation of a semiconductor device, providing electrical communication between different elevations of a semiconductor device, and forming at least one capacitor electrode.

Abstract

In a copper plating process, a seed layer is uniformly deposited over a surface, including lining a high aspect ratio trench defined by that surface. A mask layer is provided using a process that fails to deposit in the trench. In one exemplary embodiment, the failure is due to the decrease in the isotropic flux of neutrals toward the bottom of the trench. Copper is subsequently electroplated. Because the seed layer is exposed only within the trench, copper deposits only therein. The self-aligned mask prevents plating outside of the trench. A chemical-mechanical planarization step removes the mask and the seed layer extending beyond the trench, leaving a copper structure within the trench. The structure may serve as a conductive line, an interconnect, or a capacitor plate.

Description

    RELATED APPLICATION
  • This application is a divisional of U.S. application Ser. No. 10/860,939, filed Jun. 3, 2004; which is a continuation of U.S. application Ser. No. 10/295,536, filed Nov. 15, 2002 and issued as U.S. Pat. No. 6,759,330; which is a divisional of U.S. application Ser. No. 09/644,254, filed Aug. 22, 2000 and issued as U.S. Pat. No. 6,511,912.
  • TECHNICAL FIELD
  • The present invention relates generally to a method of fabricating semiconductor devices. More particularly, the present invention relates to a method of self-aligned plating of copper.
  • BACKGROUND OF THE INVENTION
  • In fabricating a semiconductor device, it may be desirable to include copper as part of the device due to copper's low resistivity and ability to carry high current densities. Unfortunately, the use of copper is somewhat problematic. For instance, attempting to deposit a relatively large amount of copper directly onto a dielectric material results in poor adhesion. This can be particularly troublesome if the underlying surface has a variable topography, such as an insulating surface defining a trench. Assuming that it is desirable to fill the trench with copper, one known method of accomplishing this task is to first line the trench with a seed layer, which provides nucleation sites for the subsequent formation of copper. Known materials for such a seed layer include copper itself or aluminum. One known method of depositing the seed layer is physical vapor deposition (PVD). Such a process, however, not only lines the trench but also deposits the seed layer on surfaces outside of the trench.
  • Once the seed layer has been deposited, copper is then provided using one of several methods, such as electroplating. In that method, the in-process semiconductor device, which may still be part of an undiced semiconductor wafer, is exposed to a solution containing ions of the metal to be deposited. The wafer serves as the cathode and is connected at its edges to a negative terminal of a power supply. A suitable anode is also exposed to the solution and is connected to a positive terminal of the power supply. The power supply generates an electrical current which flows between the anode and the cathode through the solution. The electrical current causes an electrochemical reaction at the surface of the wafer, resulting in the metal ions in the solution being deposited thereon. Electroless deposition is also another option, wherein deposition occurs in an aqueous medium through an exchange reaction between metal complexes in solution and the particular metal to be coated; and an externally applied electric current is not required.
  • Regardless of the precise deposition process chosen, it is noteworthy that copper will deposit wherever the seed layer is exposed, including outside of the trenches, where copper is not necessarily desired. Thus, the excess copper must be removed using a process such as chemical-mechanical planarization (CMP). Doing so, however, may require multiple CMP steps depending upon the amount of excess copper to be removed and the presence of other layers, such as a barrier layer. This runs counter to the general desire in the art, which favors a minimum number of process steps, minimal process time, and the minimal use of materials such as CMP slurry and copper. In addition, attempts to remove the copper outside of the trench using CMP risks the phenomenon known as “dishing” concerning the copper remaining in the trench. This undesirable effect is further discussed in U.S. Pat. No. 6,080,656 by Shih et al. (hereinafter Shih).
  • Shih also proposes a solution to the dishing problem. After depositing a copper seed layer over a dielectric layer defining a trench, Shih proposes depositing a continuous insulating layer over the dielectric layer. Shih then patterns the insulating layer using photolithography in order to remove the portions of insulating material over the trench. Shih suggests using the same photo mask used to define the trench in the dielectric. Ideally, only the portion of the seed layer within the trenches remains exposed as a result of this process. Copper is subsequently electroplated and, because the portion of the seed layer external to the trench is covered by the insulating layer, plating does not occur in that location. Rather, plating is limited to the trenches. The purported result is a relatively easier CMP process that need only remove a small amount of copper, the insulating layer, and the seed layer. However, Shih's process requires that the photolithography step used to pattern the insulating layer be in perfect alignment with the photolithography step used to define the trenches in the dielectric. Otherwise, copper will form outside of the trench and copper formation inside the trench will be hindered. Moreover, assuming that such alignment is achieved, Shih's process still requires additional lithography and etching steps. As discussed above, there is an ever-present desire in the art to minimize the number of process steps needed to fabricate a semiconductor device.
  • Other fabrication problems occur in another, seemingly unrelated, area of semiconductor device fabrication; namely, plasma-assisted deposition processes such as plasma-enhanced chemical vapor deposition (PECVD). Ideally, generating a plasma as part of the deposition process results in neutral particles that enhance deposition upon the surface of a workpiece. However, even with the enhancement that a plasma process provides, other factors may interfere with deposition. One such factor is the aspect ratio defined by a portion of the surface. The aspect ratio is defined as the depth of a feature divided by the width of a feature. If the surface defines a feature with a high aspect ratio, such as a deep trench with a narrow width, it is theorized that the isotropic flux of neutrals will decrease within the trench, thereby preventing deposition therein. In some circumstances, deposition does not occur at all within the trench.
  • This non-conformal deposition is an undesirable result in many instances of fabrication. For example, there are efforts in the art to use a plasma process in order to deposit polymer on the sidewalls of a trench. Doing so allows a decrease in a dimension of a feature. As a result, there are efforts by those skilled in the art to change the plasma-enhanced deposition process to allow a more uniform deposition.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1-4 are cross-sectional views of an in-process semiconductor device depicting an exemplary process within the scope of the current invention.
  • FIG. 5 is a cross-sectional view of an in-process semiconductor device depicting an application of an exemplary process within the scope of the current invention.
  • FIGS. 6-8 are cross-sectional views of an in-process semiconductor device depicting another exemplary process within the scope of the current invention.
  • FIGS. 9-12 are cross-sectional views of an in-process semiconductor device depicting yet another exemplary process within the scope of the current invention.
  • FIGS. 13-15 are cross-sectional views of an in-process semiconductor device depicting still another exemplary process within the scope of the current invention.
  • FIGS. 16-19 are cross-sectional views of an in-process semiconductor device depicting one more exemplary process within the scope of the current invention.
  • FIG. 20 is a cross-sectional view of an in-process semiconductor device depicting another application of an exemplary process within the scope of the current invention.
  • DETAILED DESCRIPTION OF THE INVENTION
  • FIG. 1 illustrates an in-process semiconductor device as known in the art, wherein an oxide 10 defines a trench 12, and a continuous seed layer 14 about 1000 angstroms thick has been deposited thereover. It is preferred that the trench define an aspect ratio of greater than 0.5. The oxide 10 is over a semiconductor substrate 13. In the current application, the term “substrate” or “semiconductor substrate” will be understood to mean any construction comprising semiconductor material, including but not limited to bulk semiconductive materials such as a semiconductor wafer (either alone or in assemblies comprising other materials thereon), and semiconductive material layers (either alone or in assemblies comprising other materials). Further, the term “substrate” also refers to any supporting structure including, but not limited to, the semiconductive substrates described above. Moreover, it is understood that a semiconductor device may comprise conductive and insulative materials as well as a semiconductive material.
  • For purposes of explanation, it is assumed that it is desired to fill the trench with copper. Accordingly, it is further assumed that the seed layer comprises copper and was deposited by a PVD process, as is known in the art. As discussed above, prior art teaches either subsequently (1) plating over the entire surface, including outside of the trench; or (2) layering insulation over the entire surface and subsequently patterning that layer in an attempt to expose only the trench to the plating process.
  • At least one exemplary embodiment of the current invention, however, avoids both plating outside of the trench and having to pattern a material over the seed layer. Instead, a material 16 is deposited over the seed layer under parameters such that the material 16 avoids the trench 12. The result of such a deposition is illustrated in FIG. 2. The material 16 is one that is capable of surviving a subsequent process that adds to the inside of the trench 12. Again, for purposes of explaining the current invention, it is assumed that the subsequent process is a plating process. Accordingly, material 16 could be a dielectric. More specific examples of material 16 include one comprising a hydrocarbon, a fluorocarbon, or a fluorohydrocarbon. Assuming that material 16 is a dielectric such as a fluorocarbon polymer film, an exemplary deposition process that avoids the trench 12 can occur in an IPS etcher manufactured by Applied Materials, Inc. Exemplary parameters include introducing CH2F2 gas at a flow rate ranging from 20-60 sccm, preferably 40 sccm; a pressure ranging from 1 to 40 mTorr, preferably 25 mTorr; a source power ranging from 600 W to 1500 W, preferably 1000 W; a bias power of about 0 W; a roof temperature of about 140° C.; and an outer ring temperature of about 200° C.
  • Once the in-process device illustrated in FIG. 2 is achieved, the plating process mentioned above is carried out. In an exemplary plating process, electrical contact is made with the seed layer 14 at the edge of the wafer, wherein the wafer has a face on which the semiconductor device is being formed. The wafer is placed face-down at the opening of a tank filled with a liquid comprising copper sulfate, sulfuric acid, hydrochloric acid, and organic additives. The wafer serves as a cathode, and an anode is found within the tank. The anode and cathode are 1 to 5 cm apart, preferably 3 cm. The liquid in the tank flows from the bottom of the tank, around the anode, toward the tank opening where the wafer is located, and spills out of the tank after contacting the wafer's face. The flow rate of the liquid ranges from 2 to 7 gallons per minute (gpm), preferably 5.5 gpm. A current ranging from 2 to 8 amps is generated for 1 to 7 minutes. Preferably, a current of 4 to 5 amps is generated for 1 minute, and a current of 6 amps is subsequently generated for 3 minutes. The waveform can be a pulse, direct current (DC), or a reverse pulse waveform; preferably a DC waveform is used. The wafer is rotated at a rate of 20 to 70 rotations per minute (rpm), preferably 40 rpm. The result of this process is illustrated in FIG. 3, wherein copper 18 plates the trench 12 but does not plate outside of the trench 12 because material 16 was deposited in such a manner so as to isolate a portion of the seed layer 14 external to the trench 12 from the plating fluid.
  • A removal step, such as CMP, may then be used to remove the material 16 and the seed layer 14 external to the trench 12, resulting in the structure appearing in FIG. 4. Exemplary CMP parameters include applying a pressure of 2 to 5 pounds per square inch (psi) against the wafer, preferably 3 psi; a pad rotation rate ranging from 30 to 100 rpm, preferably 80 rpm; a wafer rotation rate ranging from 30 to 100 rpm, preferably 80 rpm; a slurry flow rate ranging from 50 to 200 milliliters per minute, preferably 100 milliliters per minute; and a temperature ranging from 70 to 130° F., preferably 77° F. The slurry itself comprises an abrasive such as SiO2, Al2O3, TiO2, or CeO2; Al2O3 is preferable. The slurry may also contain an oxidizer such as H2O2, KIO3, FeNO3, ammonium persulfate, or ammonium molybdate; H2O2 is preferable. The pH of the slurry can range from 2 to 10 and is preferably 7. The CMP continues until the material 16 and the seed layer 14 external to the trench 12 are removed. An exemplary time required for such a result is 60 seconds. One skilled in the art can now appreciate that removing material 16 and seed layer 14 is preferable to removing a relatively thick layer of plated copper in terms of process time, amount of slurry used, and amount of copper wasted.
  • The basic process disclosed above can have applications at several stages during semiconductor device fabrication. Such stages include word line, bit line, plug, and interconnect formation. Exemplary embodiments of the current invention include within their scope those comprising one such stage, combinations of such stages, and all stages.
  • For instance, the entrenched copper 18 of FIG. 4 could serve as a word line/transistor gate 30, as seen in FIG. 5, by removing the oxide 10 and adding an oxide or nitride cap 20, adding dielectric spacers 22, and defining active areas 24 using a doping process. Subsequent fabrication steps involve providing a second oxide 26 and etching openings 28 in the second oxide 26 down to the active areas 24. At this stage, it is desired to fill the openings 28 with a material that will allow electrical communication between the active areas 24 and conductive structures to be provided over the second oxide 26.
  • The conductive structures that fill the openings and allow such communication are often referred to as “plugs” and can be formed in a manner similar to that used to form the transistor gate 30. As seen in FIG. 6, a second seed layer 32 is provided over the second oxide 26, and a second dielectric 34 is deposited in a manner so as to avoid portions of the seed layer 32 lining the openings 28. As seen in FIG. 7, a plating process or some other deposition method is used to deposit an electrically conductive material 36 only on the exposed portions of seed layer 32. A subsequent CMP step can be used to remove the second dielectric 34 and portions of the second seed layer 32 external to the openings 28, resulting in the in-process device including plugs 38 seen in FIG. 8.
  • As an alternative or addition to forming the gate 30 and plugs 38 using the steps of non-selectively depositing a seed layer and selectively depositing a dielectric layer, such steps can be used to form one or both electrodes of a capacitor. FIG. 9 illustrates that a third oxide 40 is deposited over the second oxide 26 and a container 42 is defined from the third oxide 40. In this example, defining the container 42 comprises etching the third oxide 40 as guided by a patterned mask 43, such as a nitride mask. The mask 43 need not be removed immediately after defining container 42, as it can be removed later in the same process that will remove other layers, as detailed below. FIG. 10 shows that a third seed layer 44 is deposited so that it conforms to the underlying surfaces, including lining the container 42. For purposes of explanation, it is assumed that the third seed layer 44 comprises platinum and is deposited by a PVD process. A third dielectric 46 is deposited so that it forms only in regions external to the container 42. Subsequently, a layer of platinum, serving as a first electrode 48 and depicted in FIG. 11, is plated onto the exposed third seed layer 44 using methods known in the art. A subsequent CMP step removes the third dielectric 46, portions of the third seed layer 44 external to the container 42, and the mask 43, yielding the in-process device seen in FIG. 12.
  • Next, as indicated by FIG. 13, a capacitor dielectric 50 is formed over at least the first electrode 48. A fourth seed layer 52, again comprising platinum, is deposited by way of PVD over the capacitor dielectric 50. A fourth dielectric 54 is selectively deposited onto portions of the fourth seed layer 52 that are outside of the container 42. A subsequent plating process selectively deposits platinum, which serves as a second electrode 56 (FIG. 14). It should be noted that, in many semiconductor circuits, this second electrode serves as a cell plate node that is in electrical communication with a plurality of capacitors. As a result, when performing a CMP step, as has been done in examples discussed above, it may be desirable in this example to stop the CMP step once the fourth dielectric 54 has been removed and retain the fourth seed layer 52 (FIG. 15). This is easily accomplished if the seed layer comprises platinum—a noble metal—which is resistant to CMP.
  • Another stage in which the disclosed process may be used is illustrated beginning with FIG. 16. This stage concerns the formation of a bit line contact. The fourth seed layer 52 and capacitor dielectric 50 are removed from an area above one of the plugs 38, and a fourth oxide 58 is placed in that area as well as areas above the fourth seed layer 52 and capacitor dielectric 50. A contact opening 60 is etched through the fourth oxide 58 and the third oxide 40 down to one of the plugs 38. FIG. 17 demonstrates that a conformal fifth seed layer 62 that lines the contact opening 60 is provided, as is a non-conformal fifth dielectric 64. Accordingly, a subsequent plating process deposits metal 66 only within the contact opening 60 (FIG. 18), thereby forming a bit line contact 68. It should be noted that the plug 36 under bit line contact 68 is not necessary for purposes of this exemplary embodiment: the formation of that plug 36 could be skipped and the bit line contact 68 could be formed so that it extends all the way to the underlying active area 24.
  • Once the fifth dielectric 64 and fifth seed layer 62 have been removed using a process such as CMP (FIG. 19), the bit line itself 70 (FIG. 20) may be provided in a similar manner.
  • As mentioned above, exemplary embodiments of the current invention include any one or any combination of the stages described above. Further, one of ordinary skill in the art will appreciate that, although exemplary embodiments of this invention have been described above for purposes of illustration, various modifications may be made without departing from the spirit and scope of the invention. For example, materials other than platinum or copper can be provided using the techniques described above. Such other metals include silver, gold, cobalt, nickel, non-metals, non-conductive materials, and in general any material that benefits from a seed layer, as well as combinations of materials.
  • Further, exemplary embodiments of the current invention are not limited to the plasma process addressed above. Other plasma processes, often referred to as “high density” or “decoupled” plasma processes may be used in embodiments of the current invention. In fact, any deposition process that uses a plasma without applying substantial amounts of capacitively coupled power through the wafer could be used in such embodiments. For instance, embodiments of the current invention may be applied to a plasma process wherein the capacitively coupled power through the wafer is 20% or less than the total power delivered to the chamber.
  • In addition, plasma process are not the only deposition processes that could be used to provide a masking material outside of the trench. Any process that provides the appropriate non-conformal material could be used. For instance, reacting silane with vaporized hydrogen peroxide results in a gas which condenses as a liquid on a substrate cooled to about 0° C. The condensation is non-conformal, as portions of the liquid on horizontal surfaces are thicker than portions of the liquid on vertical surfaces. A subsequent heat treatment dries the liquid to form SiO2. Given a support surface defining a trench and an ambient temperature during deposition approaching 100° C., it is believed that the non-conformal oxide will deposit only at the top of a trench to the exclusion of the sides and bottom of the trench.
  • Moreover, in addition to the layers addressed above, other layers may be included during the fabrication process to serve as diffusion barriers or to promote electrical communication. In fact, the presence of a barrier layer highlights the advantages of at least some embodiments of the current invention. Specifically, it is known in the art to deposit a conformal layer of tantalum before depositing a seed layer and plating copper. The tantalum acts as a barrier to copper diffusion. Assuming that copper is plated both within and without the trenches, one skilled in the art must then remove both copper and tantalum from regions outside of the trenches. Further assuming that the unwanted copper and tantalum will be removed by way of CMP, one skilled in the art has a choice of three main types of slurry. A first type is effective against copper but generally ineffective against tantalum; for example, EP-C5001 from Cabot Corporation will remove Cu at 6000A/minute and will not remove Ta. A second type is effective against tantalum but generally ineffective against copper; EP-C4200A from Cabot corporation, for instance, will remove Ta at 500A/min and will not remove Cu. A third type works on both copper and tantalum, but the copper removal rate is still relatively low. In general, a low removal rate for copper is considered to be about 1000A/min or less. As a specific example of this third type of slurry, Cu-10k-2 from Planar Solutions will remove both Cu (at 650A/min) and Ta (at 800A/min). The exact chemistry of these slurries are trade secrets of the vendors selling them, but it is believed that the slurries are based on hydrogen peroxide and include different additives.
  • Given the three types of slurries discussed above, a two-stage CMP process is often chosen, wherein the first type of slurry is initially used to remove the copper and stop on the tantalum. The slurry is then switched to the second type to remove the tantalum layer. The third type of slurry would eventually remove both copper and tantalum without the need to switch chemistries. However, one of ordinary skill in the art would be discouraged from using this type of slurry. Given the amount of copper to be removed from the prior art in-process structure, the amount of time needed for this slurry to complete the CMP process would be unacceptable. Thus, up to now, one of such skill in the art has been faced with either (1) the problems associated with providing and switching between multiple slurry chemistries or (2) the problems associated with one slowly acting slurry.
  • Exemplary embodiments of the current invention avoid both problems. Such embodiments allow the use of the third type of chemistry. Because there is a relatively low amount of copper to CMP—the seed layer and perhaps some plated copper extending up from the trench—the relatively low copper removal rate is inconsequential. Further, it is believed that the slurry will be effective in removing the self-aligned dielectric mask as well. As a result, the two-step CMP process in the prior art is simplified to a one-step CMP process in exemplary embodiments of the current invention.
  • Exemplary embodiments of the current invention, however, embrace the problem of decreasing isotropic flux in a high aspect ratio feature by using that phenomena to solve the copper plating problem. First, an in-process semiconductor device is provided. The device comprises a material defining a trench and a seed layer over the material. In one exemplary embodiment of the current invention, a plasma process is used to deposit a non-conformal mask onto the seed layer. The mask is non-conformal in that it does not deposit inside the trench. Hence, the seed layer therein is exposed. The mask does cover portions of the seed layer outside of the trench. As a result, a subsequent plating process deposits a conductive material only inside of the trench. A CMP step removes the mask and seed layer external to the trench.
  • Alternative exemplary embodiments of the current invention involve the use of phenomena other than decreasing isotropic flux in a high aspect ratio feature to provide an appropriate non-conformal mask layer. Still other embodiments concern the provision of materials other than copper.
  • In addition, exemplary embodiments of the current invention address the application of this process in at least one of several stages of semiconductor device fabrication, including forming a conductive line predominantly at one elevation of a semiconductor device, providing electrical communication between different elevations of a semiconductor device, and forming at least one capacitor electrode.
  • Accordingly, the invention is not limited except as stated in the claims.

Claims (21)

1. A method of depositing a conductive material into a trench defined by a surface of a semiconductor device, said method comprising:
covering said surface of said semiconductor device with a seed layer, wherein a portion of said seed layer lines said trench;
depositing a mask over said seed layer in a manner that exposes said portion of said seed layer; and
plating said conductive material onto said portion of said seed layer.
2. The method in claim 1, wherein said step of plating said conductive material comprises plating a selection of copper, platinum, silver, gold, cobalt, and nickel.
3. The method in claim 2, wherein said depositing step comprises providing a plasma.
4. The method in claim 3, wherein said step of depositing a mask comprises depositing a dielectric.
5. A method of selectively depositing a conductive material over a surface of a semiconductor device, said method comprising:
selectively depositing a mask over a seed layer on said surface of said semiconductor device; and
plating a metal over said surface, wherein said plating step is guided by said mask.
6. The method in claim 5, wherein said step of plating a metal comprises plating a metal over at least one unmasked portion of said surface.
7. The method in claim 6, wherein said depositing step comprises depositing a mask onto the seed layer outside a trench, wherein said seed layer is configured to encourage plating of said metal.
8. The method in claim 7, wherein said step of depositing a mask onto the seed layer comprises depositing said mask onto the seed layer comprising said metal.
9. A method of processing a semiconductor substrate comprising a first portion and a complementary second portion, said comprising:
selectively depositing a first material over said first portion of said semiconductor substrate;
selectively depositing a second material over a second portion of said semiconductor substrate;
wherein said step of selectively depositing a first material comprises depositing said first material over said first portion to the exclusion of said second portion; and
wherein said step of selectively depositing a second material comprises depositing said second material over said second portion to the exclusion of said first portion.
10. The method in claim 9, wherein:
said step of selectively depositing a first material comprises depositing said first material only over said first portion; and
said step of selectively depositing a second material comprises depositing said second material only over said second portion.
11. The method in claim 9, wherein:
said step of selectively depositing a first material comprises depositing said first material at a first elevation over said semiconductor substrate; and
said step of selectively depositing a second material comprises depositing said second material at at least a second elevation under said first elevation.
12. A method of processing a circuit device comprising a surface defining a trench, said method comprising:
depositing a non-patterned layer over said surface of said circuit device, wherein said layer exposes said trench; and
adding a material within said trench, wherein said material avoids said layer.
13. The method in claim 12, wherein said depositing step comprises:
refraining from affirmatively patterning said layer; and
allowing at least one factor that inhibits conformal deposition to affect said depositing step.
14. The method in claim 13, wherein said allowing step comprises allowing an aspect ratio defined by said trench to inhibit conformal deposition of said layer.
15. A method of managing a plurality of slurries comprising a first slurry configured to react with copper at a first rate and not with tantalum, a second slurry configured to react with tantalum and not with copper, and a third slurry configured to react with tantalum and with copper at a second rate slower than said first rate, said method comprising:
providing a semiconductor workpiece comprising:
a material defining a trench,
a tantalum layer inside and outside of said trench,
a seed layer inside and outside of said trench, wherein said seed layer comprises copper,
a mask layer outside of said trench and avoiding said inside of said trench, and
copper inside of said trench and avoiding an area immediately outside of said trench;
refraining from exposing said workpiece to said first slurry and said second slurry; and
chemically-mechanically planarizing said workpiece using said third slurry.
16. A method of providing tantalum and copper in a trench defined by a support surface, said method comprising:
depositing tantalum inside said trench and immediately outside said trench, depositing a first amount of copper inside said trench and immediately outside said trench;
depositing a second amount of copper inside said trench to the exclusion of depositing immediately outside of said trench; and
removing copper and tantalum immediately outside of said trench in a single CMP step.
17. The method in claim 16, further comprising:
depositing a mask immediately outside of said trench to the exclusion of depositing inside said trench, wherein said step of depositing a mask comprises depositing said mask after said step of depositing a first amount of copper and before said step of depositing a second amount of copper; and
removing said mask after said step of depositing a second amount of copper.
18. The method of claim 17, wherein said step of depositing a first amount of copper comprises depositing a copper layer about 1000 angstroms thick.
19. The method in claim 17, wherein said removing step comprises removing copper outside of said trench at a rate of about 1000 angstroms per minute.
20. The method in claim 17, wherein said removing step comprises removing copper outside of said trench at a rate of at most 1000 angstroms per minute.
21. The method in claim 20, wherein said removing step comprises removing copper outside of said trench at a rate of about 650 angstroms per minute.
US11/277,340 2000-08-22 2006-03-23 Method of providing a structure using self-aligned features Abandoned US20060154483A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/277,340 US20060154483A1 (en) 2000-08-22 2006-03-23 Method of providing a structure using self-aligned features

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US09/644,254 US6511912B1 (en) 2000-08-22 2000-08-22 Method of forming a non-conformal layer over and exposing a trench
US10/295,536 US6759330B2 (en) 2000-08-22 2002-11-15 Method of providing a structure using self-aligned features
US10/860,939 US7109112B2 (en) 2000-08-22 2004-06-03 Method of providing a structure using self-aligned features
US11/277,340 US20060154483A1 (en) 2000-08-22 2006-03-23 Method of providing a structure using self-aligned features

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/860,939 Division US7109112B2 (en) 2000-08-22 2004-06-03 Method of providing a structure using self-aligned features

Publications (1)

Publication Number Publication Date
US20060154483A1 true US20060154483A1 (en) 2006-07-13

Family

ID=24584097

Family Applications (4)

Application Number Title Priority Date Filing Date
US09/644,254 Expired - Lifetime US6511912B1 (en) 2000-08-22 2000-08-22 Method of forming a non-conformal layer over and exposing a trench
US10/295,536 Expired - Lifetime US6759330B2 (en) 2000-08-22 2002-11-15 Method of providing a structure using self-aligned features
US10/860,939 Expired - Lifetime US7109112B2 (en) 2000-08-22 2004-06-03 Method of providing a structure using self-aligned features
US11/277,340 Abandoned US20060154483A1 (en) 2000-08-22 2006-03-23 Method of providing a structure using self-aligned features

Family Applications Before (3)

Application Number Title Priority Date Filing Date
US09/644,254 Expired - Lifetime US6511912B1 (en) 2000-08-22 2000-08-22 Method of forming a non-conformal layer over and exposing a trench
US10/295,536 Expired - Lifetime US6759330B2 (en) 2000-08-22 2002-11-15 Method of providing a structure using self-aligned features
US10/860,939 Expired - Lifetime US7109112B2 (en) 2000-08-22 2004-06-03 Method of providing a structure using self-aligned features

Country Status (1)

Country Link
US (4) US6511912B1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080160692A1 (en) * 2006-12-27 2008-07-03 Ji Ho Hong Method for Manufacturing Flash Memory Device
US20130029485A1 (en) * 2011-07-28 2013-01-31 Spencer Gregory S Method of making a die with recessed alumium die pads

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6511912B1 (en) 2000-08-22 2003-01-28 Micron Technology, Inc. Method of forming a non-conformal layer over and exposing a trench
US7312141B2 (en) * 2000-09-26 2007-12-25 International Business Machines Corporation Shapes-based migration of aluminum designs to copper damascene
KR100396883B1 (en) * 2000-11-23 2003-09-02 삼성전자주식회사 Slurry for chemical mechanical polishing and manufacturing method of copper metal interconnection layer using the same
JP2002252281A (en) * 2001-02-27 2002-09-06 Sony Corp Semiconductor device and its fabrication method
JP2003077920A (en) * 2001-09-04 2003-03-14 Nec Corp Method for forming metal wiring
KR100422594B1 (en) * 2001-09-12 2004-03-16 주식회사 하이닉스반도체 Capacitor in semiconductor device and method for fabricating the same
US6960828B2 (en) * 2002-06-25 2005-11-01 Unitive International Limited Electronic structures including conductive shunt layers
KR20040019170A (en) * 2002-08-26 2004-03-05 삼성전자주식회사 Method of forming Al contact
US7371975B2 (en) * 2002-12-18 2008-05-13 Intel Corporation Electronic packages and components thereof formed by substrate-imprinting
US6974775B2 (en) * 2002-12-31 2005-12-13 Intel Corporation Method and apparatus for making an imprinted conductive circuit using semi-additive plating
US20040126547A1 (en) * 2002-12-31 2004-07-01 Coomer Boyd L. Methods for performing substrate imprinting using thermoset resin varnishes and products formed therefrom
US7238610B2 (en) * 2003-03-31 2007-07-03 Intel Corporation Method and apparatus for selective deposition
DE10323905A1 (en) * 2003-05-26 2005-01-05 Infineon Technologies Ag Method of producing ultrathin homogeneous metal layers
US20050022456A1 (en) * 2003-07-30 2005-02-03 Babu S. V. Polishing slurry and method for chemical-mechanical polishing of copper
US7186653B2 (en) * 2003-07-30 2007-03-06 Climax Engineered Materials, Llc Polishing slurries and methods for chemical mechanical polishing
US7005379B2 (en) * 2004-04-08 2006-02-28 Micron Technology, Inc. Semiconductor processing methods for forming electrical contacts
US7241705B2 (en) * 2004-09-01 2007-07-10 Micron Technology, Inc. Methods of forming conductive contacts to source/drain regions and methods of forming local interconnects
US20060234499A1 (en) * 2005-03-29 2006-10-19 Akira Kodera Substrate processing method and substrate processing apparatus
KR100785458B1 (en) * 2005-05-18 2007-12-13 삼성전자주식회사 Method of forming a ferroelectric layer and Method of manufacturing a semiconductor device using the same
JP5038612B2 (en) 2005-09-29 2012-10-03 富士通セミコンダクター株式会社 Semiconductor device
US20080006850A1 (en) * 2006-07-10 2008-01-10 Innovative Micro Technology System and method for forming through wafer vias using reverse pulse plating
US7601604B2 (en) * 2006-10-12 2009-10-13 Atmel Corporation Method for fabricating conducting plates for a high-Q MIM capacitor
US8262920B2 (en) * 2007-06-18 2012-09-11 Lam Research Corporation Minimization of mask undercut on deep silicon etch
US7905994B2 (en) * 2007-10-03 2011-03-15 Moses Lake Industries, Inc. Substrate holder and electroplating system
US20090111263A1 (en) * 2007-10-26 2009-04-30 Kuan-Neng Chen Method of Forming Programmable Via Devices
US20090188553A1 (en) * 2008-01-25 2009-07-30 Emat Technology, Llc Methods of fabricating solar-cell structures and resulting solar-cell structures
US8119525B2 (en) * 2008-02-26 2012-02-21 Applied Materials, Inc. Process for selective growth of films during ECP plating
IL194967A0 (en) * 2008-10-28 2009-08-03 Orbotech Ltd Producing electrical circuit patterns using multi-population transformation
US8262894B2 (en) 2009-04-30 2012-09-11 Moses Lake Industries, Inc. High speed copper plating bath
US8334202B2 (en) * 2009-11-03 2012-12-18 Infineon Technologies Ag Device fabricated using an electroplating process
US20120086101A1 (en) 2010-10-06 2012-04-12 International Business Machines Corporation Integrated circuit and interconnect, and method of fabricating same
CN102543835B (en) * 2010-12-15 2015-05-13 中国科学院微电子研究所 Opening filling method
US9353981B2 (en) 2013-01-21 2016-05-31 Whirlpool Corporation Ice maker
US9153483B2 (en) * 2013-10-30 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication

Citations (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5011580A (en) * 1989-10-24 1991-04-30 Microelectronics And Computer Technology Corporation Method of reworking an electrical multilayer interconnect
US5071518A (en) * 1989-10-24 1991-12-10 Microelectronics And Computer Technology Corporation Method of making an electrical multilayer interconnect
US5242861A (en) * 1991-06-06 1993-09-07 Nec Corporation Method for manufacturing semiconductor device having a multilayer wiring structure
US5266526A (en) * 1991-03-19 1993-11-30 Kabushiki Kaisha Toshiba Method of forming trench buried wiring for semiconductor device
US5348811A (en) * 1986-07-25 1994-09-20 Fuji Photo Film Co., Ltd. Recording medium and method of performing recording/producing on the recording medium
US5723387A (en) * 1996-07-22 1998-03-03 Industrial Technology Research Institute Method and apparatus for forming very small scale Cu interconnect metallurgy on semiconductor substrates
US5766492A (en) * 1995-06-05 1998-06-16 Nippon Paint Co., Ltd. Method of metal-plating electrode portions of printed-wiring board
US5821168A (en) * 1997-07-16 1998-10-13 Motorola, Inc. Process for forming a semiconductor device
US5930669A (en) * 1997-04-03 1999-07-27 International Business Machines Corporation Continuous highly conductive metal wiring structures and method for fabricating the same
US5968333A (en) * 1998-04-07 1999-10-19 Advanced Micro Devices, Inc. Method of electroplating a copper or copper alloy interconnect
US5969422A (en) * 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US6080656A (en) * 1999-09-01 2000-06-27 Taiwan Semiconductor Manufacturing Company Method for forming a self-aligned copper structure with improved planarity
US6083815A (en) * 1998-04-27 2000-07-04 Taiwan Semiconductor Manufacturing Company Method of gate etching with thin gate oxide
US6136707A (en) * 1999-10-02 2000-10-24 Cohen; Uri Seed layers for interconnects and methods for fabricating such seed layers
US6162728A (en) * 1998-12-18 2000-12-19 Texas Instruments Incorporated Method to optimize copper chemical-mechanical polishing in a copper damascene interconnect process for integrated circuit applications
US6168704B1 (en) * 1999-02-04 2001-01-02 Advanced Micro Device, Inc. Site-selective electrochemical deposition of copper
US6169027B1 (en) * 1996-11-22 2001-01-02 Trikon Equipments Limited Method of removing surface oxides found on a titanium oxynitride layer using a nitrogen containing plasma
US6187656B1 (en) * 1997-10-07 2001-02-13 Texas Instruments Incorporated CVD-based process for manufacturing stable low-resistivity poly-metal gate electrodes
US6197688B1 (en) * 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
US6207558B1 (en) * 1999-10-21 2001-03-27 Applied Materials, Inc. Barrier applications for aluminum planarization
US6245662B1 (en) * 1998-07-23 2001-06-12 Applied Materials, Inc. Method of producing an interconnect structure for an integrated circuit
US6251781B1 (en) * 1999-08-16 2001-06-26 Chartered Semiconductor Manufacturing Ltd. Method to deposit a platinum seed layer for use in selective copper plating
US6291332B1 (en) * 1999-10-12 2001-09-18 Advanced Micro Devices, Inc. Electroless plated semiconductor vias and channels
US6303498B1 (en) * 1999-08-20 2001-10-16 Taiwan Semiconductor Manufacturing Company Method for preventing seed layer oxidation for high aspect gap fill
US6365511B1 (en) * 1999-06-03 2002-04-02 Agere Systems Guardian Corp. Tungsten silicide nitride as a barrier for high temperature anneals to improve hot carrier reliability
US6372622B1 (en) * 1999-10-26 2002-04-16 Motorola, Inc. Fine pitch bumping with improved device standoff and bump volume
US6391769B1 (en) * 1998-08-19 2002-05-21 Samsung Electronics Co., Ltd. Method for forming metal interconnection in semiconductor device and interconnection structure fabricated thereby
US6403481B1 (en) * 1998-08-11 2002-06-11 Kabushiki Kaisha Toshiba Film formation method
US6413858B1 (en) * 1999-08-27 2002-07-02 Micron Technology, Inc. Barrier and electroplating seed layer
US6420258B1 (en) * 1999-11-12 2002-07-16 Taiwan Semiconductor Manufacturing Company Selective growth of copper for advanced metallization
US6420262B1 (en) * 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US20020127845A1 (en) * 1999-03-01 2002-09-12 Paul A. Farrar Conductive structures in integrated circuits
US6489235B2 (en) * 1999-04-05 2002-12-03 Micron Technology, Inc. Method of forming a metal seed layer for subsequent plating
US20030096498A1 (en) * 2000-08-22 2003-05-22 Dinesh Chopra Method of providing a structure using self-aligned features
US6614099B2 (en) * 1998-08-04 2003-09-02 Micron Technology, Inc. Copper metallurgy in integrated circuits
US6696746B1 (en) * 1998-04-29 2004-02-24 Micron Technology, Inc. Buried conductors
US20050023697A1 (en) * 2000-01-18 2005-02-03 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US6852618B2 (en) * 2001-04-19 2005-02-08 Micron Technology, Inc. Combined barrier layer and seed layer

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6251528B1 (en) * 1998-01-09 2001-06-26 International Business Machines Corporation Method to plate C4 to copper stud

Patent Citations (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5348811A (en) * 1986-07-25 1994-09-20 Fuji Photo Film Co., Ltd. Recording medium and method of performing recording/producing on the recording medium
US5011580A (en) * 1989-10-24 1991-04-30 Microelectronics And Computer Technology Corporation Method of reworking an electrical multilayer interconnect
US5071518A (en) * 1989-10-24 1991-12-10 Microelectronics And Computer Technology Corporation Method of making an electrical multilayer interconnect
US5266526A (en) * 1991-03-19 1993-11-30 Kabushiki Kaisha Toshiba Method of forming trench buried wiring for semiconductor device
US5242861A (en) * 1991-06-06 1993-09-07 Nec Corporation Method for manufacturing semiconductor device having a multilayer wiring structure
US5766492A (en) * 1995-06-05 1998-06-16 Nippon Paint Co., Ltd. Method of metal-plating electrode portions of printed-wiring board
US5723387A (en) * 1996-07-22 1998-03-03 Industrial Technology Research Institute Method and apparatus for forming very small scale Cu interconnect metallurgy on semiconductor substrates
US6169027B1 (en) * 1996-11-22 2001-01-02 Trikon Equipments Limited Method of removing surface oxides found on a titanium oxynitride layer using a nitrogen containing plasma
US5930669A (en) * 1997-04-03 1999-07-27 International Business Machines Corporation Continuous highly conductive metal wiring structures and method for fabricating the same
US5969422A (en) * 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US5821168A (en) * 1997-07-16 1998-10-13 Motorola, Inc. Process for forming a semiconductor device
US6187656B1 (en) * 1997-10-07 2001-02-13 Texas Instruments Incorporated CVD-based process for manufacturing stable low-resistivity poly-metal gate electrodes
US6197688B1 (en) * 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
US5968333A (en) * 1998-04-07 1999-10-19 Advanced Micro Devices, Inc. Method of electroplating a copper or copper alloy interconnect
US6083815A (en) * 1998-04-27 2000-07-04 Taiwan Semiconductor Manufacturing Company Method of gate etching with thin gate oxide
US6946389B2 (en) * 1998-04-29 2005-09-20 Micron Technology, Inc. Method of forming buried conductors
US6696746B1 (en) * 1998-04-29 2004-02-24 Micron Technology, Inc. Buried conductors
US6245662B1 (en) * 1998-07-23 2001-06-12 Applied Materials, Inc. Method of producing an interconnect structure for an integrated circuit
US6614099B2 (en) * 1998-08-04 2003-09-02 Micron Technology, Inc. Copper metallurgy in integrated circuits
US6403481B1 (en) * 1998-08-11 2002-06-11 Kabushiki Kaisha Toshiba Film formation method
US6391769B1 (en) * 1998-08-19 2002-05-21 Samsung Electronics Co., Ltd. Method for forming metal interconnection in semiconductor device and interconnection structure fabricated thereby
US6162728A (en) * 1998-12-18 2000-12-19 Texas Instruments Incorporated Method to optimize copper chemical-mechanical polishing in a copper damascene interconnect process for integrated circuit applications
US6168704B1 (en) * 1999-02-04 2001-01-02 Advanced Micro Device, Inc. Site-selective electrochemical deposition of copper
US20020127845A1 (en) * 1999-03-01 2002-09-12 Paul A. Farrar Conductive structures in integrated circuits
US6756301B2 (en) * 1999-04-05 2004-06-29 Micron Technology, Inc. Method of forming a metal seed layer for subsequent plating
US6489235B2 (en) * 1999-04-05 2002-12-03 Micron Technology, Inc. Method of forming a metal seed layer for subsequent plating
US6365511B1 (en) * 1999-06-03 2002-04-02 Agere Systems Guardian Corp. Tungsten silicide nitride as a barrier for high temperature anneals to improve hot carrier reliability
US6251781B1 (en) * 1999-08-16 2001-06-26 Chartered Semiconductor Manufacturing Ltd. Method to deposit a platinum seed layer for use in selective copper plating
US6303498B1 (en) * 1999-08-20 2001-10-16 Taiwan Semiconductor Manufacturing Company Method for preventing seed layer oxidation for high aspect gap fill
US6413858B1 (en) * 1999-08-27 2002-07-02 Micron Technology, Inc. Barrier and electroplating seed layer
US6545357B2 (en) * 1999-08-27 2003-04-08 Micron Technology, Inc. Metal nitride barrier layer and electroplating seed layer with the same metal as the metal nitride layer
US20020142583A1 (en) * 1999-08-27 2002-10-03 Dinesh Chopra Barrier and electroplating seed layer
US6080656A (en) * 1999-09-01 2000-06-27 Taiwan Semiconductor Manufacturing Company Method for forming a self-aligned copper structure with improved planarity
US6136707A (en) * 1999-10-02 2000-10-24 Cohen; Uri Seed layers for interconnects and methods for fabricating such seed layers
US6291332B1 (en) * 1999-10-12 2001-09-18 Advanced Micro Devices, Inc. Electroless plated semiconductor vias and channels
US6207558B1 (en) * 1999-10-21 2001-03-27 Applied Materials, Inc. Barrier applications for aluminum planarization
US6372622B1 (en) * 1999-10-26 2002-04-16 Motorola, Inc. Fine pitch bumping with improved device standoff and bump volume
US6420258B1 (en) * 1999-11-12 2002-07-16 Taiwan Semiconductor Manufacturing Company Selective growth of copper for advanced metallization
US6420262B1 (en) * 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US20050023697A1 (en) * 2000-01-18 2005-02-03 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US20030096498A1 (en) * 2000-08-22 2003-05-22 Dinesh Chopra Method of providing a structure using self-aligned features
US6759330B2 (en) * 2000-08-22 2004-07-06 Micron Technology, Inc. Method of providing a structure using self-aligned features
US20040219738A1 (en) * 2000-08-22 2004-11-04 Dinesh Chopra Method of providing a structure using self-aligned features
US6852618B2 (en) * 2001-04-19 2005-02-08 Micron Technology, Inc. Combined barrier layer and seed layer

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080160692A1 (en) * 2006-12-27 2008-07-03 Ji Ho Hong Method for Manufacturing Flash Memory Device
US20130029485A1 (en) * 2011-07-28 2013-01-31 Spencer Gregory S Method of making a die with recessed alumium die pads
US8722530B2 (en) * 2011-07-28 2014-05-13 Freescale Semiconductor, Inc. Method of making a die with recessed aluminum die pads
US9209078B2 (en) 2011-07-28 2015-12-08 Freescale Semiconductor, Inc. Method of making a die with recessed aluminum die pads

Also Published As

Publication number Publication date
US20040219738A1 (en) 2004-11-04
US7109112B2 (en) 2006-09-19
US20030096498A1 (en) 2003-05-22
US6511912B1 (en) 2003-01-28
US6759330B2 (en) 2004-07-06

Similar Documents

Publication Publication Date Title
US6511912B1 (en) Method of forming a non-conformal layer over and exposing a trench
KR100711526B1 (en) Process for the fabrication of a semiconductor device having copper interconnects
US6420258B1 (en) Selective growth of copper for advanced metallization
US8043958B1 (en) Capping before barrier-removal IC fabrication method
US6566250B1 (en) Method for forming a self aligned capping layer
EP1466358B1 (en) Copper interconnect doped with carbon and silicon
JP5360209B2 (en) Semiconductor device and manufacturing method thereof
US20030116439A1 (en) Method for forming encapsulated metal interconnect structures in semiconductor integrated circuit devices
US6384481B1 (en) Single step electroplating process for interconnect via fill and metal line patterning
US6080656A (en) Method for forming a self-aligned copper structure with improved planarity
JP2004513502A (en) Forming metal interconnects
JPH10189733A (en) Metal coating method for porous dielectric
US6037250A (en) Process for forming multilevel interconnection structure
TW200531132A (en) Method of forming wiring structure and semiconductor device
KR100419021B1 (en) Method of fabricating Copper line of semiconductor device
KR0157889B1 (en) Method of depositing cu selectively
JP3271756B2 (en) Method for manufacturing semiconductor device
KR20050038722A (en) Method of forming metal pattern for semiconductor device
US6551932B2 (en) Method for forming metal line in a semiconductor device
US20060094220A1 (en) Methods of forming a metal line in a semiconductor device
KR100269298B1 (en) Method for etching pt layer in semiconductor fabrication
US20040235297A1 (en) Reverse electroplating for damascene conductive region formation
US20030209444A1 (en) Method for reducing surface defects in an electrodeposition process
KR100874432B1 (en) Method for cleaning a wafer and method for forming a metal line in semiconductor device using the same
KR20040058944A (en) Method of manufacturing a semiconductor device

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION