US20060153973A1 - Ruthenium layer formation for copper film deposition - Google Patents

Ruthenium layer formation for copper film deposition Download PDF

Info

Publication number
US20060153973A1
US20060153973A1 US11/336,527 US33652706A US2006153973A1 US 20060153973 A1 US20060153973 A1 US 20060153973A1 US 33652706 A US33652706 A US 33652706A US 2006153973 A1 US2006153973 A1 US 2006153973A1
Authority
US
United States
Prior art keywords
ruthenium
layer
dimethylpentadienyl
copper
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/336,527
Inventor
Mei Chang
Seshadri Ganguli
Nirmalya Maity
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/443,648 external-priority patent/US7404985B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US11/336,527 priority Critical patent/US20060153973A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHANG, MEI, GANGULI, SESHADRI, MAITY, NIRMALYA
Publication of US20060153973A1 publication Critical patent/US20060153973A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76874Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroless plating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating

Definitions

  • Embodiments of the invention generally relate to a method for forming noble metal layers, and more particularly to methods for forming ruthenium layers used in copper integration.
  • Multi-level metallization is one of the key technologies for the next generation of very large scale integration (VLSI) and ultra large scale integration (ULSI) semiconductor devices.
  • VLSI very large scale integration
  • ULSI ultra large scale integration
  • the multilevel interconnects that lie at the heart of this technology require the filling of contacts, vias, lines, and other features formed in high aspect ratio apertures. Reliable formation of these features is very important to the success of both VLSI and ULSI as well as to the continued effort to increase client density and quality on individual substrates and die.
  • the widths of contacts, vias, lines and other features, as well as the dielectric materials between them may decrease to less than about 250 nm, whereas the thickness of the dielectric layers remains substantially constant with the result that the aspect ratios for the features, i.e., their height divided by width, increases.
  • Many conventional deposition processes have difficulty filling structures where the aspect ratio exceeds 6:1, and particularly where the aspect ratio exceeds 10:1. As such, there is a great amount of ongoing effort being directed at the formation of void-free, nanometer-sized structures having aspect ratios wherein the ratio of feature height to feature width can be 6:1 or higher.
  • Elemental aluminum and aluminum alloys have been the traditional metals used to form vias and lines in semiconductor devices because aluminum has a perceived low electrical resistivity, superior adhesion to most dielectric materials, ease of patterning, and the ability to obtain aluminum in a highly pure form.
  • aluminum has a higher electrical resistivity than other more conductive metals such as copper.
  • Aluminum can also suffer from electromigration leading to the formation of voids in the conductor.
  • Copper and copper alloys have lower resistivities than aluminum, as well as a significantly higher electromigration resistance compared to aluminum. These characteristics are important for supporting the higher current densities experienced at high levels of integration and increased device speed. Copper also has good thermal conductivity. Therefore, copper is becoming a choice metal for filling sub-quarter micron, high aspect ratio interconnect features on semiconductor substrates.
  • a thin film of a noble metal such as, for example, palladium, platinum, cobalt, nickel and rhodium, among others may be used as an underlayer for the copper vias and lines.
  • a noble metal such as, for example, palladium, platinum, cobalt, nickel and rhodium, among others may be used as an underlayer for the copper vias and lines.
  • Such noble metals which are resistant to corrosion and oxidation, may provide a smooth surface upon which a copper seed layer is subsequently deposited using for example, an electrochemical plating (ECP) process.
  • ECP electrochemical plating
  • the noble metal is typically deposited using a chemical vapor deposition (CVD) process or a physical vapor deposition (PVD) process.
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • noble metals deposited on high aspect ratio interconnect features using CVD and/or PVD processes generally have poor step coverage (e.g., deposition of a non-continuous material layer).
  • the poor step coverage for the noble metal material layer may cause the subsequent copper seed layer deposition using an ECP process to be non-uniform.
  • a noble metal such as ruthenium
  • the noble metal layer is formed using a cyclical deposition process, such as atomic layer deposition (ALD).
  • the cyclical deposition process includes alternately adsorbing a noble metal-containing precursor and a reducing gas on a substrate structure.
  • the adsorbed noble metal-containing precursor reacts with the reducing gas to form the noble metal layer on the substrate.
  • Suitable noble metals may include, for example, ruthenium, palladium, platinum, cobalt, nickel, or rhodium.
  • the noble metal layer formation is compatible with integrated circuit fabrication processes.
  • the noble metal layer may be used as an underlayer for a copper seed layer within a copper interconnect.
  • a preferred process sequence includes providing a substrate having an interconnect pattern defined in one or more dielectric layers formed thereon.
  • the interconnect pattern includes a barrier layer conformably deposited thereon.
  • a noble metal layer (e.g., ruthenium) is conformably deposited on the barrier layer.
  • the noble metal layer is deposited using a cyclical deposition process by alternately exposing the substrate to a noble metal-containing gas and a reducing gas.
  • the copper interconnects are completed by depositing a copper seed layer on the noble metal layer and filling the vias with bulk copper metal.
  • a method for forming a film on a substrate includes positioning the substrate within a process chamber and forming a ruthenium layer on at least a portion of the substrate by sequentially chemisorbing monolayers of a ruthenium-containing compound and a reducing gas on the substrate to form the ruthenium layer.
  • a method for forming a ruthenium layer on a substrate for use in integrated circuit fabrication includes positioning the substrate within a process chamber, wherein the process chamber is in fluid communication with a gas delivery system, delivering a ruthenium-containing compound from the gas delivery system to the process chamber, chemisorbing a ruthenium-containing layer on the substrate, delivering a reducing gas from the gas delivery system to the process chamber and reacting the reducing gas with the ruthenium-containing layer to form the ruthenium layer on the substrate.
  • a method for forming a layer containing ruthenium material on a substrate surface includes exposing the substrate surface to a ruthenium-containing compound to form a ruthenium-containing layer on the substrate surface, purging the chamber with a purge gas, reacting a reducing gas with the ruthenium-containing layer, and purging the chamber with the purge gas.
  • a method for forming a ruthenium layer on a substrate which includes positioning the substrate within a process chamber and forming the ruthenium layer on at least a portion of the substrate by sequentially chemisorbing monolayers of a ruthenium-containing compound and a reducing gas.
  • the method further includes that the process chamber contains a substrate support, a chamber lid with a passageway at a central portion of the chamber lid and having a bottom surface extending from the passageway to a peripheral portion of the chamber lid. The bottom surface is shaped and sized to substantially cover the substrate.
  • the process chamber further contains one or more valves coupled to the passageway, one or more gas sources coupled to each valve and a reaction zone. The reaction zone is defined between the chamber lid and the substrate and occupies a small volume.
  • FIG. 1 depicts a schematic cross-sectional view of a process chamber that may be used to perform a cyclical deposition process described herein;
  • FIG. 2 depicts a schematic cross-sectional view of another process chamber that may be used to perform a cyclical deposition process described herein;
  • FIG. 3 illustrates a process sequence for noble metal layer formation using cyclical deposition techniques according to one embodiment described herein;
  • FIG. 4 illustrates a process sequence for noble metal layer formation using cyclical deposition techniques according to an alternate embodiment described herein;
  • FIGS. 5A-5C illustrate schematic cross-sectional views of an integrated circuit fabrication sequence.
  • FIG. 1 depicts a schematic cross-sectional view of a process chamber 10 that can be used to perform integrated circuit fabrication in accordance with embodiments described herein.
  • the process chamber 10 generally houses a substrate support pedestal 48 , which is used to support a substrate (not shown).
  • the substrate support pedestal 48 is movable in a vertical direction inside the process chamber 10 using a displacement mechanism 48 A.
  • the substrate can be heated to some desired temperature prior to or during deposition.
  • the substrate support pedestal 48 may be heated using an embedded heating element 52 A.
  • the substrate support pedestal 48 may be resistively heated by applying an electric current from an AC power supply 52 to the heating element 52 A.
  • the substrate (not shown) is, in turn, heated by the pedestal 48 .
  • the substrate support pedestal 48 may be heated using radiant heaters such as, for example, lamps (not shown).
  • a temperature sensor 50 A such as a thermocouple, is also embedded in the substrate support pedestal 48 to monitor the temperature of the pedestal 48 in a conventional manner. The measured temperature is used in a feedback loop to control the AC power supply 52 for the heating element 52 A, such that the substrate temperature can be maintained or controlled at a desired temperature which is suitable for the particular process application.
  • a vacuum pump 18 and the conduit system 46 A are used to evacuate the process chamber 10 and to maintain the pressure inside the process chamber 10 .
  • a gas manifold 34 through which process gases are introduced into the process chamber 10 , is located above the substrate support pedestal 48 .
  • the gas manifold 34 is connected to a gas panel (not shown), which controls and supplies various process gases to the process chamber 10 .
  • Proper control and regulation of the gas flows to the gas manifold 34 are performed by mass flow controllers (not shown) and a microprocessor controller 70 .
  • the gas manifold 34 allows process gases to be introduced and uniformly distributed in the process chamber 10 . Additionally, the gas manifold 34 may optionally be heated to prevent condensation of any reactive gases within the manifold.
  • the gas manifold 34 includes a plurality of electronic control valves (not shown).
  • the electronic control valves as used herein refer to any control valve capable of providing rapid and precise gas flow to the process chamber 10 with valve open and close cycles within a range from about 0.01 seconds to about 10 seconds, preferably, from about 0.05 seconds to about 2 seconds, and more preferably, from about 0.1 seconds to about 1 second.
  • the microprocessor controller 70 may be one of any form of general purpose computer processor (CPU) that can be used in an industrial setting for controlling various chambers and sub-processors.
  • the computer may use any suitable memory, such as random access memory, read only memory, floppy disk drive, compact disc drive, hard disk, or any other form of digital storage, local or remote.
  • Various support circuits may be coupled to the CPU for supporting the processor in a conventional manner.
  • Software routines as required, may be stored in the memory or executed by a second, remotely located CPU.
  • the software routines are executed to initiate process recipes or sequences.
  • the software routines when executed, transform the general purpose computer into a specific process computer that controls the chamber operation so that a chamber process is performed.
  • software routines may be used to precisely control the activation of the electronic control valves for the execution of process sequences according to the present invention.
  • the software routines may be performed in hardware, as an application specific integrated circuit or other type of hardware implementation, or a combination of software or hardware.
  • FIG. 2 is a schematic cross-sectional view of one embodiment of a chamber 80 including a gas delivery apparatus 130 adapted for cyclic deposition, such as atomic layer deposition or rapid chemical vapor deposition.
  • a gas delivery apparatus 130 adapted for cyclic deposition, such as atomic layer deposition or rapid chemical vapor deposition.
  • a detailed description for a chamber 80 is described in commonly assigned U.S. Pat. No. 6,916,398, and commonly assigned and co-pending U.S. Ser. No. 10/281,079, entitled “Gas Delivery Apparatus for Atomic Layer Deposition,” filed Oct. 25, 2002, and published as US 2003-0121608, which are both incorporated herein by reference in their entirety.
  • the terms “atomic layer deposition” (ALD) and “rapid chemical vapor deposition,” as used herein, refer to the sequential introduction of reactants to deposit a thin layer over a substrate structure. The sequential introduction of reactants may be repeated to deposit a plurality of thin layers to form a conformal layer to
  • the chamber 80 contains a chamber body 82 having sidewalls 84 and a bottom 86 .
  • a slit valve 88 in the chamber 80 provides access for a robot (not shown) to deliver and retrieve a substrate 90 , such as a 200 mm or 300 mm semiconductor wafer or a glass substrate, from the chamber 80 .
  • a substrate support 92 supports the substrate 90 on a substrate receiving surface 91 in the chamber 80 .
  • the substrate support 92 is mounted to a lift motor 114 to raise and lower the substrate support 92 and a substrate 90 disposed thereon.
  • a lift plate 116 connected to a lift motor 118 is mounted in the chamber 80 and raises and lowers pins 120 movably disposed through the substrate support 92 .
  • the pins 120 raise and lower the substrate 90 over the surface of the substrate support 92 .
  • the substrate support 92 may include a vacuum chuck, an electrostatic chuck, or a clamp ring for securing the substrate 90 to the substrate support 92 during processes.
  • the substrate support 92 may be heated to heat a substrate 90 disposed thereon.
  • the substrate support 92 may be heated using an embedded heating element, such as a resistive heater, or may be heated using radiant heat, such as heating lamps disposed above the substrate support 92 .
  • a purge ring 122 may be disposed on the substrate support 92 to define a purge channel 124 which provides a purge gas to a peripheral portion of the substrate 90 to prevent deposition thereon.
  • a gas delivery apparatus 130 is disposed at an upper portion of the chamber body 82 to provide a gas, such as a process gas and/or a purge gas, to the chamber 80 .
  • a vacuum system 178 is in communication with a pumping channel 179 to evacuate any desired gases from the chamber 80 and to help maintain a desired pressure or a desired pressure range inside a pumping zone 166 of the chamber 80 .
  • the chambers depicted by FIGS. 1 and 2 permit the process gas and/or purge gas to enter the chamber 80 normal (i.e., 900 ) with respect to the plane of the substrate 90 via the gas delivery apparatus 130 . Therefore, the surface of substrate 90 is symmetrically exposed to gases that allow uniform film formation on substrates.
  • the process gas may have a circular flow pattern, such as a “vortex,” “helix,” or “spiral” flow passing through the expanding channel 134 towards the substrate. The circular flow may establish a more efficient purge of the expanding channel 134 due to the sweeping action of the vortex flow pattern across the inner surface of the expanding channel 134 and a laminar flow efficiently purging the surface of the chamber lid 132 and the substrate 90 .
  • the process gas includes a ruthenium-containing precursor during one pulse and includes a reducing gas in another pulse.
  • Chamber 80 produces a more uniform film than chamber 10 , depicted in FIG. 1 . Also, chamber 80 employs a smaller cycle time than chamber 10 , since chamber 80 takes less time to purge and less time to dose the wafer to saturation with precursor than chamber 10 .
  • the lesser dosing time is important because many of the ruthenium-containing compounds have the inherent characteristic of a low vapor pressure. The low vapor pressure correlates to less precursor saturating the carrier gas per time and temperature, therefore, more time is needed to saturate the surface of the wafer with ruthenium-containing compound (e.g., Cp 2 Ru) than a traditional precursor with a higher vapor pressure (e.g., TiCl 4 ). Therefore, chamber 10 may dose a ruthenium-containing compound for about 1 second or less, while chamber 80 may dose the same ruthenium-containing compound for about 0.2 seconds or less.
  • ruthenium-containing compound e.g., Cp 2 Ru
  • the gas delivery apparatus 130 comprises a chamber lid 132 .
  • the chamber lid 132 includes an expanding channel 134 extending from a central portion of the chamber lid 132 and a bottom surface 160 extending from the expanding channel 134 to a peripheral portion of the chamber lid 132 .
  • the bottom surface 160 is sized and shaped to substantially cover a substrate 90 disposed on the substrate support 92 .
  • the expanding channel 134 has gas inlets 136 A, 136 B to provide gas flows from two similar pairs of valves 142 A/ 152 A, 142 B/ 152 B, which may be provided together and/or separately.
  • valve 142 A and valve 142 B are coupled to separate reactant gas sources but are preferably coupled to the same purge gas source.
  • valve 142 A is coupled to reactant gas source 138 and valve 142 B is coupled to reactant gas source 139 , and both valves 142 A, 142 B are coupled to purge gas source 140 .
  • Each valve 142 A, 142 B includes a delivery line 143 A, 143 B having a valve seat assembly 144 A, 144 B and each valves 152 A, 152 B includes a purge line 145 A, 145 B having a valve seat assembly 146 A, 146 B.
  • the delivery line 143 A, 143 B is in communication with the reactant gas source 138 , 139 and is in communication with the gas inlet 136 A, 136 B of the expanding channel 134 .
  • the valve seat assembly 144 A, 144 B of the delivery line 143 A, 143 B controls the flow of the reactant gas from the reactant gas source 138 , 139 to the expanding channel 134 .
  • the purge line 145 A, 145 B is in communication with the purge gas source 140 and intersects the delivery lines 143 A, 143 B downstream of the valve seat assembly 144 A, 144 B of the valves 142 A, 142 B.
  • the valve seat assembly 146 A, 146 B of the purge line 145 A, 145 B controls the flow of the purge gas from the purge gas source 140 to the delivery line 143 A, 143 B.
  • a carrier gas is used to deliver reactant gases from the reactant gas source 138 , 139 , preferably the same gas is used as a carrier gas and a purge gas (i.e., an argon gas used as a carrier gas and a purge gas).
  • Each valve seat assembly 144 A, 144 B, 146 A, 146 B may comprise a diaphragm and a valve seat.
  • the diaphragm may be biased open or closed and may be actuated closed or open respectively.
  • the diaphragms may be pneumatically actuated or may be electrically actuated. Examples of pneumatically actuated valves include pneumatically actuated valves available from Fujiken and Veriflow. Examples of electrically actuated valves include electrically actuated valves available from Fujiken.
  • Programmable logic controllers 148 A, 148 B may be coupled to the valves 142 A, 142 B to control actuation of the diaphragms of the valve seat assemblies 144 A, 144 B, 146 A, 146 B of the valves 142 A, 142 B.
  • Pneumatically actuated valves may provide pulses of gases in time periods as low as about 0.020 seconds.
  • Electrically actuated valves may provide pulses of gases in time periods as low as about 0.005 seconds.
  • An electrically actuated valve typically requires the use of a driver coupled between the valve and the programmable logic controller.
  • Each valve 142 A, 142 B may be a zero dead volume valve to enable flushing of a reactant gas from the delivery line 143 A, 143 B when the valve seat assembly 144 A, 144 B of the valve is closed.
  • the purge line 145 A, 145 B may be positioned adjacent the valve seat assembly 144 A, 144 B of the delivery line 143 A, 143 B.
  • the purge line 145 A, 145 B may provide a purge gas to flush the delivery line 143 A, 143 B.
  • the purge line 145 A, 145 B is positioned slightly spaced from the valve seat assembly 144 A, 144 B of the delivery line 143 A, 143 B so that a purge gas is not directly delivered into the valve seat assembly 144 A, 144 B when open.
  • a zero dead volume valve as used herein is defined as a valve which has negligible dead volume (i.e., not necessary zero dead volume).
  • Each valve pair 142 A/ 152 A, 142 B/ 152 B may be adapted to provide a combined gas flow and/or separate gas flows of the reactant gas 138 , 139 and the purge gas 140 .
  • a combined gas flow of the reactant gas 138 and the purge gas 140 provided by valve 142 A comprises a continuous flow of a purge gas from the purge gas source 140 through purge line 145 A and pulses of a reactant gas from the reactant gas source 138 through delivery line 143 A.
  • the continuous flow of the purge gas may be provided by leaving diaphragm of the valve seat assembly 146 A of the purge line 145 A open.
  • the pulses of the reactant gas from the reactant gas source 138 may be provided by opening and closing the diaphragm of the valve seat 144 A of the delivery line 143 A.
  • one example of separate gas flows of the reactant gas 138 and the purge gas 140 provided by valve 142 A comprises pulses of a purge gas from the purge gas source 140 through purge line 145 A and pulses of a reactant gas from the reactant gas source 138 through delivery line 143 A.
  • the pulses of the purge gas may be provided by opening and closing the diaphragm of the valve seat assembly 146 A of the purge line 145 A open.
  • the pulses of the reactant gas from the reactant gas source 138 may be provided by opening and closing the diaphragm valve seat 144 A of the delivery line 143 A.
  • the delivery lines 143 A, 143 B of the valves 142 A, 142 B may be coupled to the gas inlets 136 A, 136 B through gas conduits 150 A, 150 B.
  • the gas conduits 150 A, 150 B may be integrated or may be separate from the valves 142 A, 142 B.
  • the valves 142 A, 142 B are coupled in close proximity to the expanding channel 134 to reduce any unnecessary volume of the delivery line 143 A, 143 B and the gas conduits 150 A, 150 B between the valves 142 A, 142 B and the gas inlets 136 A, 136 B.
  • the expanding channel 134 comprises a channel which has an inner diameter which increases from an upper portion 137 of cap 172 to a lower portion 135 of the expanding channel 134 adjacent the bottom surface 160 of the chamber lid 132 .
  • the inner diameter of the expanding channel 134 for a chamber adapted to process 200 mm diameter substrates is between about 0.2 inches (0.51 cm) and about 1.0 inch (2.54 cm), more preferably between about 0.3 inches (0.76 cm) and about 0.9 inches (2.29 cm) and more preferably between about 0.3 inches (0.76 cm) and about 0.5 inches (1.27 cm) at the upper portion 137 of the expanding channel 134 and between about 0.5 inches (1.27 cm) and about 3.0 inches (7.62 cm), preferably between about 0.75 inches (1.91 cm) and about 2.5 inches (6.35 cm) and more preferably between about 1.1 inches (2.79 cm) and about 2.0 inches (5.08 cm) at the lower portion 135 of the expanding channel 134 .
  • the inner diameter of the expanding channel 134 for a chamber adapted to process 300 mm diameter substrates is between about 0.2 inches (0.51 cm) and about 1.0 inch (2.54 cm), more preferably between about 0.3 inches (0.76 cm) and about 0.9 inches (2.29 cm) and more preferably between about 0.3 inches (0.76 cm) and about 0.5 inches (1.27 cm) at the upper portion 137 of the expanding channel 134 and between about 0.5 inches (1.27 cm) and about 3.0 inches (7.62 cm), preferably between about 0.75 inches (1.91 cm) and about 2.5 inches (6.35 cm) and more preferably between about 1.2 inches (3.05 cm) and about 2.2 inches (5.59 cm) at the lower portion 135 of the expanding channel 134 for a 300 mm substrate.
  • the above dimension apply to an expanding channel adapted to provide a total gas flow of between about 500 sccm and about 3,000 sccm.
  • the dimension may be altered to accommodate a certain gas flow therethrough.
  • a larger gas flow will require a larger diameter expanding channel.
  • the expanding channel 134 may be shaped as a truncated cone (including shapes resembling a truncated cone). Whether a gas is provided toward the walls of the expanding channel 134 or directly downward towards the substrate, the velocity of the gas flow decreases as the gas flow travels through the expanding channel 134 due to the expansion of the gas. The reduction of the velocity of the gas flow helps reduce the likelihood the gas flow will blow off reactants absorbed on the surface of the substrate 90 .
  • the diameter of the expanding channel 134 which is gradually increasing from the upper portion 137 to the lower portion 135 of the expanding channel, allows less of an adiabatic expansion of a gas through the expanding channel 134 which helps to control the temperature of the gas. For instance, a sudden adiabatic expansion of a gas delivered through the gas inlet 136 A, 136 B into the expanding channel 134 may result in a drop in the temperature of the gas which may cause condensation of the gas and formation of particles.
  • a gradually expanding channel 134 according to embodiments of the present invention is believed to provide less of an adiabatic expansion of a gas.
  • the gradually expanding channel may contain one or more tapered inner surfaces, such as a tapered straight surface, a concave surface, a convex surface, or a combination thereof or may contain sections of one or more tapered inner surfaces (i.e., a portion tapered, such as bottom surface 160 and a portion non-tapered, such as choke 162 ).
  • the gas inlets 136 A, 136 B are located adjacent the upper portion 137 of the expanding channel 134 . In other embodiments, one or more gas inlets may be located along the length of the expanding channel 134 between the upper portion 137 and the lower portion 135 .
  • a control unit 180 such as a programmed personal computer, work station computer, or the like, may be coupled to the chamber 80 to control processing conditions.
  • the control unit 180 may be configured to control flow of various process gases and purge gases from gas sources 138 , 139 , 140 through the valves 142 A, 142 B during different stages of a substrate process sequence.
  • the control unit 180 comprises a central processing unit (CPU) 182 , support circuitry 184 , and memory 186 containing associated control software 183 .
  • CPU central processing unit
  • the control unit 180 may be one of any form of general purpose computer processor that can be used in an industrial setting for controlling various chambers and sub-processors.
  • the CPU 182 may use any suitable memory 186 , such as random access memory, read only memory, floppy disk drive, compact disc drive, hard disk, or any other form of digital storage, local or remote.
  • Various support circuits may be coupled to the CPU 182 for supporting the chamber 80 .
  • the control unit 180 may be coupled to another controller that is located adjacent individual chamber components, such as the programmable logic controllers 148 A, 148 B of the valves 142 A, 142 B. Bi-directional communications between the control unit 180 and various other components of the chamber 80 are handled through numerous signal cables collectively referred to as signal buses 188 , some of which are illustrated in FIG.
  • control unit 180 may be configured to be responsible for automated control of other activities used in wafer processing—such as wafer transport, temperature control, chamber evacuation, among other activities, some of which are described elsewhere herein.
  • a method of noble metal layer formation for high aspect ratio interconnect features is described.
  • the noble metal layer is deposited using a cyclical deposition process.
  • the cyclical deposition process provides alternately adsorbing a noble metal-containing precursor and a reducing gas on a substrate structure.
  • the noble metal-containing precursor and the reducing gas undergo a reaction to form the noble metal layer on the substrate.
  • Suitable noble metals may include, for example, ruthenium, palladium, platinum, cobalt, nickel, or rhodium, preferably ruthenium.
  • the ruthenium layer may have a thickness of less than about 500 ⁇ , preferably, within a range from about 10 ⁇ to about 100 ⁇ , such as about 30 ⁇ .
  • FIG. 3 illustrates a process sequence 100 detailing the various steps used for the deposition of the silicon layer. These steps may be performed in a process chamber similar to that described above with reference to FIGS. 1 and 2 .
  • a substrate is provided to the process chamber.
  • the substrate may be for example, a silicon substrate having an interconnect pattern defined in one or more dielectric material layers formed thereon.
  • the process chamber conditions such as, for example, the temperature and pressure are adjusted to enhance the adsorption of the process gases on the substrate so as to facilitate the reaction of the noble metal-containing precursor (e.g., ruthenium metallocene) and the reducing gas.
  • the noble metal-containing precursor e.g., ruthenium metallocene
  • the substrate should be maintained at a temperature of less than about 500° C., preferably, within a range from about 200° C. to about 400° C., such as about 350° C.
  • the process chamber pressure is maintained within a range from about 0.1 Torr to about 80 Torr, preferably, from about 1 Torr to about 10 Torr.
  • the noble metal-containing precursor may be provided having a flow rate within a range from about 0.01 sccm to about 20 sccm, preferably, from about 0.1 sccm to about 5 sccm, and more preferably, from about 0.1 sccm to about 1 sccm.
  • the reducing gas may be provided having a flow rate within a range from about 1 sccm to about 100 sccm, preferably, from about 10 sccm to about 50 sccm.
  • a carrier gas stream is established within the process chamber as indicated in step 104 .
  • Carrier gases may be selected so as to also act as a purge gas for the removal of volatile reactants and/or by-products from the process chamber.
  • a carrier gas or a purge gas that may be used during processes described herein include helium (He), argon (Ar), nitrogen (N 2 ), hydrogen (H 2 ), or combinations thereof.
  • the pulse of the purge gas lasts for a predetermined time interval, such as, within a range from about 0.01 seconds to about 10 seconds, preferably, from about 0.07 seconds to about 2 seconds, and more preferably, from about 0.1 seconds to about 1 second.
  • the carrier gas and purge gases may be provided having a flow rate within a range from about 500 sccm to about 5,000 sccm, preferably, from about 500 sccm to about 2,500 sccm for 200 mm substrates and from about 1,000 sccm to about 5,000 sccm for 300 mm substrates.
  • a pulse of a noble metal-containing precursor is added to the carrier gas stream.
  • the term pulse as used herein refers to a dose of material injected into the process chamber or into the carrier gas stream.
  • the pulse of the noble metal-containing precursor lasts for a predetermined time interval, such as, within a range from about 0.01 seconds to about 10 seconds, preferably, from about 0.05 seconds to about 1.5 seconds, and more preferably, from about 0.1 seconds to about 1 second.
  • the noble metal-containing precursors may contain noble metals, such as ruthenium, palladium, platinum, cobalt, nickel, or rhodium.
  • Suitable ruthenium-containing precursors include tris(2,2,6,6-tetramethyl-3,5-heptanedionato) ruthenium, bis(2,4-dimethylpentadienyl) ruthenium, dicarbonyl pentadienyl ruthenium, ruthenium acetyl acetonate, (2,4-dimethylpentadienyl) ruthenium (cyclopentadienyl), bis(2,2,6,6-tetramethyl-3,5-heptanedionato) ruthenium (1,5-cyclooctadiene), (2,4-dimethylpentadienyl)ruthenium(methylcyclopentadienyl), (1,5-cyclooctadiene)ruthenium(cyclopentadien
  • Suitable palladium-containing precursors include bis(allyl)palladium, bis(2-methylallyl)palladium, or (cyclopentadienyl)palladium(allyl).
  • Suitable platinum-containing precursors include dimethyl platinum (cyclooctadiene), trimethyl platinum (cyclopentadienyl), trimethyl(methylcyclopentadienyl) platinum, cyclopentadienyl(allyl) platinum, methyl(carbonyl) platinum cyclopentadienyl, trimethyl platinum (acetylacetonato), and bis(acetylacetonato) platinum.
  • Suitable cobalt-containing precursors include bis(cyclopentadienyl) cobalt, (cyclopentadienyl) cobalt (cyclohexadienyl), cyclopentadienyl(1,3-hexadienyl) cobalt, (cyclobutadienyl) cobalt (cyclopentadienyl), bis(methylcyclopentadienyl) cobalt, (cyclopentadienyl) cobalt (5-methylcyclopentadienyl), or bis(ethylene) cobalt (pentamethylcyclopentadienyl).
  • a suitable nickel-containing precursor includes bis(methylcyclopentadienyl) nickel.
  • Suitable rhodium-containing precursors include bis(carbonyl) rhodium (cyclopentadienyl), bis(propylene) rhodium, bis(carbonyl) rhodium (ethylcyclopentadienyl), or bis(carbonyl) rhodium (methylcyclopentadienyl).
  • the time interval for the pulse of the noble metal-containing precursor is variable depending upon a number of factors such as, for example, the volume capacity of the process chamber employed, the vacuum system coupled thereto and the volatility/reactivity of the reactants used. For example, (1) a large-volume process chamber may lead to a longer time to stabilize the process conditions such as, for example, carrier/purge gas flow and temperature, requiring a longer pulse time; (2) a lower flow rate for the process gas may also lead to a longer time to stabilize the process conditions requiring a longer pulse time; and (3) a lower chamber pressure means that the process gas is evacuated from the process chamber more quickly requiring a longer pulse time.
  • the process conditions are advantageously selected so that a pulse of the noble metal-containing precursor provides a sufficient amount of precursor so that at least a monolayer of the noble metal-containing precursor is adsorbed on the substrate. Thereafter, excess noble metal-containing precursor remaining in the chamber may be removed from the process chamber by the constant carrier gas stream in combination with the vacuum system.
  • a pulse of a reducing gas is added to the carrier gas stream.
  • the pulse of the reducing gas also lasts for a predetermined time interval.
  • the time interval for the pulse of the reducing gas should be long enough for adsorption of at least a monolayer of the reducing gas on the noble metal-containing precursor.
  • the pulse of reducing gas lasts for a predetermined time interval, such as, within a range from about 0.01 seconds to about 10 seconds, preferably, from about 0.1 seconds to about 2 seconds, and more preferably, from about 0.1 seconds to about 1 second.
  • excess reducing gas is flushed from the process chamber by the carrier gas stream.
  • Suitable reducing gases may include, for example, hydrogen (e.g., H 2 or atomic-H), ammonia (NH 3 ), silane (SiH 4 ), disilane (Si 2 H 6 ), trisilane (Si 3 H 8 ), tetrasilane (Si 4 H 10 ), dimethylsilane (SiC 2 H 8 ), methyl silane (SiCH 6 ), ethylsilane (SiC 2 H 8 ), chlorosilane (ClSiH 3 ), dichlorosilane (Cl 2 SiH 2 ), hexachlorodisilane (Si 2 Cl 6 ), borane, diborane, triborane, tetraborane, pentaborane, triethylborane, or combinations thereof.
  • hydrogen e.g., H 2 or atomic-H
  • ammonia NH 3
  • silane SiH 4
  • disilane Si 2 H 6
  • a deposition cycle for forming a noble metal layer is depicted by steps 104 - 108 in FIG. 3 .
  • a constant flow of carrier gas is provided to the process chamber modulated by alternating periods of pulsing and non-pulsing where the periods of pulsing alternate between the noble metal-containing precursor and the reducing gas along with the carrier gas stream, while the periods of non-pulsing include only the carrier gas stream.
  • the time interval for each of the pulses of the noble metal-containing precursor and the reducing gas may have the same duration. That is, the duration of the pulse of the noble metal-containing precursor may be identical to the duration of the pulse of the reducing gas.
  • a time interval (T 1 ) for the pulse of the noble metal-containing precursor is equal to a time interval (T 2 ) for the pulse of the reducing gas.
  • the time interval for each of the pulses of the noble metal-containing precursor and the reducing gas may have different durations. That is, the duration of the pulse of the noble metal-containing precursor may be shorter or longer than the duration of the pulse of the reducing gas.
  • a time interval (T 1 ) for the pulse of the noble metal-containing precursor is different than the time interval (T 2 ) for the pulse of the reducing gas.
  • the periods of non-pulsing between each of the pulses of the noble metal-containing precursor and the reducing gas may have the same duration. That is, the duration of the period of non-pulsing between each pulse of the noble metal-containing precursor and each pulse of the reducing gas is identical.
  • a time interval (T 3 ) of non-pulsing between the pulse of the noble metal-containing precursor and the pulse of the reducing gas is equal to a time interval (T 4 ) of non-pulsing between the pulse of the reducing gas and the pulse of the noble metal-containing precursor.
  • the periods of non-pulsing between each of the pulses of the noble metal-containing precursor and the reducing gas may have different duration. That is, the duration of the period of non-pulsing between each pulse of the noble metal-containing precursor and each pulse of the reducing gas may be shorter or longer than the duration of the period of non-pulsing between each pulse of the reducing gas and the noble metal-containing precursor.
  • a time interval (T 3 ) of non-pulsing between the pulse of the noble metal-containing precursor and the pulse of the reducing gas is different from a time interval (T 4 ) of non-pulsing between the pulse of the reducing gas and the pulse of noble metal-containing precursor.
  • time intervals for each pulse of the noble metal-containing precursor, the reducing gas and the periods of non-pulsing therebetween for each deposition cycle may have the same duration.
  • a time interval (T 1 ) for the noble metal-containing precursor, a time interval (T 2 ) for the reducing gas, a time interval (T 3 ) of non-pulsing between the pulse of the noble metal-containing precursor and the pulse of the reducing gas and a time interval (T 4 ) of non-pulsing between the pulse of the reducing gas and the pulse of the noble metal-containing precursor each have the same value for each deposition cycle.
  • a time interval (T 1 ) for the pulse of the noble metal-containing precursor has the same duration as the time interval (T 1 ) for the pulse of the noble metal-containing precursor in subsequent deposition cycles (C 2 . . . C n ).
  • the duration of each pulse of the reducing gas and the periods of non-pulsing between the pulse of the noble metal-containing precursor and the reducing gas in the first deposition cycle (C 1 ) is the same as the duration of each pulse of the reducing gas and the periods of non-pulsing between the pulse of the noble metal-containing precursor and the reducing gas in subsequent deposition cycles (C 2 . . . C n ), respectively.
  • the time intervals for at least one pulse of the noble metal-containing precursor, the reducing gas and the periods of non-pulsing therebetween for one or more of the deposition cycles of the noble metal layer deposition process may have different durations.
  • one or more of the time intervals (T 1 ) for the pulses of the noble metal-containing precursor, the time intervals (T 2 ) for the pulses of the reducing gas, the time intervals (T 3 ) of non-pulsing between the pulse of the noble metal-containing precursor and the reducing gas and the time intervals (T 4 ) of non-pulsing between the pulses of the reducing gas and the noble metal-containing precursor may have different values for one or more deposition cycles of the cyclical deposition process.
  • the time interval (T 1 ) for the pulse of the noble metal-containing precursor may be longer or shorter than one or more time interval (T 1 ) for the pulse of the noble metal-containing precursor in subsequent deposition cycles (C 2 . . . C n ).
  • the durations of the pulses of the reducing gas and the periods of non-pulsing between the pulse of the noble metal-containing precursor and the reducing gas in the first deposition cycle (C 1 ) may be the same or different than the duration of each pulse of the reducing gas and the periods of non-pulsing between the pulse of the noble metal-containing precursor and the reducing gas in subsequent deposition cycles (C 2 . . . C n ).
  • step 110 after each deposition cycle (steps 104 through 108 ) a thickness of the noble metal will be formed on the substrate. Depending on specific device requirements, subsequent deposition cycles may be needed to achieve a desired thickness. As such, steps 104 through 108 are repeated until the desired thickness for the noble metal layer is achieved. Thereafter, when the desired thickness for the noble metal layer is achieved the process is stopped as indicated by step 112 .
  • the noble metal layer deposition cycle comprises separate pulses for each of the noble metal-containing precursor, the reducing gas and a purge gas.
  • the noble metal layer deposition sequence 200 includes providing a substrate to the process chamber (step 202 ), providing a first pulse of a purge gas to the process chamber (step 204 ), providing a pulse of a noble metal-containing precursor to the process chamber (step 206 ), providing a second pulse of the purge gas to the process chamber (step 208 ), providing a pulse of a reducing gas to the process chamber (step 210 ), and then repeating steps 204 through 210 , or stopping the deposition process (step 214 ) depending on whether a desired thickness for the noble metal layer has been achieved (step 212 ).
  • the time intervals for each of the pulses of the noble metal-containing precursor, the reducing gas and the purge gas may have the same or different durations as discussed above with respect to FIG. 3 .
  • corresponding time intervals for one or more pulses of the noble metal-containing precursor, the reducing gas and the purge gas in one or more of the deposition cycles of the noble metal layer deposition process may have different durations.
  • the noble metal layer deposition cycle is depicted as beginning with a pulse of the noble metal-containing precursor followed by a pulse of the reducing gas.
  • the noble metal layer deposition cycle may start with a pulse of the reducing gas followed by a pulse of the noble metal-containing precursor.
  • a method for depositing a ruthenium layer by an ALD process includes positioning a substrate (e.g., 300 mm diameter) into the process chamber 80 of FIG. 2 .
  • the method includes providing pulses of a ruthenium-containing compound, such as bis(2,4-dimethylpentadienyl) ruthenium, from gas source 138 through valve 142 A, having a flow rate within a large from about 0.01 sccm to about 5 sccm, preferably, from about 0.1 sccm to about 1 sccm.
  • a ruthenium-containing compound such as bis(2,4-dimethylpentadienyl) ruthenium
  • a pulse time of about 1.5 seconds or less, such as about 0.1 seconds or less, and as low as about 0.05 seconds or less may be used for the ruthenium-containing compound due to the smaller volume of the reaction zone 164 (as compared to chamber 8 of FIG. 1 ).
  • the process further includes providing pulses of a reducing gas, such as diborane (B 2 H 6 ), from gas source 139 through valve 142 B, having a flow rate within a range from about 1 sccm to about 80 sccm, preferably, from about 10 sccm to about 50 sccm.
  • a reducing gas such as diborane (B 2 H 6 )
  • a pulse time of about 2 seconds or less, about 1 second or less, or about 0.1 seconds or less may be used for the reducing gas due to a smaller volume of the reaction zone 164 .
  • An argon purge gas having a flow rate within a range from about 500 sccm to about 5,000 sccm, preferably, from about 1,500 sccm to about 3,500 sccm, may be continuously provided from gas source 140 through valves 142 A, 142 B.
  • the time between pulses of bis(2,4-dimethylpentadienyl) ruthenium and B 2 H 6 may be about 0.5 seconds or less, such as about 0.1 seconds or less, and as low as about 0.07 seconds or less due to the smaller volume of the reaction zone 164 .
  • the substrate may be heated to a temperature within a range from about 200° C. to about 400° C., preferably, about 350° C., and the chamber may be pressurized at a pressure within a range from about 1.0 to about 10 Torr, preferably, about 4 Torr.
  • a process provides a ruthenium layer having a thickness within a range from about 0.5 ⁇ to about 1.0 ⁇ per cycle. The alternating sequence of the cycle may be repeated to obtain a desired thickness of the ruthenium layer.
  • the ruthenium layer is deposited on a sidewall with a thickness coverage of about 50 ⁇ or less. In another embodiment, the ruthenium layer is deposited on a sidewall with a thickness coverage of about 20 ⁇ or less. In still another embodiment, the ruthenium layer is deposited on a sidewall with a thickness coverage of about 10 ⁇ or less.
  • a ruthenium layer having a thickness of about 10 ⁇ or less is believed to be a sufficient thickness as an underlayer to adhere copper deposition (i.e., seed layer) and to prevent copper diffusion (i.e., barrier layer).
  • a thin ruthenium underlayer may be advantageously used prior to filling sub-micron (e.g., less than 0.15 ⁇ m) and smaller features having high aspect ratios (e.g., greater than 5 to 1).
  • a layer may also be used that has a sidewall with a thickness coverage of greater than 50 ⁇ .
  • a ruthenium material is deposited as a seed layer.
  • a ruthenium material is deposited as a barrier layer.
  • FIGS. 5A-5C illustrate cross-sectional views of different stages of a copper interconnect being fabricated by sequences that incorporate a noble metal layer formed during a process as described herein.
  • FIG. 5A illustrates a cross-sectional view of a substrate 300 having metal contacts 304 and a dielectric layer 302 formed thereon.
  • the substrate 300 may contain a semiconductor material such as, for example, silicon, germanium, or gallium arsenide.
  • the dielectric layer 302 may contain an insulating material such as, for example, silicon oxide or silicon nitride.
  • the metal contacts 304 may contain for example, copper.
  • Apertures 304 H may be defined in the dielectric layer 302 to provide openings over the metal contacts 304 .
  • the apertures 304 H may be defined in the dielectric layer 302 using conventional lithography and etching techniques.
  • a barrier layer 306 may be formed in the apertures 304 H defined in the dielectric layer 302 .
  • the barrier layer 306 may include one or more refractory metal-containing layers such as, for example, titanium, titanium nitride, tantalum, tantalum nitride, tungsten, or tungsten nitride.
  • the barrier layer 306 may be formed using a suitable deposition process. For example, titanium nitride may be deposited using a chemical vapor deposition (CVD) process or ALD process wherein titanium tetrachloride and ammonia are reacted.
  • a noble metal layer 308 (e.g., ruthenium) is formed on the barrier layer 306 .
  • the noble metal layer is formed using the cyclical deposition techniques described above with reference to FIGS. 3-4 .
  • the thickness for the noble metal layer is variable depending on the device structure to be fabricated. Typically, the thickness of the noble metal layer is less than about 100 ⁇ , preferably, within a range from about 10 ⁇ to about 60 ⁇ . In one embodiment, a ruthenium layer has a thickness of about 30 ⁇ .
  • the apertures 304 H may be filled with copper 310 to complete the copper interconnect.
  • the copper 310 may be formed using one or more suitable deposition processes.
  • a copper seed layer may be formed on the ruthenium layer by using a CVD process followed by deposition of bulk copper to fill the interconnects using an electrochemical plating (ECP) process.
  • ECP electrochemical plating
  • a copper seed layer is deposited to the ruthenium layer via physical vapor deposition (PVD), thereafter an electroless copper plating is utilized to deposit a copper bulk fill.
  • the ruthenium layer serves as a seed layer to which a copper bulk fill is directly deposited with ECP or electroless copper plating.
  • ruthenium layer within the interconnect Several integration sequence are conducted in order to form a ruthenium layer within the interconnect.
  • the subsequent steps follow: a) pre-clean of the substrate; b) deposition of a barrier layer (e.g., ALD of TaN); c) deposition of ruthenium by ALD; and d) deposition of copper by ECP or Cu-PVD followed by ECP.
  • the subsequent steps follow: a) deposition of a barrier layer (e.g., ALD of TaN); b) punch-through step; c) deposition of ruthenium by ALD; and d) deposition of copper by ECP or Cu-PVD followed by ECP.
  • the subsequent steps follow: a) deposition of ruthenium by ALD; b) punch-through step; c) deposition of ruthenium by ALD; and d) deposition of copper by ECP or Cu-PVD followed by ECP or Cu-PVD.
  • the subsequent steps follow: a) deposition of ruthenium by ALD; b) punch-through step; c) deposition of ruthenium by ALD; and d) deposition of copper by ECP.
  • the subsequent steps follow: a) pre-clean of the substrate; b) deposition of ruthenium by ALD; and c) deposition of copper by ECP or Cu-PVD followed by ECP.
  • the pre-clean steps include methods to clean or purify the via, such as the removal of residue at the bottom of the via (e.g., carbon) or reduction of copper oxide to copper metal.
  • Punch-through steps include a sputtering method to remove material (e.g., barrier layer) with a plasma from the bottom of the via to expose conductive layer, such as copper. Further disclosure of punch-through steps are described in more detail in the commonly assigned, U.S. Pat. No. 6,498,091, and is herein incorporated by reference.
  • the punch-through steps may be conducted in a process chamber, such as either a barrier chamber or a clean chamber. In embodiments of the invention, clean steps and punch-through steps are applied to ruthenium barrier layers.

Abstract

In one embodiment, a method for forming a material on a substrate is provided which includes positioning a substrate containing a dielectric material having vias formed therein within a process chamber, forming a barrier layer within the vias and on the dielectric material during a barrier deposition process, forming a ruthenium layer on the barrier layer during a ruthenium deposition process, and filling the vias with a copper material during a copper deposition process. The copper material may be formed by depositing a copper bulk layer over a copper seed layer. The method further provides that the ruthenium layer may be formed by an atomic layer deposition process (ALD) or a physical vapor deposition (PVD) process and the copper material may be formed by an electroless chemical plating process, an electroplating process, a chemical vapor deposition process, an ALD process and/or a PVD process.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation of U.S. Ser. No. 10/634,662 (APPM/005975.P1), filed Aug. 4, 2003, which is a continuation-in-part of U.S. Ser. No. 10/443,648 (APPM/005975), filed May 22, 2003, which claims benefit of U.S. Ser. No. 60/385,499 (APPM/005975L), filed Jun. 4, 2002, which are herein incorporated by reference in their entirety.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • Embodiments of the invention generally relate to a method for forming noble metal layers, and more particularly to methods for forming ruthenium layers used in copper integration.
  • 2. Description of the Related Art
  • Sub-quarter micron, multi-level metallization is one of the key technologies for the next generation of very large scale integration (VLSI) and ultra large scale integration (ULSI) semiconductor devices. The multilevel interconnects that lie at the heart of this technology require the filling of contacts, vias, lines, and other features formed in high aspect ratio apertures. Reliable formation of these features is very important to the success of both VLSI and ULSI as well as to the continued effort to increase client density and quality on individual substrates and die.
  • As circuit densities increase, the widths of contacts, vias, lines and other features, as well as the dielectric materials between them may decrease to less than about 250 nm, whereas the thickness of the dielectric layers remains substantially constant with the result that the aspect ratios for the features, i.e., their height divided by width, increases. Many conventional deposition processes have difficulty filling structures where the aspect ratio exceeds 6:1, and particularly where the aspect ratio exceeds 10:1. As such, there is a great amount of ongoing effort being directed at the formation of void-free, nanometer-sized structures having aspect ratios wherein the ratio of feature height to feature width can be 6:1 or higher.
  • Additionally, as the feature widths decrease, the device current typically remains constant or increases, which results in an increased current density for such feature. Elemental aluminum and aluminum alloys have been the traditional metals used to form vias and lines in semiconductor devices because aluminum has a perceived low electrical resistivity, superior adhesion to most dielectric materials, ease of patterning, and the ability to obtain aluminum in a highly pure form. However, aluminum has a higher electrical resistivity than other more conductive metals such as copper. Aluminum can also suffer from electromigration leading to the formation of voids in the conductor.
  • Copper and copper alloys have lower resistivities than aluminum, as well as a significantly higher electromigration resistance compared to aluminum. These characteristics are important for supporting the higher current densities experienced at high levels of integration and increased device speed. Copper also has good thermal conductivity. Therefore, copper is becoming a choice metal for filling sub-quarter micron, high aspect ratio interconnect features on semiconductor substrates.
  • A thin film of a noble metal such as, for example, palladium, platinum, cobalt, nickel and rhodium, among others may be used as an underlayer for the copper vias and lines. Such noble metals, which are resistant to corrosion and oxidation, may provide a smooth surface upon which a copper seed layer is subsequently deposited using for example, an electrochemical plating (ECP) process.
  • The noble metal is typically deposited using a chemical vapor deposition (CVD) process or a physical vapor deposition (PVD) process. Unfortunately, noble metals deposited on high aspect ratio interconnect features using CVD and/or PVD processes generally have poor step coverage (e.g., deposition of a non-continuous material layer). The poor step coverage for the noble metal material layer may cause the subsequent copper seed layer deposition using an ECP process to be non-uniform.
  • Therefore, a need exists for a method to deposit a noble metal, such as ruthenium, within a high aspect ratio interconnect feature while maintaining good step coverage.
  • SUMMARY OF THE INVENTION
  • A method of noble metal layer formation for high aspect ratio interconnect features is described herein. The noble metal layer is formed using a cyclical deposition process, such as atomic layer deposition (ALD). The cyclical deposition process includes alternately adsorbing a noble metal-containing precursor and a reducing gas on a substrate structure. The adsorbed noble metal-containing precursor reacts with the reducing gas to form the noble metal layer on the substrate. Suitable noble metals may include, for example, ruthenium, palladium, platinum, cobalt, nickel, or rhodium.
  • The noble metal layer formation is compatible with integrated circuit fabrication processes. In one integrated circuit fabrication process, the noble metal layer may be used as an underlayer for a copper seed layer within a copper interconnect. For such an embodiment, a preferred process sequence includes providing a substrate having an interconnect pattern defined in one or more dielectric layers formed thereon. The interconnect pattern includes a barrier layer conformably deposited thereon. A noble metal layer (e.g., ruthenium) is conformably deposited on the barrier layer. The noble metal layer is deposited using a cyclical deposition process by alternately exposing the substrate to a noble metal-containing gas and a reducing gas. Thereafter, the copper interconnects are completed by depositing a copper seed layer on the noble metal layer and filling the vias with bulk copper metal.
  • In one embodiment, a method for forming a film on a substrate is provided which includes positioning the substrate within a process chamber and forming a ruthenium layer on at least a portion of the substrate by sequentially chemisorbing monolayers of a ruthenium-containing compound and a reducing gas on the substrate to form the ruthenium layer.
  • In another embodiment, a method for forming a ruthenium layer on a substrate for use in integrated circuit fabrication is provided which includes positioning the substrate within a process chamber, wherein the process chamber is in fluid communication with a gas delivery system, delivering a ruthenium-containing compound from the gas delivery system to the process chamber, chemisorbing a ruthenium-containing layer on the substrate, delivering a reducing gas from the gas delivery system to the process chamber and reacting the reducing gas with the ruthenium-containing layer to form the ruthenium layer on the substrate.
  • In another embodiment, a method for forming a layer containing ruthenium material on a substrate surface is provided which includes exposing the substrate surface to a ruthenium-containing compound to form a ruthenium-containing layer on the substrate surface, purging the chamber with a purge gas, reacting a reducing gas with the ruthenium-containing layer, and purging the chamber with the purge gas.
  • In another embodiment, a method for forming a ruthenium layer on a substrate is provided which includes positioning the substrate within a process chamber and forming the ruthenium layer on at least a portion of the substrate by sequentially chemisorbing monolayers of a ruthenium-containing compound and a reducing gas. The method further includes that the process chamber contains a substrate support, a chamber lid with a passageway at a central portion of the chamber lid and having a bottom surface extending from the passageway to a peripheral portion of the chamber lid. The bottom surface is shaped and sized to substantially cover the substrate. The process chamber further contains one or more valves coupled to the passageway, one or more gas sources coupled to each valve and a reaction zone. The reaction zone is defined between the chamber lid and the substrate and occupies a small volume.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIG. 1 depicts a schematic cross-sectional view of a process chamber that may be used to perform a cyclical deposition process described herein;
  • FIG. 2 depicts a schematic cross-sectional view of another process chamber that may be used to perform a cyclical deposition process described herein;
  • FIG. 3 illustrates a process sequence for noble metal layer formation using cyclical deposition techniques according to one embodiment described herein;
  • FIG. 4 illustrates a process sequence for noble metal layer formation using cyclical deposition techniques according to an alternate embodiment described herein; and
  • FIGS. 5A-5C illustrate schematic cross-sectional views of an integrated circuit fabrication sequence.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • FIG. 1 depicts a schematic cross-sectional view of a process chamber 10 that can be used to perform integrated circuit fabrication in accordance with embodiments described herein. The process chamber 10 generally houses a substrate support pedestal 48, which is used to support a substrate (not shown). The substrate support pedestal 48 is movable in a vertical direction inside the process chamber 10 using a displacement mechanism 48A.
  • Depending on the specific process, the substrate can be heated to some desired temperature prior to or during deposition. For example, the substrate support pedestal 48 may be heated using an embedded heating element 52A. The substrate support pedestal 48 may be resistively heated by applying an electric current from an AC power supply 52 to the heating element 52A. The substrate (not shown) is, in turn, heated by the pedestal 48. Alternatively, the substrate support pedestal 48 may be heated using radiant heaters such as, for example, lamps (not shown).
  • A temperature sensor 50A, such as a thermocouple, is also embedded in the substrate support pedestal 48 to monitor the temperature of the pedestal 48 in a conventional manner. The measured temperature is used in a feedback loop to control the AC power supply 52 for the heating element 52A, such that the substrate temperature can be maintained or controlled at a desired temperature which is suitable for the particular process application.
  • A vacuum pump 18 and the conduit system 46A are used to evacuate the process chamber 10 and to maintain the pressure inside the process chamber 10. A gas manifold 34, through which process gases are introduced into the process chamber 10, is located above the substrate support pedestal 48. The gas manifold 34 is connected to a gas panel (not shown), which controls and supplies various process gases to the process chamber 10.
  • Proper control and regulation of the gas flows to the gas manifold 34 are performed by mass flow controllers (not shown) and a microprocessor controller 70. The gas manifold 34 allows process gases to be introduced and uniformly distributed in the process chamber 10. Additionally, the gas manifold 34 may optionally be heated to prevent condensation of any reactive gases within the manifold.
  • The gas manifold 34 includes a plurality of electronic control valves (not shown). The electronic control valves as used herein refer to any control valve capable of providing rapid and precise gas flow to the process chamber 10 with valve open and close cycles within a range from about 0.01 seconds to about 10 seconds, preferably, from about 0.05 seconds to about 2 seconds, and more preferably, from about 0.1 seconds to about 1 second.
  • The microprocessor controller 70 may be one of any form of general purpose computer processor (CPU) that can be used in an industrial setting for controlling various chambers and sub-processors. The computer may use any suitable memory, such as random access memory, read only memory, floppy disk drive, compact disc drive, hard disk, or any other form of digital storage, local or remote. Various support circuits may be coupled to the CPU for supporting the processor in a conventional manner. Software routines as required, may be stored in the memory or executed by a second, remotely located CPU.
  • The software routines are executed to initiate process recipes or sequences. The software routines, when executed, transform the general purpose computer into a specific process computer that controls the chamber operation so that a chamber process is performed. For example, software routines may be used to precisely control the activation of the electronic control valves for the execution of process sequences according to the present invention. Alternatively, the software routines may be performed in hardware, as an application specific integrated circuit or other type of hardware implementation, or a combination of software or hardware.
  • FIG. 2 is a schematic cross-sectional view of one embodiment of a chamber 80 including a gas delivery apparatus 130 adapted for cyclic deposition, such as atomic layer deposition or rapid chemical vapor deposition. A detailed description for a chamber 80 is described in commonly assigned U.S. Pat. No. 6,916,398, and commonly assigned and co-pending U.S. Ser. No. 10/281,079, entitled “Gas Delivery Apparatus for Atomic Layer Deposition,” filed Oct. 25, 2002, and published as US 2003-0121608, which are both incorporated herein by reference in their entirety. The terms “atomic layer deposition” (ALD) and “rapid chemical vapor deposition,” as used herein, refer to the sequential introduction of reactants to deposit a thin layer over a substrate structure. The sequential introduction of reactants may be repeated to deposit a plurality of thin layers to form a conformal layer to a desired thickness. The chamber 80 may also be adapted for other deposition techniques.
  • The chamber 80 contains a chamber body 82 having sidewalls 84 and a bottom 86. A slit valve 88 in the chamber 80 provides access for a robot (not shown) to deliver and retrieve a substrate 90, such as a 200 mm or 300 mm semiconductor wafer or a glass substrate, from the chamber 80.
  • A substrate support 92 supports the substrate 90 on a substrate receiving surface 91 in the chamber 80. The substrate support 92 is mounted to a lift motor 114 to raise and lower the substrate support 92 and a substrate 90 disposed thereon. A lift plate 116 connected to a lift motor 118 is mounted in the chamber 80 and raises and lowers pins 120 movably disposed through the substrate support 92. The pins 120 raise and lower the substrate 90 over the surface of the substrate support 92. The substrate support 92 may include a vacuum chuck, an electrostatic chuck, or a clamp ring for securing the substrate 90 to the substrate support 92 during processes.
  • The substrate support 92 may be heated to heat a substrate 90 disposed thereon. For example, the substrate support 92 may be heated using an embedded heating element, such as a resistive heater, or may be heated using radiant heat, such as heating lamps disposed above the substrate support 92. A purge ring 122 may be disposed on the substrate support 92 to define a purge channel 124 which provides a purge gas to a peripheral portion of the substrate 90 to prevent deposition thereon.
  • A gas delivery apparatus 130 is disposed at an upper portion of the chamber body 82 to provide a gas, such as a process gas and/or a purge gas, to the chamber 80. A vacuum system 178 is in communication with a pumping channel 179 to evacuate any desired gases from the chamber 80 and to help maintain a desired pressure or a desired pressure range inside a pumping zone 166 of the chamber 80.
  • In one embodiment, the chambers depicted by FIGS. 1 and 2 permit the process gas and/or purge gas to enter the chamber 80 normal (i.e., 900) with respect to the plane of the substrate 90 via the gas delivery apparatus 130. Therefore, the surface of substrate 90 is symmetrically exposed to gases that allow uniform film formation on substrates. In another embodiment, the process gas may have a circular flow pattern, such as a “vortex,” “helix,” or “spiral” flow passing through the expanding channel 134 towards the substrate. The circular flow may establish a more efficient purge of the expanding channel 134 due to the sweeping action of the vortex flow pattern across the inner surface of the expanding channel 134 and a laminar flow efficiently purging the surface of the chamber lid 132 and the substrate 90. The process gas includes a ruthenium-containing precursor during one pulse and includes a reducing gas in another pulse.
  • Chamber 80, depicted in FIG. 2, produces a more uniform film than chamber 10, depicted in FIG. 1. Also, chamber 80 employs a smaller cycle time than chamber 10, since chamber 80 takes less time to purge and less time to dose the wafer to saturation with precursor than chamber 10. The lesser dosing time is important because many of the ruthenium-containing compounds have the inherent characteristic of a low vapor pressure. The low vapor pressure correlates to less precursor saturating the carrier gas per time and temperature, therefore, more time is needed to saturate the surface of the wafer with ruthenium-containing compound (e.g., Cp2Ru) than a traditional precursor with a higher vapor pressure (e.g., TiCl4). Therefore, chamber 10 may dose a ruthenium-containing compound for about 1 second or less, while chamber 80 may dose the same ruthenium-containing compound for about 0.2 seconds or less.
  • In one embodiment, the gas delivery apparatus 130 comprises a chamber lid 132. The chamber lid 132 includes an expanding channel 134 extending from a central portion of the chamber lid 132 and a bottom surface 160 extending from the expanding channel 134 to a peripheral portion of the chamber lid 132. The bottom surface 160 is sized and shaped to substantially cover a substrate 90 disposed on the substrate support 92. The expanding channel 134 has gas inlets 136A, 136B to provide gas flows from two similar pairs of valves 142A/152A, 142B/152B, which may be provided together and/or separately.
  • In one configuration, valve 142A and valve 142B are coupled to separate reactant gas sources but are preferably coupled to the same purge gas source. For example, valve 142A is coupled to reactant gas source 138 and valve 142B is coupled to reactant gas source 139, and both valves 142A, 142B are coupled to purge gas source 140. Each valve 142A, 142B includes a delivery line 143A, 143B having a valve seat assembly 144A, 144B and each valves 152A, 152B includes a purge line 145A, 145B having a valve seat assembly 146A, 146B. The delivery line 143A, 143B is in communication with the reactant gas source 138, 139 and is in communication with the gas inlet 136A, 136B of the expanding channel 134. The valve seat assembly 144A, 144B of the delivery line 143A, 143B controls the flow of the reactant gas from the reactant gas source 138, 139 to the expanding channel 134. The purge line 145A, 145B is in communication with the purge gas source 140 and intersects the delivery lines 143A, 143B downstream of the valve seat assembly 144A, 144B of the valves 142A, 142B. The valve seat assembly 146A, 146B of the purge line 145A, 145B controls the flow of the purge gas from the purge gas source 140 to the delivery line 143A, 143B. If a carrier gas is used to deliver reactant gases from the reactant gas source 138, 139, preferably the same gas is used as a carrier gas and a purge gas (i.e., an argon gas used as a carrier gas and a purge gas).
  • Each valve seat assembly 144A, 144B, 146A, 146B may comprise a diaphragm and a valve seat. The diaphragm may be biased open or closed and may be actuated closed or open respectively. The diaphragms may be pneumatically actuated or may be electrically actuated. Examples of pneumatically actuated valves include pneumatically actuated valves available from Fujiken and Veriflow. Examples of electrically actuated valves include electrically actuated valves available from Fujiken. Programmable logic controllers 148A, 148B may be coupled to the valves 142A, 142B to control actuation of the diaphragms of the valve seat assemblies 144A, 144B, 146A, 146B of the valves 142A, 142B. Pneumatically actuated valves may provide pulses of gases in time periods as low as about 0.020 seconds. Electrically actuated valves may provide pulses of gases in time periods as low as about 0.005 seconds. An electrically actuated valve typically requires the use of a driver coupled between the valve and the programmable logic controller.
  • Each valve 142A, 142B may be a zero dead volume valve to enable flushing of a reactant gas from the delivery line 143A, 143B when the valve seat assembly 144A, 144B of the valve is closed. For example, the purge line 145A, 145B may be positioned adjacent the valve seat assembly 144A, 144B of the delivery line 143A, 143B. When the valve seat assembly 144A, 144B is closed, the purge line 145A, 145B may provide a purge gas to flush the delivery line 143A, 143B. In the embodiment shown, the purge line 145A, 145B is positioned slightly spaced from the valve seat assembly 144A, 144B of the delivery line 143A, 143B so that a purge gas is not directly delivered into the valve seat assembly 144A, 144B when open. A zero dead volume valve as used herein is defined as a valve which has negligible dead volume (i.e., not necessary zero dead volume).
  • Each valve pair 142A/152A, 142B/152B may be adapted to provide a combined gas flow and/or separate gas flows of the reactant gas 138, 139 and the purge gas 140. In reference to valve pair 142A/152A, one example of a combined gas flow of the reactant gas 138 and the purge gas 140 provided by valve 142A comprises a continuous flow of a purge gas from the purge gas source 140 through purge line 145A and pulses of a reactant gas from the reactant gas source 138 through delivery line 143A. The continuous flow of the purge gas may be provided by leaving diaphragm of the valve seat assembly 146A of the purge line 145A open. The pulses of the reactant gas from the reactant gas source 138 may be provided by opening and closing the diaphragm of the valve seat 144A of the delivery line 143A. In reference to valve pair 142A/152A, one example of separate gas flows of the reactant gas 138 and the purge gas 140 provided by valve 142A comprises pulses of a purge gas from the purge gas source 140 through purge line 145A and pulses of a reactant gas from the reactant gas source 138 through delivery line 143A. The pulses of the purge gas may be provided by opening and closing the diaphragm of the valve seat assembly 146A of the purge line 145A open. The pulses of the reactant gas from the reactant gas source 138 may be provided by opening and closing the diaphragm valve seat 144A of the delivery line 143A.
  • The delivery lines 143A, 143B of the valves 142A, 142B may be coupled to the gas inlets 136A, 136B through gas conduits 150A, 150B. The gas conduits 150A, 150B may be integrated or may be separate from the valves 142A, 142B. In one aspect, the valves 142A, 142B are coupled in close proximity to the expanding channel 134 to reduce any unnecessary volume of the delivery line 143A, 143B and the gas conduits 150A, 150B between the valves 142A, 142B and the gas inlets 136A, 136B.
  • In FIG. 2, the expanding channel 134 comprises a channel which has an inner diameter which increases from an upper portion 137 of cap 172 to a lower portion 135 of the expanding channel 134 adjacent the bottom surface 160 of the chamber lid 132.
  • In one specific embodiment, the inner diameter of the expanding channel 134 for a chamber adapted to process 200 mm diameter substrates is between about 0.2 inches (0.51 cm) and about 1.0 inch (2.54 cm), more preferably between about 0.3 inches (0.76 cm) and about 0.9 inches (2.29 cm) and more preferably between about 0.3 inches (0.76 cm) and about 0.5 inches (1.27 cm) at the upper portion 137 of the expanding channel 134 and between about 0.5 inches (1.27 cm) and about 3.0 inches (7.62 cm), preferably between about 0.75 inches (1.91 cm) and about 2.5 inches (6.35 cm) and more preferably between about 1.1 inches (2.79 cm) and about 2.0 inches (5.08 cm) at the lower portion 135 of the expanding channel 134.
  • In another specific embodiment, the inner diameter of the expanding channel 134 for a chamber adapted to process 300 mm diameter substrates is between about 0.2 inches (0.51 cm) and about 1.0 inch (2.54 cm), more preferably between about 0.3 inches (0.76 cm) and about 0.9 inches (2.29 cm) and more preferably between about 0.3 inches (0.76 cm) and about 0.5 inches (1.27 cm) at the upper portion 137 of the expanding channel 134 and between about 0.5 inches (1.27 cm) and about 3.0 inches (7.62 cm), preferably between about 0.75 inches (1.91 cm) and about 2.5 inches (6.35 cm) and more preferably between about 1.2 inches (3.05 cm) and about 2.2 inches (5.59 cm) at the lower portion 135 of the expanding channel 134 for a 300 mm substrate. In general, the above dimension apply to an expanding channel adapted to provide a total gas flow of between about 500 sccm and about 3,000 sccm.
  • In other specific embodiments, the dimension may be altered to accommodate a certain gas flow therethrough. In general, a larger gas flow will require a larger diameter expanding channel. In one embodiment, the expanding channel 134 may be shaped as a truncated cone (including shapes resembling a truncated cone). Whether a gas is provided toward the walls of the expanding channel 134 or directly downward towards the substrate, the velocity of the gas flow decreases as the gas flow travels through the expanding channel 134 due to the expansion of the gas. The reduction of the velocity of the gas flow helps reduce the likelihood the gas flow will blow off reactants absorbed on the surface of the substrate 90.
  • Not wishing to be bound by theory, it is believed that the diameter of the expanding channel 134, which is gradually increasing from the upper portion 137 to the lower portion 135 of the expanding channel, allows less of an adiabatic expansion of a gas through the expanding channel 134 which helps to control the temperature of the gas. For instance, a sudden adiabatic expansion of a gas delivered through the gas inlet 136A, 136B into the expanding channel 134 may result in a drop in the temperature of the gas which may cause condensation of the gas and formation of particles. On the other hand, a gradually expanding channel 134 according to embodiments of the present invention is believed to provide less of an adiabatic expansion of a gas. Therefore, more heat may be transferred to or from the gas, and, thus, the temperature of the gas may be more easily controlled by controlling the surrounding temperature of the gas (i.e., controlling the temperature of the chamber lid 132). The gradually expanding channel may contain one or more tapered inner surfaces, such as a tapered straight surface, a concave surface, a convex surface, or a combination thereof or may contain sections of one or more tapered inner surfaces (i.e., a portion tapered, such as bottom surface 160 and a portion non-tapered, such as choke 162).
  • In one embodiment, the gas inlets 136A, 136B are located adjacent the upper portion 137 of the expanding channel 134. In other embodiments, one or more gas inlets may be located along the length of the expanding channel 134 between the upper portion 137 and the lower portion 135.
  • In FIG. 2, a control unit 180, such as a programmed personal computer, work station computer, or the like, may be coupled to the chamber 80 to control processing conditions. For example, the control unit 180 may be configured to control flow of various process gases and purge gases from gas sources 138, 139, 140 through the valves 142A, 142B during different stages of a substrate process sequence. Illustratively, the control unit 180 comprises a central processing unit (CPU) 182, support circuitry 184, and memory 186 containing associated control software 183.
  • The control unit 180 may be one of any form of general purpose computer processor that can be used in an industrial setting for controlling various chambers and sub-processors. The CPU 182 may use any suitable memory 186, such as random access memory, read only memory, floppy disk drive, compact disc drive, hard disk, or any other form of digital storage, local or remote. Various support circuits may be coupled to the CPU 182 for supporting the chamber 80. The control unit 180 may be coupled to another controller that is located adjacent individual chamber components, such as the programmable logic controllers 148A, 148B of the valves 142A, 142B. Bi-directional communications between the control unit 180 and various other components of the chamber 80 are handled through numerous signal cables collectively referred to as signal buses 188, some of which are illustrated in FIG. 2. In addition to control of process gases and purge gases from gas sources 138, 139, 140 and from the programmable logic controllers 148A, 148B of the valves 142A, 142B, 152A, 152B the control unit 180 may be configured to be responsible for automated control of other activities used in wafer processing—such as wafer transport, temperature control, chamber evacuation, among other activities, some of which are described elsewhere herein.
  • Noble Metal Layer Formation
  • A method of noble metal layer formation for high aspect ratio interconnect features is described. The noble metal layer is deposited using a cyclical deposition process. The cyclical deposition process provides alternately adsorbing a noble metal-containing precursor and a reducing gas on a substrate structure. The noble metal-containing precursor and the reducing gas undergo a reaction to form the noble metal layer on the substrate. Suitable noble metals may include, for example, ruthenium, palladium, platinum, cobalt, nickel, or rhodium, preferably ruthenium. The ruthenium layer may have a thickness of less than about 500 Å, preferably, within a range from about 10 Å to about 100 Å, such as about 30 Å.
  • FIG. 3 illustrates a process sequence 100 detailing the various steps used for the deposition of the silicon layer. These steps may be performed in a process chamber similar to that described above with reference to FIGS. 1 and 2. As shown in step 102, a substrate is provided to the process chamber. The substrate may be for example, a silicon substrate having an interconnect pattern defined in one or more dielectric material layers formed thereon. The process chamber conditions such as, for example, the temperature and pressure are adjusted to enhance the adsorption of the process gases on the substrate so as to facilitate the reaction of the noble metal-containing precursor (e.g., ruthenium metallocene) and the reducing gas. In general, for noble metal layer deposition, the substrate should be maintained at a temperature of less than about 500° C., preferably, within a range from about 200° C. to about 400° C., such as about 350° C. The process chamber pressure is maintained within a range from about 0.1 Torr to about 80 Torr, preferably, from about 1 Torr to about 10 Torr. The noble metal-containing precursor may be provided having a flow rate within a range from about 0.01 sccm to about 20 sccm, preferably, from about 0.1 sccm to about 5 sccm, and more preferably, from about 0.1 sccm to about 1 sccm. The reducing gas may be provided having a flow rate within a range from about 1 sccm to about 100 sccm, preferably, from about 10 sccm to about 50 sccm.
  • In one embodiment where a constant carrier gas flow is desired, a carrier gas stream is established within the process chamber as indicated in step 104. Carrier gases may be selected so as to also act as a purge gas for the removal of volatile reactants and/or by-products from the process chamber. A carrier gas or a purge gas that may be used during processes described herein include helium (He), argon (Ar), nitrogen (N2), hydrogen (H2), or combinations thereof. The pulse of the purge gas lasts for a predetermined time interval, such as, within a range from about 0.01 seconds to about 10 seconds, preferably, from about 0.07 seconds to about 2 seconds, and more preferably, from about 0.1 seconds to about 1 second. The carrier gas and purge gases may be provided having a flow rate within a range from about 500 sccm to about 5,000 sccm, preferably, from about 500 sccm to about 2,500 sccm for 200 mm substrates and from about 1,000 sccm to about 5,000 sccm for 300 mm substrates.
  • Referring to step 106, after the carrier gas stream is established within the process chamber, a pulse of a noble metal-containing precursor is added to the carrier gas stream. The term pulse as used herein refers to a dose of material injected into the process chamber or into the carrier gas stream. The pulse of the noble metal-containing precursor lasts for a predetermined time interval, such as, within a range from about 0.01 seconds to about 10 seconds, preferably, from about 0.05 seconds to about 1.5 seconds, and more preferably, from about 0.1 seconds to about 1 second.
  • The noble metal-containing precursors may contain noble metals, such as ruthenium, palladium, platinum, cobalt, nickel, or rhodium. Suitable ruthenium-containing precursors include tris(2,2,6,6-tetramethyl-3,5-heptanedionato) ruthenium, bis(2,4-dimethylpentadienyl) ruthenium, dicarbonyl pentadienyl ruthenium, ruthenium acetyl acetonate, (2,4-dimethylpentadienyl) ruthenium (cyclopentadienyl), bis(2,2,6,6-tetramethyl-3,5-heptanedionato) ruthenium (1,5-cyclooctadiene), (2,4-dimethylpentadienyl)ruthenium(methylcyclopentadienyl), (1,5-cyclooctadiene)ruthenium(cyclopentadienyl), (1,5-cyclooctadiene)ruthenium(methylcyclopentadienyl), (1,5-cyclooctadiene)ruthenium(ethylcyclopentadienyl), (2,4-dimethylpentadienyl) ruthenium(ethylcyclopentadienyl), (2,4-dimethylpentadienyl)ruthenium(isopropylcyclopentadienyl), bis(N,N-dimethyl 1,3-tetramethyl diiminato)ruthenium(1,5-cyclooctadiene), bis(N,N-dimethyl 1,3-dimethyl diiminato)ruthenium(1,5-cyclooctadiene), bis(allyl)ruthenium(1,5-cyclooctadiene), (η6-C6H6) ruthenium (1,3-cyclohexadiene), bis(1,1-dimethyl-2-aminoethoxylato)ruthenium(1,5-cyclooctadiene), or bis(1,1-dimethyl-2-aminoethylaminato)ruthenium (1,5-cyclooctadiene). Suitable palladium-containing precursors include bis(allyl)palladium, bis(2-methylallyl)palladium, or (cyclopentadienyl)palladium(allyl). Suitable platinum-containing precursors include dimethyl platinum (cyclooctadiene), trimethyl platinum (cyclopentadienyl), trimethyl(methylcyclopentadienyl) platinum, cyclopentadienyl(allyl) platinum, methyl(carbonyl) platinum cyclopentadienyl, trimethyl platinum (acetylacetonato), and bis(acetylacetonato) platinum. Suitable cobalt-containing precursors include bis(cyclopentadienyl) cobalt, (cyclopentadienyl) cobalt (cyclohexadienyl), cyclopentadienyl(1,3-hexadienyl) cobalt, (cyclobutadienyl) cobalt (cyclopentadienyl), bis(methylcyclopentadienyl) cobalt, (cyclopentadienyl) cobalt (5-methylcyclopentadienyl), or bis(ethylene) cobalt (pentamethylcyclopentadienyl). A suitable nickel-containing precursor includes bis(methylcyclopentadienyl) nickel. Suitable rhodium-containing precursors include bis(carbonyl) rhodium (cyclopentadienyl), bis(propylene) rhodium, bis(carbonyl) rhodium (ethylcyclopentadienyl), or bis(carbonyl) rhodium (methylcyclopentadienyl).
  • The time interval for the pulse of the noble metal-containing precursor is variable depending upon a number of factors such as, for example, the volume capacity of the process chamber employed, the vacuum system coupled thereto and the volatility/reactivity of the reactants used. For example, (1) a large-volume process chamber may lead to a longer time to stabilize the process conditions such as, for example, carrier/purge gas flow and temperature, requiring a longer pulse time; (2) a lower flow rate for the process gas may also lead to a longer time to stabilize the process conditions requiring a longer pulse time; and (3) a lower chamber pressure means that the process gas is evacuated from the process chamber more quickly requiring a longer pulse time. In general, the process conditions are advantageously selected so that a pulse of the noble metal-containing precursor provides a sufficient amount of precursor so that at least a monolayer of the noble metal-containing precursor is adsorbed on the substrate. Thereafter, excess noble metal-containing precursor remaining in the chamber may be removed from the process chamber by the constant carrier gas stream in combination with the vacuum system.
  • In step 108, after the excess noble metal-containing precursor has been flushed from the process chamber by the carrier gas stream, a pulse of a reducing gas is added to the carrier gas stream. The pulse of the reducing gas also lasts for a predetermined time interval. In general, the time interval for the pulse of the reducing gas should be long enough for adsorption of at least a monolayer of the reducing gas on the noble metal-containing precursor. The pulse of reducing gas lasts for a predetermined time interval, such as, within a range from about 0.01 seconds to about 10 seconds, preferably, from about 0.1 seconds to about 2 seconds, and more preferably, from about 0.1 seconds to about 1 second. Thereafter, excess reducing gas is flushed from the process chamber by the carrier gas stream. Suitable reducing gases may include, for example, hydrogen (e.g., H2 or atomic-H), ammonia (NH3), silane (SiH4), disilane (Si2H6), trisilane (Si3H8), tetrasilane (Si4H10), dimethylsilane (SiC2H8), methyl silane (SiCH6), ethylsilane (SiC2H8), chlorosilane (ClSiH3), dichlorosilane (Cl2SiH2), hexachlorodisilane (Si2Cl6), borane, diborane, triborane, tetraborane, pentaborane, triethylborane, or combinations thereof.
  • In one embodiment, a deposition cycle for forming a noble metal layer is depicted by steps 104-108 in FIG. 3. A constant flow of carrier gas is provided to the process chamber modulated by alternating periods of pulsing and non-pulsing where the periods of pulsing alternate between the noble metal-containing precursor and the reducing gas along with the carrier gas stream, while the periods of non-pulsing include only the carrier gas stream.
  • The time interval for each of the pulses of the noble metal-containing precursor and the reducing gas may have the same duration. That is, the duration of the pulse of the noble metal-containing precursor may be identical to the duration of the pulse of the reducing gas. For such an embodiment, a time interval (T1) for the pulse of the noble metal-containing precursor is equal to a time interval (T2) for the pulse of the reducing gas.
  • Alternatively, the time interval for each of the pulses of the noble metal-containing precursor and the reducing gas may have different durations. That is, the duration of the pulse of the noble metal-containing precursor may be shorter or longer than the duration of the pulse of the reducing gas. For such an embodiment, a time interval (T1) for the pulse of the noble metal-containing precursor is different than the time interval (T2) for the pulse of the reducing gas.
  • In addition, the periods of non-pulsing between each of the pulses of the noble metal-containing precursor and the reducing gas may have the same duration. That is, the duration of the period of non-pulsing between each pulse of the noble metal-containing precursor and each pulse of the reducing gas is identical. For such an embodiment, a time interval (T3) of non-pulsing between the pulse of the noble metal-containing precursor and the pulse of the reducing gas is equal to a time interval (T4) of non-pulsing between the pulse of the reducing gas and the pulse of the noble metal-containing precursor. During the time periods of non-pulsing only the constant carrier gas stream is provided to the process chamber.
  • Alternatively, the periods of non-pulsing between each of the pulses of the noble metal-containing precursor and the reducing gas may have different duration. That is, the duration of the period of non-pulsing between each pulse of the noble metal-containing precursor and each pulse of the reducing gas may be shorter or longer than the duration of the period of non-pulsing between each pulse of the reducing gas and the noble metal-containing precursor. For such an embodiment, a time interval (T3) of non-pulsing between the pulse of the noble metal-containing precursor and the pulse of the reducing gas is different from a time interval (T4) of non-pulsing between the pulse of the reducing gas and the pulse of noble metal-containing precursor. During the time periods of non-pulsing only the constant carrier gas stream is provided to the process chamber.
  • Additionally, the time intervals for each pulse of the noble metal-containing precursor, the reducing gas and the periods of non-pulsing therebetween for each deposition cycle may have the same duration. For such an embodiment, a time interval (T1) for the noble metal-containing precursor, a time interval (T2) for the reducing gas, a time interval (T3) of non-pulsing between the pulse of the noble metal-containing precursor and the pulse of the reducing gas and a time interval (T4) of non-pulsing between the pulse of the reducing gas and the pulse of the noble metal-containing precursor each have the same value for each deposition cycle. For example, in a first deposition cycle (C1), a time interval (T1) for the pulse of the noble metal-containing precursor has the same duration as the time interval (T1) for the pulse of the noble metal-containing precursor in subsequent deposition cycles (C2 . . . Cn). Similarly, the duration of each pulse of the reducing gas and the periods of non-pulsing between the pulse of the noble metal-containing precursor and the reducing gas in the first deposition cycle (C1) is the same as the duration of each pulse of the reducing gas and the periods of non-pulsing between the pulse of the noble metal-containing precursor and the reducing gas in subsequent deposition cycles (C2 . . . Cn), respectively.
  • Alternatively, the time intervals for at least one pulse of the noble metal-containing precursor, the reducing gas and the periods of non-pulsing therebetween for one or more of the deposition cycles of the noble metal layer deposition process may have different durations. For such an embodiment, one or more of the time intervals (T1) for the pulses of the noble metal-containing precursor, the time intervals (T2) for the pulses of the reducing gas, the time intervals (T3) of non-pulsing between the pulse of the noble metal-containing precursor and the reducing gas and the time intervals (T4) of non-pulsing between the pulses of the reducing gas and the noble metal-containing precursor may have different values for one or more deposition cycles of the cyclical deposition process. For example, in a first deposition cycle (C1), the time interval (T1) for the pulse of the noble metal-containing precursor may be longer or shorter than one or more time interval (T1) for the pulse of the noble metal-containing precursor in subsequent deposition cycles (C2 . . . Cn). Similarly, the durations of the pulses of the reducing gas and the periods of non-pulsing between the pulse of the noble metal-containing precursor and the reducing gas in the first deposition cycle (C1) may be the same or different than the duration of each pulse of the reducing gas and the periods of non-pulsing between the pulse of the noble metal-containing precursor and the reducing gas in subsequent deposition cycles (C2 . . . Cn).
  • Referring to step 110, after each deposition cycle (steps 104 through 108) a thickness of the noble metal will be formed on the substrate. Depending on specific device requirements, subsequent deposition cycles may be needed to achieve a desired thickness. As such, steps 104 through 108 are repeated until the desired thickness for the noble metal layer is achieved. Thereafter, when the desired thickness for the noble metal layer is achieved the process is stopped as indicated by step 112.
  • In an alternate process sequence described with respect to FIG. 4, the noble metal layer deposition cycle comprises separate pulses for each of the noble metal-containing precursor, the reducing gas and a purge gas. For such an embodiment, the noble metal layer deposition sequence 200 includes providing a substrate to the process chamber (step 202), providing a first pulse of a purge gas to the process chamber (step 204), providing a pulse of a noble metal-containing precursor to the process chamber (step 206), providing a second pulse of the purge gas to the process chamber (step 208), providing a pulse of a reducing gas to the process chamber (step 210), and then repeating steps 204 through 210, or stopping the deposition process (step 214) depending on whether a desired thickness for the noble metal layer has been achieved (step 212).
  • The time intervals for each of the pulses of the noble metal-containing precursor, the reducing gas and the purge gas may have the same or different durations as discussed above with respect to FIG. 3. Alternatively, corresponding time intervals for one or more pulses of the noble metal-containing precursor, the reducing gas and the purge gas in one or more of the deposition cycles of the noble metal layer deposition process may have different durations.
  • In FIGS. 3-4, the noble metal layer deposition cycle is depicted as beginning with a pulse of the noble metal-containing precursor followed by a pulse of the reducing gas. Alternatively, the noble metal layer deposition cycle may start with a pulse of the reducing gas followed by a pulse of the noble metal-containing precursor.
  • In one example, a method for depositing a ruthenium layer by an ALD process includes positioning a substrate (e.g., 300 mm diameter) into the process chamber 80 of FIG. 2. The method includes providing pulses of a ruthenium-containing compound, such as bis(2,4-dimethylpentadienyl) ruthenium, from gas source 138 through valve 142A, having a flow rate within a large from about 0.01 sccm to about 5 sccm, preferably, from about 0.1 sccm to about 1 sccm. A pulse time of about 1.5 seconds or less, such as about 0.1 seconds or less, and as low as about 0.05 seconds or less may be used for the ruthenium-containing compound due to the smaller volume of the reaction zone 164 (as compared to chamber 8 of FIG. 1). The process further includes providing pulses of a reducing gas, such as diborane (B2H6), from gas source 139 through valve 142B, having a flow rate within a range from about 1 sccm to about 80 sccm, preferably, from about 10 sccm to about 50 sccm. A pulse time of about 2 seconds or less, about 1 second or less, or about 0.1 seconds or less may be used for the reducing gas due to a smaller volume of the reaction zone 164. An argon purge gas having a flow rate within a range from about 500 sccm to about 5,000 sccm, preferably, from about 1,500 sccm to about 3,500 sccm, may be continuously provided from gas source 140 through valves 142A, 142B. The time between pulses of bis(2,4-dimethylpentadienyl) ruthenium and B2H6 may be about 0.5 seconds or less, such as about 0.1 seconds or less, and as low as about 0.07 seconds or less due to the smaller volume of the reaction zone 164. It is believed to fill a reaction zone with a reactant gas and/or purge gas, pulse times as low as about 0.016 seconds are sufficient, with correspondingly shorter pulse times for a reaction zone 164 sized for smaller wafers (e.g., 200 mm). The substrate may be heated to a temperature within a range from about 200° C. to about 400° C., preferably, about 350° C., and the chamber may be pressurized at a pressure within a range from about 1.0 to about 10 Torr, preferably, about 4 Torr. A process provides a ruthenium layer having a thickness within a range from about 0.5 Å to about 1.0 Å per cycle. The alternating sequence of the cycle may be repeated to obtain a desired thickness of the ruthenium layer.
  • In one embodiment, the ruthenium layer is deposited on a sidewall with a thickness coverage of about 50 Å or less. In another embodiment, the ruthenium layer is deposited on a sidewall with a thickness coverage of about 20 Å or less. In still another embodiment, the ruthenium layer is deposited on a sidewall with a thickness coverage of about 10 Å or less. A ruthenium layer having a thickness of about 10 Å or less is believed to be a sufficient thickness as an underlayer to adhere copper deposition (i.e., seed layer) and to prevent copper diffusion (i.e., barrier layer). In one aspect, a thin ruthenium underlayer may be advantageously used prior to filling sub-micron (e.g., less than 0.15 μm) and smaller features having high aspect ratios (e.g., greater than 5 to 1). Of course, a layer may also be used that has a sidewall with a thickness coverage of greater than 50 Å. In one embodiment, a ruthenium material is deposited as a seed layer. In another embodiment, a ruthenium material is deposited as a barrier layer.
  • Formation of Copper Interconnects
  • FIGS. 5A-5C illustrate cross-sectional views of different stages of a copper interconnect being fabricated by sequences that incorporate a noble metal layer formed during a process as described herein. FIG. 5A, for example, illustrates a cross-sectional view of a substrate 300 having metal contacts 304 and a dielectric layer 302 formed thereon. The substrate 300 may contain a semiconductor material such as, for example, silicon, germanium, or gallium arsenide. The dielectric layer 302 may contain an insulating material such as, for example, silicon oxide or silicon nitride. The metal contacts 304 may contain for example, copper. Apertures 304H may be defined in the dielectric layer 302 to provide openings over the metal contacts 304. The apertures 304H may be defined in the dielectric layer 302 using conventional lithography and etching techniques.
  • A barrier layer 306 may be formed in the apertures 304H defined in the dielectric layer 302. The barrier layer 306 may include one or more refractory metal-containing layers such as, for example, titanium, titanium nitride, tantalum, tantalum nitride, tungsten, or tungsten nitride. The barrier layer 306 may be formed using a suitable deposition process. For example, titanium nitride may be deposited using a chemical vapor deposition (CVD) process or ALD process wherein titanium tetrachloride and ammonia are reacted.
  • Referring to FIG. 5B, a noble metal layer 308 (e.g., ruthenium) is formed on the barrier layer 306. The noble metal layer is formed using the cyclical deposition techniques described above with reference to FIGS. 3-4. The thickness for the noble metal layer is variable depending on the device structure to be fabricated. Typically, the thickness of the noble metal layer is less than about 100 Å, preferably, within a range from about 10 Å to about 60 Å. In one embodiment, a ruthenium layer has a thickness of about 30 Å.
  • Thereafter, referring to FIG. 5C, the apertures 304H may be filled with copper 310 to complete the copper interconnect. The copper 310 may be formed using one or more suitable deposition processes. In one embodiment, for example, a copper seed layer may be formed on the ruthenium layer by using a CVD process followed by deposition of bulk copper to fill the interconnects using an electrochemical plating (ECP) process. In another embodiment, a copper seed layer is deposited to the ruthenium layer via physical vapor deposition (PVD), thereafter an electroless copper plating is utilized to deposit a copper bulk fill. In another embodiment, the ruthenium layer serves as a seed layer to which a copper bulk fill is directly deposited with ECP or electroless copper plating.
  • Several integration sequence are conducted in order to form a ruthenium layer within the interconnect. In one embodiment, the subsequent steps follow: a) pre-clean of the substrate; b) deposition of a barrier layer (e.g., ALD of TaN); c) deposition of ruthenium by ALD; and d) deposition of copper by ECP or Cu-PVD followed by ECP. In another embodiment, the subsequent steps follow: a) deposition of a barrier layer (e.g., ALD of TaN); b) punch-through step; c) deposition of ruthenium by ALD; and d) deposition of copper by ECP or Cu-PVD followed by ECP. In another embodiment, the subsequent steps follow: a) deposition of ruthenium by ALD; b) punch-through step; c) deposition of ruthenium by ALD; and d) deposition of copper by ECP or Cu-PVD followed by ECP or Cu-PVD. In another embodiment, the subsequent steps follow: a) deposition of ruthenium by ALD; b) punch-through step; c) deposition of ruthenium by ALD; and d) deposition of copper by ECP. In another embodiment, the subsequent steps follow: a) pre-clean of the substrate; b) deposition of ruthenium by ALD; and c) deposition of copper by ECP or Cu-PVD followed by ECP.
  • The pre-clean steps include methods to clean or purify the via, such as the removal of residue at the bottom of the via (e.g., carbon) or reduction of copper oxide to copper metal. Punch-through steps include a sputtering method to remove material (e.g., barrier layer) with a plasma from the bottom of the via to expose conductive layer, such as copper. Further disclosure of punch-through steps are described in more detail in the commonly assigned, U.S. Pat. No. 6,498,091, and is herein incorporated by reference. The punch-through steps may be conducted in a process chamber, such as either a barrier chamber or a clean chamber. In embodiments of the invention, clean steps and punch-through steps are applied to ruthenium barrier layers. Further disclosure of overall integrated methods are described in more detail in the commonly assigned, U.S. Ser. No. 60/478,663, entitled “Integration of ALD Tantalum Nitride for Copper Metallization”, filed Jun. 13, 2003, and is herein incorporated by reference.
  • While foregoing is directed to the preferred embodiment of the invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (36)

1. A method for forming a material on a substrate, comprising:
positioning a substrate containing a dielectric material within a process chamber, wherein vias are contained within the dielectric material and each via has a bottom surface and sidewalls;
forming a barrier layer within the vias and on the dielectric material during a barrier layer deposition process;
forming a ruthenium layer on the barrier layer during a ruthenium deposition process;
exposing a contact layer within the vias by removing material from the bottom surface during a punch-through step; and
filling the vias with a copper material during a copper deposition process.
2. The method of claim 1, wherein the barrier layer contains at least one material selected from the group consisting of titanium, titanium nitride, tantalum, tantalum nitride, tungsten, tungsten nitride, a derivative thereof, and a combination thereof.
3. The method of claim 2, wherein the barrier layer contains a tantalum layer and a tantalum nitride layer.
4. The method of claim 1, wherein the copper material contains a copper seed layer and a copper bulk layer.
5. The method of claim 4, wherein the copper seed layer is deposited by an electroless chemical plating process and the copper bulk layer is deposited by an electrochemical plating process.
6. The method of claim 4, wherein the copper seed layer and the copper bulk layer are deposited by electroless chemical plating processes.
7. The method of claim 4, wherein the copper seed layer is deposited by a chemical vapor deposition process and the copper bulk layer is deposited by an electrochemical plating process.
8. The method of claim 4, wherein the copper seed layer is deposited by a physical vapor deposition process and the copper bulk layer is deposited by an electrochemical plating process.
9. The method of claim 1, wherein the ruthenium layer is deposited by exposing the substrate to a ruthenium precursor during an atomic layer deposition process.
10. The method of claim 9, wherein the ruthenium precursor contains a 2,4-dimethylpentadienyl ligand.
11. The method of claim 9, wherein the ruthenium precursor is selected from the group consisting of bis(2,4-dimethylpentadienyl) ruthenium, (2,4-dimethylpentadienyl) ruthenium (cyclopentadienyl), (2,4-dimethylpentadienyl) ruthenium (methylcyclopentadienyl), (2,4-dimethylpentadienyl) ruthenium (ethylcyclopentadienyl), (2,4-dimethylpentadienyl) ruthenium (isopropylcyclopentadienyl), derivatives thereof, and combinations thereof.
12. The method of claim 1, wherein the ruthenium layer is deposited by sequentially exposing the substrate to a ruthenium precursor and a reagent during an atomic layer deposition process.
13. The method of claim 12, wherein the ruthenium precursor is selected from the group consisting of bis(2,4-dimethylpentadienyl)ruthenium, (2,4-dimethylpentadienyl)ruthenium(cyclopentadienyl), (2,4-dimethylpentadienyl)ruthenium(methylcyclopentadienyl), (2,4-dimethylpentadienyl)ruthenium(ethylcyclopentadienyl), (2,4-dimethylpentadienyl) ruthenium (isopropylcyclopentadienyl), derivatives thereof, and combinations thereof.
14. The method of claim 13, wherein the reagent is selected from the group consisting of hydrogen, atomic hydrogen, ammonia, derivatives thereof, and combinations thereof.
15. The method of claim 12, wherein the ruthenium-containing compound is selected from the group consisting of tris(2,2,6,6-tetramethyl-3,5-heptanedionato) ruthenium, bis(2,4-dimethylpentadienyl)ruthenium, dicarbonyl pentadienyl ruthenium, ruthenium acetyl acetonate, (2,4-dimethylpentadienyl) ruthenium(cyclopentadienyl), bis(2,2,6,6-tetramethyl-3,5-heptanedionato)ruthenium(1,5-cyclooctadiene), (2,4-dimethylpentadienyl)ruthenium(methylcyclopentadienyl), (1,5-cyclooctadiene)ruthenium(cyclopentadienyl), (1,5-cyclooctadiene)ruthenium(methylcyclopentadienyl), (1,5-cyclooctadiene)ruthenium(ethylcyclopentadienyl), (2,4-dimethylpentadienyl)ruthenium(ethylcyclopentadienyl), (2,4-dimethylpentadienyl)ruthenium(isopropylcyclopentadienyl), bis(N,N-dimethyl 1,3-tetramethyl diiminato)ruthenium(1,5-cyclooctadiene), bis(N,N-dimethyl 1,3-dimethyl diiminato)ruthenium(1,5-cyclooctadiene), bis(allyl)ruthenium(1,5-cyclooctadiene), ((6-C6H6)ruthenium(1,3-cyclohexadiene), bis(1,1-dimethyl-2-aminoethoxylato)ruthenium(1,5-cyclooctadiene), bis(1,1-dimethyl-2-aminoethylaminato)ruthenium(1,5-cyclooctadiene), derivatives thereof, and combinations thereof.
16. The method of claim 15, wherein the reagent is selected from the group consisting of hydrogen, atomic hydrogen, ammonia, nitrogen, silane, disilane, dimethylsilane, methylsilane, borane, diborane, triethylborane, derivatives thereof, and combinations thereof.
17. The method of claim 12, wherein the ruthenium layer has a thickness within a range from about 10 Å to about 60 Å.
18. The method of claim 9, wherein the ruthenium layer has a thickness of about 30 Å or less.
19. A method for forming a material on a substrate, comprising:
positioning a substrate containing a dielectric material within a process chamber, wherein vias are contained within the dielectric material and each via has a bottom surface and sidewalls;
forming a barrier layer within the vias and on the dielectric material during a barrier layer deposition process;
exposing a contact layer within the vias by removing material from the bottom surface during a punch-through step;
forming a ruthenium layer on the barrier layer and the contact layer during a ruthenium deposition process; and
filling the vias with a copper material during a copper deposition process.
20. The method of claim 19, wherein the barrier layer contains at least one material selected from the group consisting of titanium, titanium nitride, tantalum, tantalum nitride, tungsten, tungsten nitride, a derivative thereof, and a combination thereof.
21. The method of claim 20, wherein the barrier layer contains a tantalum layer and a tantalum nitride layer.
22. The method of claim 19, wherein the copper material contains a copper seed layer and a copper bulk layer.
23. The method of claim 22, wherein the copper seed layer is deposited by an electroless chemical plating process and the copper bulk layer is deposited by an electrochemical plating process.
24. The method of claim 22, wherein the copper seed layer and the copper bulk layer are deposited by electroless chemical plating processes.
25. The method of claim 22, wherein the copper seed layer is deposited by a chemical vapor deposition process and the copper bulk layer is deposited by an electrochemical plating process.
26. The method of claim 22, wherein the copper seed layer is deposited by a physical vapor deposition process and the copper bulk layer is deposited by an electrochemical plating process.
27. The method of claim 19, wherein the ruthenium layer is deposited by exposing the substrate to a ruthenium precursor during an atomic layer deposition process.
28. The method of claim 27, wherein the ruthenium precursor contains a 2,4-dimethylpentadienyl ligand.
29. The method of claim 27, wherein the ruthenium precursor is selected from the group consisting of bis(2,4-dimethylpentadienyl)ruthenium, (2,4-dimethylpentadienyl)ruthenium(cyclopentadienyl), (2,4-dimethylpentadienyl)ruthenium(methylcyclopentadienyl), (2,4-dimethylpentadienyl)ruthenium(ethylcyclopentadienyl), (2,4-dimethyl pentadienyl)ruthenium(isopropylcyclopentadienyl), derivatives thereof, and combinations thereof.
30. The method of claim 19, wherein the ruthenium layer is deposited by sequentially exposing the substrate to a ruthenium precursor and a reagent during an atomic layer deposition process.
31. The method of claim 30, wherein the ruthenium precursor is selected from the group consisting of bis(2,4-dimethylpentadienyl) ruthenium, (2,4 -dimethylpentadienyl)ruthenium(cyclopentadienyl), (2,4-dimethylpentadienyl)ruthenium(methylcyclopentadienyl), (2,4-dimethylpentadienyl)ruthenium(ethylcyclopentadienyl), (2,4-dimethylpentadienyl) ruthenium(isopropylcyclopentadienyl), derivatives thereof, and combinations thereof.
32. The method of claim 31, wherein the reagent is selected from the group consisting of hydrogen, atomic hydrogen, ammonia, derivatives thereof, and combinations thereof.
33. The method of claim 19, wherein the ruthenium layer has a thickness within a range from about 10 Å to about 60 Å.
34. The method of claim 27, wherein the ruthenium layer has a thickness of about 30 Å or less.
35. A method for forming a material on a substrate, comprising:
positioning a substrate containing a dielectric material having vias formed therein within a process chamber;
forming a barrier layer within the vias and on the dielectric material during a barrier deposition process;
forming a ruthenium layer on the barrier layer during an atomic layer deposition process; and
filling the vias with a copper material during an electroless chemical plating process.
36. A method for forming a material on a substrate, comprising:
exposing a substrate to a pre-clean process, wherein the substrate contains a dielectric layer having vias formed therein;
forming a barrier layer on the dielectric layer and within the vias during a barrier layer deposition process;
forming a ruthenium layer on the barrier layer during an atomic layer deposition process or a physical vapor deposition process; and
filling the vias with a copper material during an electroless chemical plating process or an electrochemical plating process.
US11/336,527 2002-06-04 2006-01-20 Ruthenium layer formation for copper film deposition Abandoned US20060153973A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/336,527 US20060153973A1 (en) 2002-06-04 2006-01-20 Ruthenium layer formation for copper film deposition

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US38549902P 2002-06-04 2002-06-04
US10/443,648 US7404985B2 (en) 2002-06-04 2003-05-22 Noble metal layer formation for copper film deposition
US10/634,662 US7264846B2 (en) 2002-06-04 2003-08-04 Ruthenium layer formation for copper film deposition
US11/336,527 US20060153973A1 (en) 2002-06-04 2006-01-20 Ruthenium layer formation for copper film deposition

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/634,662 Continuation US7264846B2 (en) 2002-06-04 2003-08-04 Ruthenium layer formation for copper film deposition

Publications (1)

Publication Number Publication Date
US20060153973A1 true US20060153973A1 (en) 2006-07-13

Family

ID=34216303

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/634,662 Expired - Fee Related US7264846B2 (en) 2002-06-04 2003-08-04 Ruthenium layer formation for copper film deposition
US11/336,527 Abandoned US20060153973A1 (en) 2002-06-04 2006-01-20 Ruthenium layer formation for copper film deposition

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/634,662 Expired - Fee Related US7264846B2 (en) 2002-06-04 2003-08-04 Ruthenium layer formation for copper film deposition

Country Status (3)

Country Link
US (2) US7264846B2 (en)
TW (1) TWI376014B (en)
WO (1) WO2005020317A2 (en)

Cited By (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030193270A1 (en) * 2002-04-11 2003-10-16 Samsung Electro-Mechanics Co., Ltd. Piezoelectric transformer device and housing for piezoelectric transformer and method of manufacturing them
US20070054487A1 (en) * 2005-09-06 2007-03-08 Applied Materials, Inc. Atomic layer deposition processes for ruthenium materials
US20070077750A1 (en) * 2005-09-06 2007-04-05 Paul Ma Atomic layer deposition processes for ruthenium materials
US20070298608A1 (en) * 2002-10-31 2007-12-27 Johnston Steven W Forming a copper diffusion barrier
US20090087982A1 (en) * 2007-09-28 2009-04-02 Applied Materials, Inc. Selective ruthenium deposition on copper materials
US20090087983A1 (en) * 2007-09-28 2009-04-02 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
US20090142474A1 (en) * 2004-12-10 2009-06-04 Srinivas Gandikota Ruthenium as an underlayer for tungsten film deposition
US20090269507A1 (en) * 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US7658970B2 (en) 2002-06-04 2010-02-09 Mei Chang Noble metal layer formation for copper film deposition
US7674715B2 (en) 2000-06-28 2010-03-09 Applied Materials, Inc. Method for forming tungsten materials during vapor deposition processes
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7682946B2 (en) 2005-11-04 2010-03-23 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7709385B2 (en) 2000-06-28 2010-05-04 Applied Materials, Inc. Method for depositing tungsten-containing layers by vapor deposition techniques
US7745333B2 (en) 2000-06-28 2010-06-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7780788B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7794544B2 (en) 2004-05-12 2010-09-14 Applied Materials, Inc. Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US7838441B2 (en) 2006-10-09 2010-11-23 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US7867896B2 (en) 2002-03-04 2011-01-11 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7892602B2 (en) 2001-12-07 2011-02-22 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US8187970B2 (en) 2001-07-25 2012-05-29 Applied Materials, Inc. Process for forming cobalt and cobalt silicide materials in tungsten contact applications
CN102881677A (en) * 2012-09-24 2013-01-16 复旦大学 Alloy copper diffusion barrier layer for copper interconnection and manufacturing method thereof
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
CN103325729A (en) * 2013-06-16 2013-09-25 复旦大学 Copper interconnection structure manufacturing method
WO2014074209A1 (en) * 2012-11-09 2014-05-15 Applied Materials, Inc. Method to deposit cvd ruthenium
US20140151097A1 (en) * 2010-07-19 2014-06-05 International Business Machines Corporation Method and Structure to Improve the Conductivity of Narrow Copper Filled Vias
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US9418890B2 (en) 2008-09-08 2016-08-16 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
WO2021041593A1 (en) * 2019-08-30 2021-03-04 Applied Materials, Inc. Selective cobalt deposition on copper surfaces

Families Citing this family (116)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW460942B (en) * 1999-08-31 2001-10-21 Mitsubishi Material Silicon CVD device, purging method, method for determining maintenance time for a semiconductor making device, moisture content monitoring device, and semiconductor making device with such moisture content monitoring device
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US9255329B2 (en) * 2000-12-06 2016-02-09 Novellus Systems, Inc. Modulated ion-induced atomic layer deposition (MII-ALD)
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7264846B2 (en) 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7910165B2 (en) * 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US20050085031A1 (en) * 2003-10-15 2005-04-21 Applied Materials, Inc. Heterogeneous activation layers formed by ionic and electroless reactions used for IC interconnect capping layers
US7169706B2 (en) * 2003-10-16 2007-01-30 Advanced Micro Devices, Inc. Method of using an adhesion precursor layer for chemical vapor deposition (CVD) copper deposition
US20050095830A1 (en) * 2003-10-17 2005-05-05 Applied Materials, Inc. Selective self-initiating electroless capping of copper with cobalt-containing alloys
US7205233B2 (en) * 2003-11-07 2007-04-17 Applied Materials, Inc. Method for forming CoWRe alloys by electroless deposition
US20050161338A1 (en) * 2004-01-26 2005-07-28 Applied Materials, Inc. Electroless cobalt alloy deposition process
US20050170650A1 (en) * 2004-01-26 2005-08-04 Hongbin Fang Electroless palladium nitrate activation prior to cobalt-alloy deposition
JP2005314713A (en) * 2004-04-27 2005-11-10 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Method for manufacturing ruthenium film or ruthenium oxide film
JP2006089790A (en) * 2004-09-22 2006-04-06 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Method for producing film of noble metal, oxide film of noble metal, and silicide film of noble metal
US20060068098A1 (en) * 2004-09-27 2006-03-30 Tokyo Electron Limited Deposition of ruthenium metal layers in a thermal chemical vapor deposition process
US7279421B2 (en) 2004-11-23 2007-10-09 Tokyo Electron Limited Method and deposition system for increasing deposition rates of metal layers from metal-carbonyl precursors
US7270848B2 (en) 2004-11-23 2007-09-18 Tokyo Electron Limited Method for increasing deposition rates of metal layers from metal-carbonyl precursors
US7442267B1 (en) * 2004-11-29 2008-10-28 Novellus Systems, Inc. Anneal of ruthenium seed layer to improve copper plating
KR101463581B1 (en) 2005-01-18 2014-11-20 에이에스엠 아메리카, 인코포레이티드 Reaction system for growing a thin film
US7265048B2 (en) * 2005-03-01 2007-09-04 Applied Materials, Inc. Reduction of copper dewetting by transition metal deposition
US8025922B2 (en) * 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7666773B2 (en) * 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US7273814B2 (en) 2005-03-16 2007-09-25 Tokyo Electron Limited Method for forming a ruthenium metal layer on a patterned substrate
US20060246699A1 (en) * 2005-03-18 2006-11-02 Weidman Timothy W Process for electroless copper deposition on a ruthenium seed
US7651934B2 (en) 2005-03-18 2010-01-26 Applied Materials, Inc. Process for electroless copper deposition
US20060251801A1 (en) * 2005-03-18 2006-11-09 Weidman Timothy W In-situ silicidation metallization process
US7659203B2 (en) * 2005-03-18 2010-02-09 Applied Materials, Inc. Electroless deposition process on a silicon contact
US8197898B2 (en) * 2005-03-29 2012-06-12 Tokyo Electron Limited Method and system for depositing a layer from light-induced vaporization of a solid precursor
US7351285B2 (en) 2005-03-29 2008-04-01 Tokyo Electron Limited Method and system for forming a variable thickness seed layer
US7485338B2 (en) 2005-03-31 2009-02-03 Tokyo Electron Limited Method for precursor delivery
US7396766B2 (en) * 2005-03-31 2008-07-08 Tokyo Electron Limited Low-temperature chemical vapor deposition of low-resistivity ruthenium layers
US7345184B2 (en) 2005-03-31 2008-03-18 Tokyo Electron Limited Method and system for refurbishing a metal carbonyl precursor
US7288479B2 (en) * 2005-03-31 2007-10-30 Tokyo Electron Limited Method for forming a barrier/seed layer for copper metallization
US7632351B2 (en) * 2005-08-08 2009-12-15 E. I. Du Pont De Nemours And Company Atomic layer deposition processes for the formation of ruthenium films, and ruthenium precursors useful in such processes
WO2007035880A2 (en) * 2005-09-21 2007-03-29 Applied Materials, Inc. Method and apparatus for forming device features in an integrated electroless deposition system
US7459395B2 (en) 2005-09-28 2008-12-02 Tokyo Electron Limited Method for purifying a metal carbonyl precursor
US7713876B2 (en) * 2005-09-28 2010-05-11 Tokyo Electron Limited Method for integrating a ruthenium layer with bulk copper in copper metallization
US20070207611A1 (en) * 2006-03-03 2007-09-06 Lavoie Adrien R Noble metal precursors for copper barrier and seed layer
US8222746B2 (en) * 2006-03-03 2012-07-17 Intel Corporation Noble metal barrier layers
US7297719B2 (en) * 2006-03-29 2007-11-20 Tokyo Electron Limited Method and integrated system for purifying and delivering a metal carbonyl precursor
US7892358B2 (en) * 2006-03-29 2011-02-22 Tokyo Electron Limited System for introducing a precursor gas to a vapor deposition system
US20070231489A1 (en) * 2006-03-29 2007-10-04 Tokyo Electron Limited Method for introducing a precursor gas to a vapor deposition system
US7432195B2 (en) * 2006-03-29 2008-10-07 Tokyo Electron Limited Method for integrating a conformal ruthenium layer into copper metallization of high aspect ratio features
US7858522B2 (en) * 2006-03-29 2010-12-28 Tokyo Electron Limited Method for reducing carbon monoxide poisoning in a thin film deposition system
US20070234956A1 (en) * 2006-04-05 2007-10-11 Dalton Jeremie J Method and apparatus for providing uniform gas delivery to a reactor
US7833358B2 (en) * 2006-04-07 2010-11-16 Applied Materials, Inc. Method of recovering valuable material from exhaust gas stream of a reaction chamber
TWI395335B (en) * 2006-06-30 2013-05-01 Applied Materials Inc Nanocrystal formation
EP1887102B1 (en) * 2006-08-08 2020-04-08 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Precursors having open ligands for ruthenium containing films deposition
US8278216B1 (en) 2006-08-18 2012-10-02 Novellus Systems, Inc. Selective capping of copper
US7871678B1 (en) 2006-09-12 2011-01-18 Novellus Systems, Inc. Method of increasing the reactivity of a precursor in a cyclic deposition process
US8053372B1 (en) 2006-09-12 2011-11-08 Novellus Systems, Inc. Method of reducing plasma stabilization time in a cyclic deposition process
US7473634B2 (en) * 2006-09-28 2009-01-06 Tokyo Electron Limited Method for integrated substrate processing in copper metallization
US20080081464A1 (en) * 2006-09-29 2008-04-03 Tokyo Electron Limited Method of integrated substrated processing using a hot filament hydrogen radical souce
US7605078B2 (en) * 2006-09-29 2009-10-20 Tokyo Electron Limited Integration of a variable thickness copper seed layer in copper metallization
WO2008042691A2 (en) * 2006-09-29 2008-04-10 Tokyo Electron Limited Processing system containing a hot filament hydrogen radical source for integrated substrate processing
US20080078325A1 (en) * 2006-09-29 2008-04-03 Tokyo Electron Limited Processing system containing a hot filament hydrogen radical source for integrated substrate processing
KR100821082B1 (en) * 2006-12-15 2008-04-08 동부일렉트로닉스 주식회사 The fabricating method of semiconductor device
CN101617065B (en) 2007-02-21 2011-11-23 乔治洛德方法研究和开发液化空气有限公司 Methods for forming a ruthenium-based film on a substrate
US20080237860A1 (en) * 2007-03-27 2008-10-02 Tokyo Electron Limited Interconnect structures containing a ruthenium barrier film and method of forming
US7704858B2 (en) * 2007-03-29 2010-04-27 Intel Corporation Methods of forming nickel silicide layers with low carbon content
US20080242088A1 (en) * 2007-03-29 2008-10-02 Tokyo Electron Limited Method of forming low resistivity copper film structures
KR20100017171A (en) * 2007-05-21 2010-02-16 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 New cobalt precursors for semiconductor applications
JP5461390B2 (en) 2007-05-21 2014-04-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード New metal precursors for semiconductor applications
US7994640B1 (en) 2007-07-02 2011-08-09 Novellus Systems, Inc. Nanoparticle cap layer
US8039379B1 (en) 2007-07-02 2011-10-18 Novellus Systems, Inc. Nanoparticle cap layer
US7829454B2 (en) * 2007-09-11 2010-11-09 Tokyo Electron Limited Method for integrating selective ruthenium deposition into manufacturing of a semiconductior device
US7704879B2 (en) * 2007-09-27 2010-04-27 Tokyo Electron Limited Method of forming low-resistivity recessed features in copper metallization
US7884012B2 (en) * 2007-09-28 2011-02-08 Tokyo Electron Limited Void-free copper filling of recessed features for semiconductor devices
US7655564B2 (en) * 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
KR20090067505A (en) * 2007-12-21 2009-06-25 에이에스엠지니텍코리아 주식회사 Method of depositing ruthenium film
US7776740B2 (en) * 2008-01-22 2010-08-17 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
US7799674B2 (en) * 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
US8247030B2 (en) * 2008-03-07 2012-08-21 Tokyo Electron Limited Void-free copper filling of recessed features using a smooth non-agglomerated copper seed layer
US7808106B1 (en) * 2008-05-09 2010-10-05 Eric Eisenbraun Nano-laminate difussion barrier for direct electrochemical deposition copper
US7799681B2 (en) * 2008-07-15 2010-09-21 Tokyo Electron Limited Method for forming a ruthenium metal cap layer
US7871929B2 (en) * 2008-07-30 2011-01-18 Tel Epion Inc. Method of forming semiconductor devices containing metal cap layers
US7776743B2 (en) * 2008-07-30 2010-08-17 Tel Epion Inc. Method of forming semiconductor devices containing metal cap layers
US8084104B2 (en) 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US20100081274A1 (en) * 2008-09-29 2010-04-01 Tokyo Electron Limited Method for forming ruthenium metal cap layers
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US7977235B2 (en) * 2009-02-02 2011-07-12 Tokyo Electron Limited Method for manufacturing a semiconductor device with metal-containing cap layers
US8716132B2 (en) * 2009-02-13 2014-05-06 Tokyo Electron Limited Radiation-assisted selective deposition of metal-containing cap layers
US8329569B2 (en) * 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
EP2339048B1 (en) 2009-09-14 2016-12-07 Rohm and Haas Electronic Materials, L.L.C. Method for depositing organometallic compounds
US8859047B2 (en) 2010-02-23 2014-10-14 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Use of ruthenium tetroxide as a precursor and reactant for thin film depositions
US9926639B2 (en) * 2010-07-16 2018-03-27 Applied Materials, Inc. Methods for forming barrier/seed layers for copper interconnect structures
US20120141667A1 (en) * 2010-07-16 2012-06-07 Applied Materials, Inc. Methods for forming barrier/seed layers for copper interconnect structures
CN103189964A (en) 2010-11-04 2013-07-03 诺发系统公司 Ion-induced atomic layer deposition of tantalum
US9048296B2 (en) 2011-02-11 2015-06-02 International Business Machines Corporation Method to fabricate copper wiring structures and structures formed thereby
US8524600B2 (en) 2011-03-31 2013-09-03 Applied Materials, Inc. Post deposition treatments for CVD cobalt films
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
WO2013024146A1 (en) 2011-08-18 2013-02-21 Momentive Performance Materials Gmbh Irradiating and molding unit
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US9005704B2 (en) * 2013-03-06 2015-04-14 Applied Materials, Inc. Methods for depositing films comprising cobalt and cobalt nitrides
CN103325769A (en) * 2013-06-15 2013-09-25 复旦大学 Copper interconnection structure and manufacturing method thereof
US9899234B2 (en) 2014-06-30 2018-02-20 Lam Research Corporation Liner and barrier applications for subtractive metal integration
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US10014255B2 (en) 2016-03-14 2018-07-03 International Business Machines Corporation Contacts having a geometry to reduce resistance
US10731250B2 (en) 2017-06-06 2020-08-04 Lam Research Corporation Depositing ruthenium layers in interconnect metallization
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10790188B2 (en) * 2017-10-14 2020-09-29 Applied Materials, Inc. Seamless ruthenium gap fill
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
SG11202008268RA (en) 2018-03-19 2020-10-29 Applied Materials Inc Methods for depositing coatings on aerospace components
WO2019209401A1 (en) 2018-04-27 2019-10-31 Applied Materials, Inc. Protection of components from corrosion
US20190348369A1 (en) * 2018-05-10 2019-11-14 Mehul B. Naik Method and apparatus for protecting metal interconnect from halogen based precursors
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US11124874B2 (en) 2018-10-25 2021-09-21 Applied Materials, Inc. Methods for depositing metallic iridium and iridium silicide
US11732353B2 (en) 2019-04-26 2023-08-22 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
WO2022005696A1 (en) 2020-07-03 2022-01-06 Applied Materials, Inc. Methods for refurbishing aerospace components

Citations (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5372849A (en) * 1992-07-28 1994-12-13 Minnesota Mining And Manufacturing Company Chemical vapor deposition of iron, ruthenium, and osmium
US5933753A (en) * 1996-12-16 1999-08-03 International Business Machines Corporation Open-bottomed via liner structure and method for fabricating same
US5962716A (en) * 1998-08-27 1999-10-05 Micron Technology, Inc. Methods for preparing ruthenium and osmium compounds
US6063705A (en) * 1998-08-27 2000-05-16 Micron Technology, Inc. Precursor chemistries for chemical vapor deposition of ruthenium and ruthenium oxide
US6074945A (en) * 1998-08-27 2000-06-13 Micron Technology, Inc. Methods for preparing ruthenium metal films
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US20020000587A1 (en) * 2000-06-30 2002-01-03 Kim Nam Kyeong Method for forming capacitor of nonvolatile semiconductor memory device and the capacitor
US20020004293A1 (en) * 2000-05-15 2002-01-10 Soininen Pekka J. Method of growing electrical conductors
US6338991B1 (en) * 1992-12-04 2002-01-15 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US6346477B1 (en) * 2001-01-09 2002-02-12 Research Foundation Of Suny - New York Method of interlayer mediated epitaxy of cobalt silicide from low temperature chemical vapor deposition of cobalt
US20020025627A1 (en) * 2000-08-30 2002-02-28 Marsh Eugene P. RuSixOy-containing adhesion layers and process for fabricating the same
US6365502B1 (en) * 1998-12-22 2002-04-02 Cvc Products, Inc. Microelectronic interconnect material with adhesion promotion layer and fabrication method
US6372598B2 (en) * 1998-06-16 2002-04-16 Samsung Electronics Co., Ltd. Method of forming selective metal layer and method of forming capacitor and filling contact hole using the same
US20020076837A1 (en) * 2000-11-30 2002-06-20 Juha Hujanen Thin films for magnetic device
US20020081381A1 (en) * 2000-10-10 2002-06-27 Rensselaer Polytechnic Institute Atomic layer deposition of cobalt from cobalt metallorganic compounds
US6423619B1 (en) * 2001-11-30 2002-07-23 Motorola, Inc. Transistor metal gate structure that minimizes non-planarity effects and method of formation
US20020102810A1 (en) * 2001-01-29 2002-08-01 Nec Corporation Method for fabricating a semiconductor device
US6440495B1 (en) * 2000-08-03 2002-08-27 Applied Materials, Inc. Chemical vapor deposition of ruthenium films for metal electrode applications
US20020121697A1 (en) * 2000-08-30 2002-09-05 Marsh Eugene P. Process for the formation of RuSixOy-containing barrier layers for high-k dielectrics
US6479100B2 (en) * 2001-04-05 2002-11-12 Applied Materials, Inc. CVD ruthenium seed for CVD ruthenium deposition
US20020173054A1 (en) * 2001-05-03 2002-11-21 Hynix Semiconductor Inc. Method for fabricating ruthenium thin layer
US6517616B2 (en) * 1998-08-27 2003-02-11 Micron Technology, Inc. Solvated ruthenium precursors for direct liquid injection of ruthenium and ruthenium oxide
US6541067B1 (en) * 1998-08-27 2003-04-01 Micron Technology, Inc. Solvated ruthenium precursors for direct liquid injection of ruthenium and ruthenium oxide and method of using same
US6580111B2 (en) * 2000-06-07 2003-06-17 Samsung Electronics Co., Ltd. Metal-insulator-metal capacitor
US6605735B2 (en) * 2001-09-12 2003-08-12 Tosoh Corporation Ruthenium complex, process for producing the same and process for producing thin film
US20030165615A1 (en) * 2002-01-29 2003-09-04 Titta Aaltonen Process for producing metal thin films by ALD
US6627995B2 (en) * 2000-03-03 2003-09-30 Cvc Products, Inc. Microelectronic interconnect material with adhesion promotion layer and fabrication method
US20040005753A1 (en) * 2000-05-15 2004-01-08 Juhana Kostamo Method of growing electrical conductors
US6713373B1 (en) * 2002-02-05 2004-03-30 Novellus Systems, Inc. Method for obtaining adhesion for device manufacture
US6743739B2 (en) * 2001-03-26 2004-06-01 Renesas Technology Corporation Fabrication method for semiconductor integrated devices
US20040105934A1 (en) * 2002-06-04 2004-06-03 Mei Chang Ruthenium layer formation for copper film deposition
US20040108217A1 (en) * 2002-12-05 2004-06-10 Dubin Valery M. Methods for forming copper interconnect structures by co-plating of noble metals and structures formed thereby
US6780758B1 (en) * 1998-09-03 2004-08-24 Micron Technology, Inc. Method of establishing electrical contact between a semiconductor substrate and a semiconductor device
US6790773B1 (en) * 2002-08-28 2004-09-14 Novellus Systems, Inc. Process for forming barrier/seed structures for integrated circuits
US20040214354A1 (en) * 2003-04-16 2004-10-28 Marsh Eugene P. Surface treatment of an oxide layer to enhance adhesion of a ruthenium metal layer
US20040241321A1 (en) * 2002-06-04 2004-12-02 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US20050006799A1 (en) * 2002-07-23 2005-01-13 Gregg John N. Method and apparatus to help promote contact of gas with vaporized material
US20050081882A1 (en) * 2003-10-16 2005-04-21 Novellus Systems, Inc. Method for preventing and cleaning ruthenium-containing deposits in a CVD apparatus
US6893915B2 (en) * 2001-09-21 2005-05-17 Samsung Electronics, Co., Ltd Semiconductor device having barrier layer between ruthenium layer and metal layer and method for manufacturing the same
US20050124154A1 (en) * 2001-12-28 2005-06-09 Hyung-Sang Park Method of forming copper interconnections for semiconductor integrated circuits on a substrate
US20050238808A1 (en) * 2004-04-27 2005-10-27 L'Air Liquide, Société Anonyme à Directoire et Conseil de Surveillance pour I'Etude et I'Exploita Methods for producing ruthenium film and ruthenium oxide film

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482367B1 (en) * 1998-06-18 2002-11-19 Kanken Techno Co., Ltd. Method and apparatus for removing harmful components in an exhaust gas
KR20010017820A (en) 1999-08-14 2001-03-05 윤종용 Semiconductor device and manufacturing method thereof
KR100376267B1 (en) 1999-12-22 2003-03-17 주식회사 하이닉스반도체 Method of manufacturing a capacitor in a semiconductor device
KR100389913B1 (en) * 1999-12-23 2003-07-04 삼성전자주식회사 Forming method of Ru film using chemical vapor deposition with changing process conditions and Ru film formed thereby
US6536602B2 (en) 2001-01-25 2003-03-25 Walter August Ruescher Food waster separator

Patent Citations (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5372849A (en) * 1992-07-28 1994-12-13 Minnesota Mining And Manufacturing Company Chemical vapor deposition of iron, ruthenium, and osmium
US6338991B1 (en) * 1992-12-04 2002-01-15 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US5933753A (en) * 1996-12-16 1999-08-03 International Business Machines Corporation Open-bottomed via liner structure and method for fabricating same
US6372598B2 (en) * 1998-06-16 2002-04-16 Samsung Electronics Co., Ltd. Method of forming selective metal layer and method of forming capacitor and filling contact hole using the same
US6063705A (en) * 1998-08-27 2000-05-16 Micron Technology, Inc. Precursor chemistries for chemical vapor deposition of ruthenium and ruthenium oxide
US6114557A (en) * 1998-08-27 2000-09-05 Micron Technology, Inc. Methods for preparing ruthenium and osmium compounds
US6517616B2 (en) * 1998-08-27 2003-02-11 Micron Technology, Inc. Solvated ruthenium precursors for direct liquid injection of ruthenium and ruthenium oxide
US6541067B1 (en) * 1998-08-27 2003-04-01 Micron Technology, Inc. Solvated ruthenium precursors for direct liquid injection of ruthenium and ruthenium oxide and method of using same
US6074945A (en) * 1998-08-27 2000-06-13 Micron Technology, Inc. Methods for preparing ruthenium metal films
US6576778B1 (en) * 1998-08-27 2003-06-10 Micron Technology, Inc. Methods for preparing ruthenium and osmium compounds
US20030212285A1 (en) * 1998-08-27 2003-11-13 Micron Technology, Inc. Methods for preparing ruthenium and osmium compounds and films
US5962716A (en) * 1998-08-27 1999-10-05 Micron Technology, Inc. Methods for preparing ruthenium and osmium compounds
US6780758B1 (en) * 1998-09-03 2004-08-24 Micron Technology, Inc. Method of establishing electrical contact between a semiconductor substrate and a semiconductor device
US6365502B1 (en) * 1998-12-22 2002-04-02 Cvc Products, Inc. Microelectronic interconnect material with adhesion promotion layer and fabrication method
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6627995B2 (en) * 2000-03-03 2003-09-30 Cvc Products, Inc. Microelectronic interconnect material with adhesion promotion layer and fabrication method
US20040005753A1 (en) * 2000-05-15 2004-01-08 Juhana Kostamo Method of growing electrical conductors
US20040038529A1 (en) * 2000-05-15 2004-02-26 Soininen Pekka Juha Process for producing integrated circuits
US6482740B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Method of growing electrical conductors by reducing metal oxide film with organic compound containing -OH, -CHO, or -COOH
US6887795B2 (en) * 2000-05-15 2005-05-03 Asm International N.V. Method of growing electrical conductors
US20030096468A1 (en) * 2000-05-15 2003-05-22 Soininen Pekka J. Method of growing electrical conductors
US20020004293A1 (en) * 2000-05-15 2002-01-10 Soininen Pekka J. Method of growing electrical conductors
US6580111B2 (en) * 2000-06-07 2003-06-17 Samsung Electronics Co., Ltd. Metal-insulator-metal capacitor
US6597029B2 (en) * 2000-06-30 2003-07-22 Hynix Semiconductor Inc. Nonvolatile semiconductor memory device
US20020000587A1 (en) * 2000-06-30 2002-01-03 Kim Nam Kyeong Method for forming capacitor of nonvolatile semiconductor memory device and the capacitor
US6440495B1 (en) * 2000-08-03 2002-08-27 Applied Materials, Inc. Chemical vapor deposition of ruthenium films for metal electrode applications
US20020074577A1 (en) * 2000-08-30 2002-06-20 Marsh Eugene P. RuSixOy-containing adhesion layers and process for fabricating the same
US6800937B2 (en) * 2000-08-30 2004-10-05 Micron Technology, Inc. RuSixOy-containing adhesion layers and process for fabricating the same
US20020076881A1 (en) * 2000-08-30 2002-06-20 Marsh Eugene P. RuSixOy-containing adhesion layers and process for fabricating the same
US6617634B2 (en) * 2000-08-30 2003-09-09 Micron Technology, Inc. RuSixOy-containing adhesion layers and process for fabricating the same
US6462367B2 (en) * 2000-08-30 2002-10-08 Micron Technology, Inc. RuSixOy-containing adhesion layers
US20020125516A1 (en) * 2000-08-30 2002-09-12 Marsh Eugene P. RuSixOy-containing adhesion layers and process for fabricating the same
US20020121697A1 (en) * 2000-08-30 2002-09-05 Marsh Eugene P. Process for the formation of RuSixOy-containing barrier layers for high-k dielectrics
US20020028556A1 (en) * 2000-08-30 2002-03-07 Marsh Eugene P. RuSixOy-containing adhesion layers and process for fabricating the same
US20020025627A1 (en) * 2000-08-30 2002-02-28 Marsh Eugene P. RuSixOy-containing adhesion layers and process for fabricating the same
US6744138B2 (en) * 2000-08-30 2004-06-01 Micron Technology RuSixOy-containing barrier layers for high-k dielectrics
US6737317B2 (en) * 2000-08-30 2004-05-18 Micron Technology, Inc. Method of manufacturing a capacitor having RuSixOy-containing adhesion layers
US6610568B2 (en) * 2000-08-30 2003-08-26 Micron Technology, Inc. Process for fabricating RuSixOy-containing adhesion layers
US6527855B2 (en) * 2000-10-10 2003-03-04 Rensselaer Polytechnic Institute Atomic layer deposition of cobalt from cobalt metallorganic compounds
US20020081381A1 (en) * 2000-10-10 2002-06-27 Rensselaer Polytechnic Institute Atomic layer deposition of cobalt from cobalt metallorganic compounds
US20020076837A1 (en) * 2000-11-30 2002-06-20 Juha Hujanen Thin films for magnetic device
US6346477B1 (en) * 2001-01-09 2002-02-12 Research Foundation Of Suny - New York Method of interlayer mediated epitaxy of cobalt silicide from low temperature chemical vapor deposition of cobalt
US6596602B2 (en) * 2001-01-29 2003-07-22 Nec Corporation Method of fabricating a high dielectric constant metal oxide capacity insulator film using atomic layer CVD
US20020102810A1 (en) * 2001-01-29 2002-08-01 Nec Corporation Method for fabricating a semiconductor device
US6743739B2 (en) * 2001-03-26 2004-06-01 Renesas Technology Corporation Fabrication method for semiconductor integrated devices
US6479100B2 (en) * 2001-04-05 2002-11-12 Applied Materials, Inc. CVD ruthenium seed for CVD ruthenium deposition
US20020173054A1 (en) * 2001-05-03 2002-11-21 Hynix Semiconductor Inc. Method for fabricating ruthenium thin layer
US6800542B2 (en) * 2001-05-03 2004-10-05 Hynix Semiconductor Inc. Method for fabricating ruthenium thin layer
US6605735B2 (en) * 2001-09-12 2003-08-12 Tosoh Corporation Ruthenium complex, process for producing the same and process for producing thin film
US6893915B2 (en) * 2001-09-21 2005-05-17 Samsung Electronics, Co., Ltd Semiconductor device having barrier layer between ruthenium layer and metal layer and method for manufacturing the same
US6423619B1 (en) * 2001-11-30 2002-07-23 Motorola, Inc. Transistor metal gate structure that minimizes non-planarity effects and method of formation
US20050124154A1 (en) * 2001-12-28 2005-06-09 Hyung-Sang Park Method of forming copper interconnections for semiconductor integrated circuits on a substrate
US20030165615A1 (en) * 2002-01-29 2003-09-04 Titta Aaltonen Process for producing metal thin films by ALD
US6713373B1 (en) * 2002-02-05 2004-03-30 Novellus Systems, Inc. Method for obtaining adhesion for device manufacture
US20040241321A1 (en) * 2002-06-04 2004-12-02 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US20040105934A1 (en) * 2002-06-04 2004-06-03 Mei Chang Ruthenium layer formation for copper film deposition
US20050006799A1 (en) * 2002-07-23 2005-01-13 Gregg John N. Method and apparatus to help promote contact of gas with vaporized material
US6790773B1 (en) * 2002-08-28 2004-09-14 Novellus Systems, Inc. Process for forming barrier/seed structures for integrated circuits
US20040108217A1 (en) * 2002-12-05 2004-06-10 Dubin Valery M. Methods for forming copper interconnect structures by co-plating of noble metals and structures formed thereby
US20040214354A1 (en) * 2003-04-16 2004-10-28 Marsh Eugene P. Surface treatment of an oxide layer to enhance adhesion of a ruthenium metal layer
US20050081882A1 (en) * 2003-10-16 2005-04-21 Novellus Systems, Inc. Method for preventing and cleaning ruthenium-containing deposits in a CVD apparatus
US20050238808A1 (en) * 2004-04-27 2005-10-27 L'Air Liquide, Société Anonyme à Directoire et Conseil de Surveillance pour I'Etude et I'Exploita Methods for producing ruthenium film and ruthenium oxide film

Cited By (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7674715B2 (en) 2000-06-28 2010-03-09 Applied Materials, Inc. Method for forming tungsten materials during vapor deposition processes
US7745333B2 (en) 2000-06-28 2010-06-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7709385B2 (en) 2000-06-28 2010-05-04 Applied Materials, Inc. Method for depositing tungsten-containing layers by vapor deposition techniques
US7846840B2 (en) 2000-06-28 2010-12-07 Applied Materials, Inc. Method for forming tungsten materials during vapor deposition processes
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US9209074B2 (en) 2001-07-25 2015-12-08 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US8563424B2 (en) 2001-07-25 2013-10-22 Applied Materials, Inc. Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US8187970B2 (en) 2001-07-25 2012-05-29 Applied Materials, Inc. Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US8668776B2 (en) 2001-10-26 2014-03-11 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780788B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7892602B2 (en) 2001-12-07 2011-02-22 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US7867896B2 (en) 2002-03-04 2011-01-11 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US20030193270A1 (en) * 2002-04-11 2003-10-16 Samsung Electro-Mechanics Co., Ltd. Piezoelectric transformer device and housing for piezoelectric transformer and method of manufacturing them
US7658970B2 (en) 2002-06-04 2010-02-09 Mei Chang Noble metal layer formation for copper film deposition
US8227335B2 (en) * 2002-10-31 2012-07-24 Intel Corporation Forming a copper diffusion barrier
US20070298608A1 (en) * 2002-10-31 2007-12-27 Johnston Steven W Forming a copper diffusion barrier
US8343279B2 (en) 2004-05-12 2013-01-01 Applied Materials, Inc. Apparatuses for atomic layer deposition
US7794544B2 (en) 2004-05-12 2010-09-14 Applied Materials, Inc. Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8282992B2 (en) 2004-05-12 2012-10-09 Applied Materials, Inc. Methods for atomic layer deposition of hafnium-containing high-K dielectric materials
US20090142474A1 (en) * 2004-12-10 2009-06-04 Srinivas Gandikota Ruthenium as an underlayer for tungsten film deposition
US7691442B2 (en) 2004-12-10 2010-04-06 Applied Materials, Inc. Ruthenium or cobalt as an underlayer for tungsten film deposition
US20070077750A1 (en) * 2005-09-06 2007-04-05 Paul Ma Atomic layer deposition processes for ruthenium materials
US20070054487A1 (en) * 2005-09-06 2007-03-08 Applied Materials, Inc. Atomic layer deposition processes for ruthenium materials
US7850779B2 (en) 2005-11-04 2010-12-14 Applied Materisals, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7682946B2 (en) 2005-11-04 2010-03-23 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US9032906B2 (en) 2005-11-04 2015-05-19 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7838441B2 (en) 2006-10-09 2010-11-23 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US20090087982A1 (en) * 2007-09-28 2009-04-02 Applied Materials, Inc. Selective ruthenium deposition on copper materials
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US7867900B2 (en) 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
US20090087983A1 (en) * 2007-09-28 2009-04-02 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
US7737028B2 (en) 2007-09-28 2010-06-15 Applied Materials, Inc. Selective ruthenium deposition on copper materials
US20090269507A1 (en) * 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US11384429B2 (en) 2008-04-29 2022-07-12 Applied Materials, Inc. Selective cobalt deposition on copper surfaces
US11959167B2 (en) 2008-04-29 2024-04-16 Applied Materials, Inc. Selective cobalt deposition on copper surfaces
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US9418890B2 (en) 2008-09-08 2016-08-16 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US20140151097A1 (en) * 2010-07-19 2014-06-05 International Business Machines Corporation Method and Structure to Improve the Conductivity of Narrow Copper Filled Vias
US9392690B2 (en) * 2010-07-19 2016-07-12 Globalfoundries Inc. Method and structure to improve the conductivity of narrow copper filled vias
CN102881677A (en) * 2012-09-24 2013-01-16 复旦大学 Alloy copper diffusion barrier layer for copper interconnection and manufacturing method thereof
WO2014074209A1 (en) * 2012-11-09 2014-05-15 Applied Materials, Inc. Method to deposit cvd ruthenium
US9938622B2 (en) 2012-11-09 2018-04-10 Applied Materials, Inc. Method to deposit CVD ruthenium
CN103325729A (en) * 2013-06-16 2013-09-25 复旦大学 Copper interconnection structure manufacturing method
WO2021041593A1 (en) * 2019-08-30 2021-03-04 Applied Materials, Inc. Selective cobalt deposition on copper surfaces

Also Published As

Publication number Publication date
TWI376014B (en) 2012-11-01
US20040105934A1 (en) 2004-06-03
TW200509303A (en) 2005-03-01
US7264846B2 (en) 2007-09-04
WO2005020317A2 (en) 2005-03-03
WO2005020317A3 (en) 2005-04-21

Similar Documents

Publication Publication Date Title
US7264846B2 (en) Ruthenium layer formation for copper film deposition
US7910165B2 (en) Ruthenium layer formation for copper film deposition
US7658970B2 (en) Noble metal layer formation for copper film deposition
US7691442B2 (en) Ruthenium or cobalt as an underlayer for tungsten film deposition
US7244683B2 (en) Integration of ALD/CVD barriers with porous low k materials
US6720027B2 (en) Cyclical deposition of a variable content titanium silicon nitride layer
US7682946B2 (en) Apparatus and process for plasma-enhanced atomic layer deposition
US20060240187A1 (en) Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US20070054487A1 (en) Atomic layer deposition processes for ruthenium materials
US7265048B2 (en) Reduction of copper dewetting by transition metal deposition
US7595263B2 (en) Atomic layer deposition of barrier materials
JP5019430B2 (en) A method of forming a metal layer using an intermittent precursor gas flow process.
US7699023B2 (en) Gas delivery apparatus for atomic layer deposition
US20070077750A1 (en) Atomic layer deposition processes for ruthenium materials
US20030124262A1 (en) Integration of ALD tantalum nitride and alpha-phase tantalum for copper metallization application
US20040077183A1 (en) Titanium tantalum nitride silicide layer
US20040009336A1 (en) Titanium silicon nitride (TISIN) barrier layer for copper diffusion
US20040009665A1 (en) Deposition of copper films
WO2020223038A1 (en) Methods for filling features with ruthenium
KR20050016511A (en) Deposition of copper films

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHANG, MEI;GANGULI, SESHADRI;MAITY, NIRMALYA;REEL/FRAME:017489/0777;SIGNING DATES FROM 20030915 TO 20030919

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION