US20060105577A1 - Aspect ratio controlled etch selectivity using time modulated DC bias voltage - Google Patents

Aspect ratio controlled etch selectivity using time modulated DC bias voltage Download PDF

Info

Publication number
US20060105577A1
US20060105577A1 US11/319,402 US31940205A US2006105577A1 US 20060105577 A1 US20060105577 A1 US 20060105577A1 US 31940205 A US31940205 A US 31940205A US 2006105577 A1 US2006105577 A1 US 2006105577A1
Authority
US
United States
Prior art keywords
aspect ratio
etching
voltage
bias voltage
modulated
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/319,402
Inventor
Kevin Donohoe
Mirzafer Abatchev
Robert Veltrop
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US11/319,402 priority Critical patent/US20060105577A1/en
Publication of US20060105577A1 publication Critical patent/US20060105577A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • H01L21/823425MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures manufacturing common source or drain regions between a plurality of conductor-insulator-semiconductor structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects

Definitions

  • the present invention relates to the fabrication of integrated circuit devices and, in particular, to a selective plasma etch process using a time modulated DC bias to simultaneously control deposition and etching for different portions and/or aspect ratios of the integrated circuit device.
  • Etch techniques are widely used during the fabrication of semiconductor devices for integrated circuits. Chemical etching in liquid or gaseous form, for example, is used to remove a material not protected by hardened photoresist material. A particularly effective etching technique is plasma etching. Plasma is a collection of electrically charged and neutral particles.
  • Plasma generation may be conducted by applying power to electrodes in a chamber of a reactor. In diode or parallel plate reactors, power is applied to one electrode to generate a plasma. In triode reactors, power is typically applied to two of three electrodes to generate a plasma.
  • RF plasma generation for a diode reactor, a sinusoidal signal is sent to an electrode or a pair of electrodes.
  • a chuck or susceptor is the powered electrode.
  • parallel plate reactors include the 5000MERIE from Applied Materials, Santa Clara, Calif.
  • a plasma source material typically includes one or more gases, such as, for example, argon, silane (SiH 4 ), oxygen, TEOS, diethylsilane, silicon tetrafluoride (SiF 4 ) and fluorocarbon gases, directed to an interelectrode gap between the pair of electrodes.
  • gases such as, for example, argon, silane (SiH 4 ), oxygen, TEOS, diethylsilane, silicon tetrafluoride (SiF 4 ) and fluorocarbon gases, directed to an interelectrode gap between the pair of electrodes.
  • gases such as, for example, argon, silane (SiH 4 ), oxygen, TEOS, diethylsilane, silicon tetrafluoride (SiF 4 ) and fluorocarbon gases, directed to an interelectrode gap between the pair of electrodes.
  • the amplitude of the RF signal must be sufficiently high for a breakdown of plasma source material.
  • triode reactor In a typical triode reactor, three parallel plates or electrodes are used.
  • the middle or intermediate electrode is conventionally located in between a top and bottom electrode, and thus two interelectrode cavities or regions are defined (one between top and middle electrode and one between middle and bottom electrode).
  • the middle electrode typically has holes in it. Conventionally, both the top and bottom electrode are powered via RF sources, and the middle electrode is grounded. Examples of triode reactors are available from Lam Research, Fremont, Calif., and Tegal Corporation Ltd., San Diego, Calif.
  • Parallel plate and triode reactors generate capacitively coupled plasmas. These are conventionally “low density” plasmas (ion-electron density of less than or equal to 10 10 ions-electrons per cm 3 ) as compared with high-density plasmas (ion-electron density on the order of about 10 11 to about 10 13 ions-electrons per cm 3 ) which are generated by systems such as, electron cyclotron resonance (ECR), inductively coupled plasma (ICP), microwave and other high frequency source plasmas.
  • ECR electron cyclotron resonance
  • ICP inductively coupled plasma
  • microwave and other high frequency source plasmas for ICP systems, an inductive coil (electrode) is conventionally driven at a high frequency using an RF supply.
  • the inductive coil and RF supply provide a source power, or top power, for plasma generation.
  • a microwave power source for example, a magnetron
  • a top power for example, a microwave power source
  • bias power or bottom power which may be employed for directing and accelerating ions from the plasma to a substrate assembly or other target.
  • voltage that forms on a susceptor or chuck also known as the direct current (DC) bias
  • RF bias bottom power
  • current is affected by the top power.
  • Plasma may also be used to deposit films over a substrate.
  • PECVD plasma enhanced CVD
  • high electron temperatures are employed to increase the density of disassociated species within the plasma. These disassociated species or radicals are available for deposition on a substrate assembly surface.
  • the high-density plasma may be employed to facilitate deposition.
  • a high density plasma is typically defined as having an ion-electron density on the order of 10 11 to 10 13 ions-electrons per cm 3 .
  • a pulsed-plasma is provided by turning the bias power “on” and “off”.
  • plasma apparatus have been used to either deposit or remove material from an integrated circuit device, for example, a wafer containing many die.
  • etch selectivity is the ability to etch one layer faster than another layer under the same etching conditions whereas uniformity refers to the ability of the process to evenly remove the desired layer.
  • plasma etch/or deposition selectivity has been controlled by various methods, including varying the gas phase chemistry through adjustments in the plasma reactor gases, adjusting the operating pressure, adding diluent gases, or increasing the pumping speed to allow high flow at low pressure operation.
  • Etch selectivity is of particular interest when, for example, a device requires selective removal of different layers to obtain desired shapes and dimensions in various parts of the same device.
  • etching rates and profiles depend on feature size and pattern density. Problems in achieving microscopic uniformity arise often as most high performance etches are aspect ratio-dependent etches (ARDE).
  • ARDE etches the rate of oxide removal is dependent upon the aspect ratio of the opening, which is defined as the ratio of the depth of the opening to the diameter.
  • the oxide etch rate in terms of linear depth etched per unit time, is smaller for high aspect ratio openings than for low aspect ratio openings.
  • the etching rate decreases as the feature dimensions are reduced.
  • the features are etched such that they do not have a uniform cross section along the length of the feature.
  • Advanced technologies require high etch performance in high aspect ratio features, and demand high etch performance in layers having features at different aspect ratios.
  • Several attempts have been made to overcome the aspect ratio-dependency effects and the above-described difficulties in the etching process.
  • the prior methods have small process windows which are difficult and expensive to sustain in manufacturing. Some of the methods also require extensive redesign of the process or devices, and use of unproven and costly equipment. Accordingly, there is a need for an improved etch selectivity, with an improved process window, which has the ability to operate under a wide range of aspect ratios.
  • the present invention relates to a method for improving the process window for etch processes, such as for example, a Self-Aligned Contact (SAC) etch using a time modulated DC bias in a plasma etching apparatus.
  • the present invention pulses the bias power to tailor and modulate a deposition vs. removal ratio of similar materials having different aspect ratios to obtain better control over the plasma process and the ability to better etch certain features, such as self-aligned contacts.
  • etch rate/deposition rate and aspect ratio are dependent upon the aspect ratio of the area in which a material is to be removed or deposited
  • the present invention exploits the relationship between etch rate/deposition rate and aspect ratio and DC bias.
  • Deposition rates of material are generally lower at higher aspect ratios, and the overall deposition rate increases as the DC bias voltage decreases.
  • Etch rates of materials generally increase as DC bias voltage increases.
  • V DC DC bias voltage
  • the present invention provides an etching process in which the DC bias voltage is manipulated so that the etch rate can be controlled under etch processes that require both selectivity in a relatively low aspect ratio part of a wafer and the ability to etch higher aspect ratio features into the same feature, or other features, on the same wafer.
  • the method of the present invention uses a time modulated bias voltage to control the transition from etch to deposition stages for devices with different aspect ratios.
  • a protective layer of material may be deposited on a first position on a semiconductor wafer while a simultaneous etching process takes place at a second position, on the same wafer.
  • Low bias conditions allow the deposition on the first position.
  • High bias conditions further permit the etch front to move towards completion at the second position, without the removal of the features under the protective layer which is deposited at the first position.
  • time modulated bias power in etching techniques permits features such as SAC to be defined among materials with similar etch properties, such as thermal oxides and BPSG.
  • time modulated bias voltage may be used in semiconductor integrated circuits using materials with different etch properties, such as silicon nitride and silicon oxide. In such cases, the process window is expected to be greatly improved.
  • the ability to tailor the amount of deposit of each aspect ratio in relation to the amount of etch permits process optimization over a wide range of designs and features.
  • the present invention will improve the capability to precisely control etching in applications that use different aspect ratio features and which must stop on thin etch stop layers. Additional advantages of the present invention will be apparent from the detailed description and drawings, which illustrate preferred embodiments of the invention.
  • FIG. 1 is an exemplary apparatus according to the present invention.
  • FIG. 2 is a graph showing the variations of the etch and deposition rates as a function of the absolute value of the DC bias voltage for two aspect ratio-dependent etch rates.
  • FIG. 3 is a graph showing the variations of the etch and deposition rates as function of the modulated DC bias voltage for ten aspect ratio-dependent etch rates, in accordance with the present invention.
  • FIG. 4 is an exemplary duty cycle graph according to the present invention.
  • FIG. 5 is a diagrammatic cross-sectional view of a semiconductor wafer according to the present invention at an intermediate stage of processing.
  • FIG. 6 is a diagrammatic cross-sectional view of a semiconductor wafer according to the present invention at a processing step subsequent to that shown in FIG. 5 .
  • FIG. 7 is a diagrammatic cross-sectional view of a semiconductor wafer according to the present invention at a processing step subsequent to that shown in FIG. 6 .
  • FIG. 8 is a diagrammatic cross-sectional view of a semiconductor wafer according to the present invention at a processing step subsequent to that shown in FIG. 7 .
  • FIG. 9 is a diagrammatic cross-sectional view of a semiconductor wafer according to the present invention at a processing step subsequent to that shown in FIG. 8 .
  • FIG. 10 is a diagrammatic cross-sectional view of a semiconductor wafer according to the present invention at a processing step subsequent to that shown in FIG. 9 .
  • wafer or “substrate” used in the following description may include any semiconductor-based structure that has an exposed silicon surface. Wafer and structure must be understood to include silicon-on insulator (SOI), silicon-on sapphire (SOS), doped and undoped semiconductors, epitaxial layers of silicon supported by a base semiconductor foundation, and other semiconductor structures.
  • SOI silicon-on insulator
  • SOS silicon-on sapphire
  • doped and undoped semiconductors epitaxial layers of silicon supported by a base semiconductor foundation, and other semiconductor structures.
  • the semiconductor need not be silicon-based.
  • the semiconductor could be silicon-germanium, germanium, or gallium arsenide.
  • the present invention relates to a selective plasma etch process using modulated DC bias to control both the deposition and the etch at different aspect ratios of a semiconductor integrated circuit.
  • the method improves the process window in the fabrication of the IC and operates under a wide range of aspect ratios.
  • the present invention is an improved etching process using a time modulated bias voltage to control the transition from etch to deposition stages for integrated circuit devices with different aspect ratios.
  • the aspect ratio is the ratio of the depth to the width of a bottom dimension of an integrated circuit device.
  • the term “low aspect ratio” generally refers to aspect ratios of less than or equal to about 2:1 whereas the term “high aspect ratio” as used herein generally refers to aspect ratios of greater than or equal to about 3:1.
  • the present invention may be used to selectively etch integrated circuit devices having aspect ratios of from about 0.5 to about 20.0.
  • FIG. 1 shows a representative substrate etched according to the present invention.
  • Apparatus 200 including a radio frequency (“RF”) source power supply 211 , a coil 212 , a chamber 213 and a dielectric plate 209 .
  • the chamber 213 is connected to a ground potential 217 .
  • An integrated circuit device 100 is mounted onto electrode 214 which applies a bias voltage or bottom power. The bias voltage is modulated by a bias voltage modulator 215 via electrode 214 .
  • Electrode 214 may be an electrostatic-chuck or susceptor for holding the integrated circuit device 100 during the etching process.
  • Modulated-bias plasma 219 is generated in chamber 213 from source material 220 .
  • Source material 220 may be provided to chamber 213 via one or more feed tubes 252 .
  • the contents of chamber 213 are evacuated by vacuum pump 221 via line 222 .
  • the DC bias voltage and/or the source power 211 are modulated by respective bias modulator 215 in a duty cycle of from about 10% to about 90%.
  • FIG. 2 The etch rate and deposition rate of a silicon substrate having an aspect ratio of 1.2 and an aspect ratio of 6 were measured when the substrate was contacted with a CHF 3 plasma.
  • the device was contacted with the CHF 3 plasma for a predetermined time and the etch rate and deposition rate were measured as a function of bias the absolute value of voltage (V DC ).
  • V DC the absolute value of voltage
  • V DC the voltage at which deposition of a protective layer occurs for any given aspect ratio
  • deposition of the protective layer occurred for an aspect ratio 1.2 (for example, position A as shown in FIGS. 8-10 below), but not for an aspect ratio of 6.0 (for example, position C as shown in FIGS. 8-10 below).
  • the bias voltage can be modulated between (i) a voltage
  • is shown to control, or to describe, the conditions that lead to the transition from etching to deposition.
  • Vdc(dep) can also affect this transition point and can affect the value of Vdc(dep). For example, one could vary the source and bias powers together and keep Vdc relatively constant while still varying the results of etch or deposition on the substrate. Under some conditions, one might even modulate the gas flowrates. However, the DC Bias is the preferred embodiment because it is easy to vary over a wide range of values, including values much larger than
  • FIG. 3 In accordance with the present invention, pulsing the V DC will allow continuous etching on point C (high aspect ratio) and deposition on point A (low aspect ratio).
  • the figure shows how the transition from etch to deposition occurs in a CHF 3 plasma for integrated circuit devices with 10 different aspect ratios (AR), ranging from 0.8 to 6.0.
  • AR aspect ratios
  • the DC bias voltage is decreased from a high magnitude, such as 180 volts in this example, the etch rates decrease accordingly until the transition from etching to depositions occurs.
  • This transition occurs at V DC (dep) and takes place over a narrow range of
  • the aspect ratio 6.0 showed no deposition for voltages below
  • FIG. 3 graph demonstrates that a plasma operation that varies from low to high magnitude of V DC can continuously etch at high aspect ratios (as exemplified by position C in FIGS. 8-10 below) and alternatively deposit or etch the deposit at lower aspect ratios (as exemplified by position A in FIGS. 8-10 below).
  • the present invention uses time modulated voltage to deposit a protective layer at position A while maintaining a rather constant etch rate at position C.
  • the present invention uses low bias time and conditions to deposit the protective layer at position A and not stop the etch at position C.
  • the invention further uses a high bias time and conditions to move the etch front at position C, toward completion.
  • the present invention allows modulation of either the bias power or the source power to generate the desired process result from V DC modulation.
  • the time periods of the pulsing that will work are constrained by relative deposition and etch rates but are based on a duty cycle of from about 10 to about 90%.
  • a representative duty cycle plot according to the present invention is illustrated in FIG. 4 .
  • the figure shows a pulse cycle where when bias voltage
  • a device 100 includes a substrate 110 having an insulating layer 112 formed thereon.
  • Insulating layer 112 may be a BPSG layer.
  • Substrate 110 may also have transistor gate stacks 114 , 115 having an conductive layer 120 (e.g. doped polysilicon), an insulating layer 123 , an insulating cap layer 122 and insulating spacers 124 formed around the transistor gate stacks 114 , 115 .
  • the insulating layer, cap layer and spacers may be formed of, for example, silicon nitride or silicon oxide.
  • the transistor gate stacks 114 , 115 formed over the substrate 110 between a doped active area 113 . While the present invention is described showing two transistor gate stacks 114 , 115 between a single doped active area 113 for the purposes of illustration, it should be understood that the invention is not so limited, but has applicability to more complex integrated circuit structures as well.
  • the plasma etching gas may be any gaseous material known for etching.
  • suitable plasma etching gases are those such as fluorocarbon gases and hydrofluorocarbon containing gases, such as, for example, CF 4 , C 2 F 6 , C 3 F 8 and CHF 3 and the like.
  • the plasma etching process uses as process gas including a hydrofluorocarbon, preferably a gas mixture including trifluoromethane (CHF 3 ). It should be understood that the selection of the plasma etching gas will be determined by the substrate to be etched as well as the physical parameters of the etching process such as power, pressure, temperature and the like.
  • the plasma is formed at a high power, such as, for example, from about 400 to about 1500 watts (RF) at a pressure of from about 2 to about 40 mTorr.
  • the bias power may be adjusted from about 0 to about 1000 watts depending upon the physical parameters of the substrate and the layers to be etched.
  • the DC bias voltage may be modulated from between about 0V and about 300V.
  • the device may be etched for a time period of from about 1 to about 100 seconds depending upon the physical parameters of the substrate and the layers to be etched. Additionally, the duty cycle of the time modulated DC bias may be from about 10 to about 90%, preferably from about 25 to about 75%.
  • the device is etched in the plasma etching apparatus to form hole 127 in the area not covered by mask 125 .
  • the hole 127 is etched in device 100 for a predetermined period of time to etch to just above transistor gate stacks 114 , 115 and the DC bias voltage is modulated between (i) a voltage above
  • the device is processed as shown in FIGS. 7-10 .
  • the DC bias power is modulated such that material is etched from the higher aspect ratio area C of hole 127 at a greater rate than lower aspect ratio area A when
  • is then modulated to a voltage below
  • the protective material deposited on the area A is subsequently etched away and redeposited by the DC bias modulation, thus allowing the hole 127 above transistor gate stacks 114 , 115 to remain static.
  • the present invention allows the build up and removal of material at the low aspect ratio area A of contact hole 127 which lies over transistor gate stacks 114 , 115 while removing at a greater rate the BPSG material that overlies active area 113 .
  • the method according to the present invention allows the etching of a self-aligned contact without removal of the insulating spacers of the transistor gate stacks 114 , 115 .
  • the present invention allows the targeted etching to active area 113 without significantly etching away portions of the active area through the methodical modulated DC bias etching.

Abstract

A modulated bias power etching method for etching a substrate is disclosed. The method alternatively deposits and etches material from a low aspect area of an integrated circuit device to form a static area while etching material from a high aspect area. The modulation pulse period and repetition rate are adjusted to permit deposition at low aspect ratio and very little or no deposition at high aspect ratio during the deposition cycle and to permit etching of the material deposited on the low aspect ratio area and etching of the material in the high aspect ratio area during the etching cycle.

Description

    FIELD OF THE INVENTION
  • The present invention relates to the fabrication of integrated circuit devices and, in particular, to a selective plasma etch process using a time modulated DC bias to simultaneously control deposition and etching for different portions and/or aspect ratios of the integrated circuit device.
  • BACKGROUND OF THE INVENTION
  • Etch techniques are widely used during the fabrication of semiconductor devices for integrated circuits. Chemical etching in liquid or gaseous form, for example, is used to remove a material not protected by hardened photoresist material. A particularly effective etching technique is plasma etching. Plasma is a collection of electrically charged and neutral particles.
  • In a plasma, the density of negatively-charged particles (electrons and negative ions) is generally equal to the density of positively-charged particles (positive ions). Plasma generation may be conducted by applying power to electrodes in a chamber of a reactor. In diode or parallel plate reactors, power is applied to one electrode to generate a plasma. In triode reactors, power is typically applied to two of three electrodes to generate a plasma.
  • In radio frequency (RF) plasma generation, for a diode reactor, a sinusoidal signal is sent to an electrode or a pair of electrodes. Conventionally, a chuck or susceptor is the powered electrode. Examples of parallel plate reactors include the 5000MERIE from Applied Materials, Santa Clara, Calif.
  • A plasma source material, typically includes one or more gases, such as, for example, argon, silane (SiH4), oxygen, TEOS, diethylsilane, silicon tetrafluoride (SiF4) and fluorocarbon gases, directed to an interelectrode gap between the pair of electrodes. The amplitude of the RF signal must be sufficiently high for a breakdown of plasma source material. In this manner, electrons have sufficient energy to ionize the plasma source material and to replenish the supply of electrons to sustain a plasma. The ionization potential, the minimum energy needed to remove an electron from an atom or molecule, varies with different atoms or molecules.
  • In a typical triode reactor, three parallel plates or electrodes are used. The middle or intermediate electrode is conventionally located in between a top and bottom electrode, and thus two interelectrode cavities or regions are defined (one between top and middle electrode and one between middle and bottom electrode). The middle electrode typically has holes in it. Conventionally, both the top and bottom electrode are powered via RF sources, and the middle electrode is grounded. Examples of triode reactors are available from Lam Research, Fremont, Calif., and Tegal Corporation Ltd., San Diego, Calif.
  • Parallel plate and triode reactors generate capacitively coupled plasmas. These are conventionally “low density” plasmas (ion-electron density of less than or equal to 1010 ions-electrons per cm3) as compared with high-density plasmas (ion-electron density on the order of about 1011 to about 1013 ions-electrons per cm3) which are generated by systems such as, electron cyclotron resonance (ECR), inductively coupled plasma (ICP), microwave and other high frequency source plasmas. For ICP systems, an inductive coil (electrode) is conventionally driven at a high frequency using an RF supply. The inductive coil and RF supply provide a source power, or top power, for plasma generation. In ECR systems, a microwave power source (for example, a magnetron) is used to provide a top power. All of these systems, including ICP and ECR systems, have a separate power supply known as bias power or bottom power, which may be employed for directing and accelerating ions from the plasma to a substrate assembly or other target. In either case, voltage that forms on a susceptor or chuck (also known as the direct current (DC) bias), is affected by the bottom power (RF bias); whereas, current is affected by the top power.
  • Plasma may also be used to deposit films over a substrate. In a plasma enhanced CVD (PECVD) process, high electron temperatures are employed to increase the density of disassociated species within the plasma. These disassociated species or radicals are available for deposition on a substrate assembly surface. The high-density plasma may be employed to facilitate deposition. A high density plasma is typically defined as having an ion-electron density on the order of 1011 to 1013 ions-electrons per cm3. Additionally, in a pulsed-PECVD process a pulsed-plasma is provided by turning the bias power “on” and “off”.
  • As noted, plasma apparatus have been used to either deposit or remove material from an integrated circuit device, for example, a wafer containing many die.
  • In designing a plasma etching or deposition process, it is desirable to have a large process window with a sensitivity as small as possible, so that large discrepancies in equipment performance can be tolerated. As the film thickness and device dimensions decrease, etch selectivity and uniformity become increasingly significant. Selectivity is the ability to etch one layer faster than another layer under the same etching conditions whereas uniformity refers to the ability of the process to evenly remove the desired layer.
  • In prior methods, plasma etch/or deposition selectivity has been controlled by various methods, including varying the gas phase chemistry through adjustments in the plasma reactor gases, adjusting the operating pressure, adding diluent gases, or increasing the pumping speed to allow high flow at low pressure operation.
  • Etch selectivity is of particular interest when, for example, a device requires selective removal of different layers to obtain desired shapes and dimensions in various parts of the same device. Apart from selectivity, etching rates and profiles depend on feature size and pattern density. Problems in achieving microscopic uniformity arise often as most high performance etches are aspect ratio-dependent etches (ARDE). In ARDE etches, the rate of oxide removal is dependent upon the aspect ratio of the opening, which is defined as the ratio of the depth of the opening to the diameter. As such, features with a high aspect ratio etch more slowly than trenches with a small aspect ratio. In other words, the oxide etch rate, in terms of linear depth etched per unit time, is smaller for high aspect ratio openings than for low aspect ratio openings. Thus, the etching rate decreases as the feature dimensions are reduced. Alternatively, the features are etched such that they do not have a uniform cross section along the length of the feature.
  • Advanced technologies require high etch performance in high aspect ratio features, and demand high etch performance in layers having features at different aspect ratios. Several attempts have been made to overcome the aspect ratio-dependency effects and the above-described difficulties in the etching process. However, the prior methods have small process windows which are difficult and expensive to sustain in manufacturing. Some of the methods also require extensive redesign of the process or devices, and use of unproven and costly equipment. Accordingly, there is a need for an improved etch selectivity, with an improved process window, which has the ability to operate under a wide range of aspect ratios.
  • SUMMARY OF THE INVENTION
  • The present invention relates to a method for improving the process window for etch processes, such as for example, a Self-Aligned Contact (SAC) etch using a time modulated DC bias in a plasma etching apparatus. The present invention pulses the bias power to tailor and modulate a deposition vs. removal ratio of similar materials having different aspect ratios to obtain better control over the plasma process and the ability to better etch certain features, such as self-aligned contacts.
  • Since both etch rate and deposition are dependent upon the aspect ratio of the area in which a material is to be removed or deposited, the present invention exploits the relationship between etch rate/deposition rate and aspect ratio and DC bias. Deposition rates of material are generally lower at higher aspect ratios, and the overall deposition rate increases as the DC bias voltage decreases. Etch rates of materials generally increase as DC bias voltage increases. There is a DC bias voltage (VDC) range below which deposition occurs over a wide range of aspect ratios and above which etching occurs under a wide range of aspect ratios. The present invention provides an etching process in which the DC bias voltage is manipulated so that the etch rate can be controlled under etch processes that require both selectivity in a relatively low aspect ratio part of a wafer and the ability to etch higher aspect ratio features into the same feature, or other features, on the same wafer.
  • The method of the present invention uses a time modulated bias voltage to control the transition from etch to deposition stages for devices with different aspect ratios. For example, a protective layer of material may be deposited on a first position on a semiconductor wafer while a simultaneous etching process takes place at a second position, on the same wafer. Low bias conditions allow the deposition on the first position. High bias conditions further permit the etch front to move towards completion at the second position, without the removal of the features under the protective layer which is deposited at the first position.
  • The use of time modulated bias power in etching techniques permits features such as SAC to be defined among materials with similar etch properties, such as thermal oxides and BPSG. In addition, time modulated bias voltage may be used in semiconductor integrated circuits using materials with different etch properties, such as silicon nitride and silicon oxide. In such cases, the process window is expected to be greatly improved.
  • In addition, the ability to tailor the amount of deposit of each aspect ratio in relation to the amount of etch, which is less sensitive to aspect ratio, permits process optimization over a wide range of designs and features. Moreover, the present invention will improve the capability to precisely control etching in applications that use different aspect ratio features and which must stop on thin etch stop layers. Additional advantages of the present invention will be apparent from the detailed description and drawings, which illustrate preferred embodiments of the invention.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is an exemplary apparatus according to the present invention.
  • FIG. 2 is a graph showing the variations of the etch and deposition rates as a function of the absolute value of the DC bias voltage for two aspect ratio-dependent etch rates.
  • FIG. 3 is a graph showing the variations of the etch and deposition rates as function of the modulated DC bias voltage for ten aspect ratio-dependent etch rates, in accordance with the present invention.
  • FIG. 4 is an exemplary duty cycle graph according to the present invention.
  • FIG. 5 is a diagrammatic cross-sectional view of a semiconductor wafer according to the present invention at an intermediate stage of processing.
  • FIG. 6 is a diagrammatic cross-sectional view of a semiconductor wafer according to the present invention at a processing step subsequent to that shown in FIG. 5.
  • FIG. 7 is a diagrammatic cross-sectional view of a semiconductor wafer according to the present invention at a processing step subsequent to that shown in FIG. 6.
  • FIG. 8 is a diagrammatic cross-sectional view of a semiconductor wafer according to the present invention at a processing step subsequent to that shown in FIG. 7.
  • FIG. 9 is a diagrammatic cross-sectional view of a semiconductor wafer according to the present invention at a processing step subsequent to that shown in FIG. 8.
  • FIG. 10 is a diagrammatic cross-sectional view of a semiconductor wafer according to the present invention at a processing step subsequent to that shown in FIG. 9.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • In the following detailed description, reference is made to the accompanying drawings which form a part hereof, and in which is shown by way of illustration specific embodiments in which the invention may be practiced. These embodiments are described in sufficient detail to enable those skilled in the art to practice the invention, and it is to be understood that other embodiments may be utilized, and that structural, logical and electrical changes may be made without departing from the spirit and scope of the present invention. The following detailed description is, therefore, not to be taken in a limiting sense, and the scope of the present invention is defined by the appended claims.
  • The terms “wafer” or “substrate” used in the following description may include any semiconductor-based structure that has an exposed silicon surface. Wafer and structure must be understood to include silicon-on insulator (SOI), silicon-on sapphire (SOS), doped and undoped semiconductors, epitaxial layers of silicon supported by a base semiconductor foundation, and other semiconductor structures. The semiconductor need not be silicon-based. The semiconductor could be silicon-germanium, germanium, or gallium arsenide. When reference is made to a wafer or substrate in the following description, previous process steps may have been utilized to form regions or junctions in the base semiconductor or foundation.
  • The present invention relates to a selective plasma etch process using modulated DC bias to control both the deposition and the etch at different aspect ratios of a semiconductor integrated circuit. The method improves the process window in the fabrication of the IC and operates under a wide range of aspect ratios. As discussed in more detail below, the present invention is an improved etching process using a time modulated bias voltage to control the transition from etch to deposition stages for integrated circuit devices with different aspect ratios.
  • The aspect ratio is the ratio of the depth to the width of a bottom dimension of an integrated circuit device. As used herein, the term “low aspect ratio” generally refers to aspect ratios of less than or equal to about 2:1 whereas the term “high aspect ratio” as used herein generally refers to aspect ratios of greater than or equal to about 3:1. The present invention may be used to selectively etch integrated circuit devices having aspect ratios of from about 0.5 to about 20.0.
  • Referring now to the drawings, where like elements are designated by like reference numerals, a representative substrate etched according to the present invention is illustrated in FIG. 1 which shows a plasma etching/deposition apparatus 200 according to the present invention. Apparatus 200 including a radio frequency (“RF”) source power supply 211, a coil 212, a chamber 213 and a dielectric plate 209. The chamber 213 is connected to a ground potential 217. An integrated circuit device 100 is mounted onto electrode 214 which applies a bias voltage or bottom power. The bias voltage is modulated by a bias voltage modulator 215 via electrode 214. Electrode 214 may be an electrostatic-chuck or susceptor for holding the integrated circuit device 100 during the etching process. Modulated-bias plasma 219 is generated in chamber 213 from source material 220. Source material 220 may be provided to chamber 213 via one or more feed tubes 252. The contents of chamber 213 are evacuated by vacuum pump 221 via line 222. The DC bias voltage and/or the source power 211 are modulated by respective bias modulator 215 in a duty cycle of from about 10% to about 90%.
  • Reference is now made to FIG. 2. The etch rate and deposition rate of a silicon substrate having an aspect ratio of 1.2 and an aspect ratio of 6 were measured when the substrate was contacted with a CHF3 plasma. The device was contacted with the CHF3 plasma for a predetermined time and the etch rate and deposition rate were measured as a function of bias the absolute value of voltage (VDC). As can be seen from the figure, when |VDC|<|VDC(dep)| (the voltage at which deposition of a protective layer occurs for any given aspect ratio), deposition of the protective layer occurred for an aspect ratio 1.2 (for example, position A as shown in FIGS. 8-10 below), but not for an aspect ratio of 6.0 (for example, position C as shown in FIGS. 8-10 below). Likewise, when |VDC|>|VDC(dep)|, etching occurred for both the 1.2 aspect ratio and the 6.0 aspect ratio. However, as can be seen from FIG. 2, the etch rate for the lower aspect ratio area was greater. Thus, as described below with reference to FIGS. 5-10, when the bias voltage |VDC| is modulated between |VDC|<|VDC(dep)| and |VDC|>|VDC(dep)|, etching occurs on the area having a higher aspect ratio since there is essentially no deposition for a bias voltage less than |VDC(dep)| while there is removal of material at a bias voltage greater that |VDC|. Likewise, the lower aspect ratio area, i.e. the area over insulating spacers 124 and transistor gate stacks 114, 115 in FIGS. 5-10, is not depleted since there is a deposition of protective material at a bias voltage less than |VDC(dep)| and a removal of material at a bias voltage greater that |VDC|. Thus, the bias voltage can be modulated between (i) a voltage |VDC| which is greater than |VDC(dep)| to effectuate etching of the low aspect ratio material and (ii) a voltage |VDC| which is less than |VDC(dep)| to effectuate deposition of a protective material on the low aspect ratio material to assure that the material etched at the low aspect ratio area during a bias voltage greater than |VDC(dep)| is the material that is deposited when the bias voltage is greater than |VDC(dep)|. In FIG. 2, the value of |Vdc| is shown to control, or to describe, the conditions that lead to the transition from etching to deposition. Other variables, or combinations of variables, can also affect this transition point and can affect the value of Vdc(dep). For example, one could vary the source and bias powers together and keep Vdc relatively constant while still varying the results of etch or deposition on the substrate. Under some conditions, one might even modulate the gas flowrates. However, the DC Bias is the preferred embodiment because it is easy to vary over a wide range of values, including values much larger than |Vdc(dep)|.
  • Reference is now made to FIG. 3. In accordance with the present invention, pulsing the VDC will allow continuous etching on point C (high aspect ratio) and deposition on point A (low aspect ratio). The figure shows how the transition from etch to deposition occurs in a CHF3 plasma for integrated circuit devices with 10 different aspect ratios (AR), ranging from 0.8 to 6.0. As the DC bias voltage is decreased from a high magnitude, such as 180 volts in this example, the etch rates decrease accordingly until the transition from etching to depositions occurs. This transition occurs at VDC(dep) and takes place over a narrow range of |Vdc|, in our example from about 25 volts. It should be noted that, as with FIG. 2, the aspect ratio 6.0 showed no deposition for voltages below |Vdc(dep)|. This interval represents the deposition regime. |Vdc(dep)| represents, therefore, the cutoff DC bias voltage below which deposition occurs over a wide range of aspect ratios, and above which etching occurs under a wide range of aspect ratios.
  • The FIG. 3 graph demonstrates that a plasma operation that varies from low to high magnitude of VDC can continuously etch at high aspect ratios (as exemplified by position C in FIGS. 8-10 below) and alternatively deposit or etch the deposit at lower aspect ratios (as exemplified by position A in FIGS. 8-10 below). The present invention uses time modulated voltage to deposit a protective layer at position A while maintaining a rather constant etch rate at position C. Thus, the present invention uses low bias time and conditions to deposit the protective layer at position A and not stop the etch at position C. The invention further uses a high bias time and conditions to move the etch front at position C, toward completion.
  • While it is preferable to modulate the bias voltage to tailor the selective etching, it should be understood that the present invention allows modulation of either the bias power or the source power to generate the desired process result from VDC modulation. As set forth above, the time periods of the pulsing that will work are constrained by relative deposition and etch rates but are based on a duty cycle of from about 10 to about 90%. A representative duty cycle plot according to the present invention is illustrated in FIG. 4. The figure shows a pulse cycle where when bias voltage |VDC| is less than |VDC(dep)|, material is etched at a high aspect ratio position (such as position C as shown in FIGS. 8-10 below) and a protective material is deposited at a low aspect ratio position (such as position A as shown in FIGS. 8-10 below). When bias voltage |VDC| is greater than |VDC(dep)|, material is etched at both low and high aspect ratio positions.
  • Reference is now made to FIG. 5. The integrated circuit device partially shown in cross section in FIG. 5 is at an intermediate stage of processing. A device 100 includes a substrate 110 having an insulating layer 112 formed thereon. Insulating layer 112 may be a BPSG layer. Substrate 110 may also have transistor gate stacks 114, 115 having an conductive layer 120 (e.g. doped polysilicon), an insulating layer 123, an insulating cap layer 122 and insulating spacers 124 formed around the transistor gate stacks 114, 115. The insulating layer, cap layer and spacers may be formed of, for example, silicon nitride or silicon oxide. The transistor gate stacks 114, 115 formed over the substrate 110 between a doped active area 113. While the present invention is described showing two transistor gate stacks 114, 115 between a single doped active area 113 for the purposes of illustration, it should be understood that the invention is not so limited, but has applicability to more complex integrated circuit structures as well.
  • Still with reference to FIG. 5, a patterning layer 125, such as a mask, is formed over the insulating layer 112 where the etch is desired. In the FIG. 5 structure, an etch down to active area 113 is desired. Insulating layer 112 is typically formed of an oxide layer such as BPSG or the like. As noted above, insulating spacers 124 and insulating layer 123 are preferably formed of a silicon oxide or silicon nitride. Since both the insulating layer 112 and the insulating spacers 124 are formed of materials that react similarly to a plasma etch, the device 100 can not be selectively etched by conventional methods. The device 100 is thus placed in the plasma etching apparatus having a time modulated DC bias voltage as described above with reference to FIG. 1 and the device 100 is etched according to the present invention by modulating the bias voltage as described with reference to FIGS. 2-4.
  • The plasma etching gas may be any gaseous material known for etching. Examples of suitable plasma etching gases are those such as fluorocarbon gases and hydrofluorocarbon containing gases, such as, for example, CF4, C2F6, C3F8 and CHF3 and the like. According to a preferred embodiment, the plasma etching process uses as process gas including a hydrofluorocarbon, preferably a gas mixture including trifluoromethane (CHF3). It should be understood that the selection of the plasma etching gas will be determined by the substrate to be etched as well as the physical parameters of the etching process such as power, pressure, temperature and the like. The plasma is formed at a high power, such as, for example, from about 400 to about 1500 watts (RF) at a pressure of from about 2 to about 40 mTorr. The bias power may be adjusted from about 0 to about 1000 watts depending upon the physical parameters of the substrate and the layers to be etched. The DC bias voltage may be modulated from between about 0V and about 300V. The device may be etched for a time period of from about 1 to about 100 seconds depending upon the physical parameters of the substrate and the layers to be etched. Additionally, the duty cycle of the time modulated DC bias may be from about 10 to about 90%, preferably from about 25 to about 75%.
  • Reference is made to FIG. 6. The device is etched in the plasma etching apparatus to form hole 127 in the area not covered by mask 125. As can be seen from FIG. 6, the hole 127 is etched in device 100 for a predetermined period of time to etch to just above transistor gate stacks 114, 115 and the DC bias voltage is modulated between (i) a voltage above |VDC(dep)|, the point at which there is no etching and no deposition, to effectively etch the hole 127 and (ii) a voltage below |VDC(dep)| to deposit protective material on the edges of the hole 127 having a low aspect ratio. The device is processed as shown in FIGS. 7-10. The DC bias power is modulated such that material is etched from the higher aspect ratio area C of hole 127 at a greater rate than lower aspect ratio area A when |VDC| is greater than |VDC(dep)|. |VDC| is then modulated to a voltage below |VDC(dep)| where protective material is deposited on the area A of hole 127 at a greater rate than is deposited at the higher aspect ratio area C of hole 127. The protective material deposited on the area A is subsequently etched away and redeposited by the DC bias modulation, thus allowing the hole 127 above transistor gate stacks 114, 115 to remain static. By modulating the DC bias voltage, the present invention allows the build up and removal of material at the low aspect ratio area A of contact hole 127 which lies over transistor gate stacks 114, 115 while removing at a greater rate the BPSG material that overlies active area 113. Thus, the method according to the present invention allows the etching of a self-aligned contact without removal of the insulating spacers of the transistor gate stacks 114, 115. Additionally, the present invention allows the targeted etching to active area 113 without significantly etching away portions of the active area through the methodical modulated DC bias etching.
  • The above description illustrates preferred embodiments which achieve the objects, features and advantages of the present invention. It is not intended that the present invention be limited to the illustrated embodiments. For example, while the present invention has been described with reference to a self-aligned contact, it should be understood that the present invention may be used to fabricate any necessary features in a semiconductor substrate, such as, for example, a trench or a pillar. Additionally, it should be understood that the method and apparatus described are but one example of methods and apparatus that may be used in accordance with the present invention. Any modification of the present invention that comes within the spirit and scope of the following claims should be considered part of the present invention.

Claims (30)

1-35. (canceled)
36. An integrated circuit device wherein said device is selectively etched by a method, comprising:
placing said device into a reactive chamber;
introducing into said chamber an etching gas;
providing a DC bias voltage to said substrate; and
modulating said DC bias voltage from a first voltage to a second voltage for a predetermined pulsing period to selectively etch said device.
37. The device according to claim 36, wherein said method includes controlling deposition on a first position of a first material having a first aspect ratio while continuously etching a second position of a second material with a second aspect ratio, wherein said first aspect ratio is less than said second aspect ratio.
38. The device according to claim 37, wherein said first aspect ratio is less than or equal to 5.
39. The device according to claim 37, wherein said second aspect ratio is greater than or equal to 3.
40. The device according to claim 38, wherein said first aspect ratio is from about 0.5 to about 5.0.
41. The device according to claim 39, wherein said second aspect ratio is from about 3.0 to about 20.0.
42. The device according to claim 36, wherein said etching gas includes a fluorocarbon gas.
43. The device according to claim 36, wherein said etching gas includes a hydcrofluorocarbon gas.
44. The device according to claim 36, wherein said etching gas includes a gas selected from the group consisting of CF4, C2F6, C3F8, C4F8 and CHF3.
45. The device according to claim 43, wherein said etching gas includes CHF3.
46. The device according to claim 36, wherein said device includes a self-aligned contact etched thereon.
47. The device according to claim 36, wherein said bias voltage is modulated on a duty cycle of from about 10% to about 90%
48. The device according to claim 36, wherein said modulated DC bias voltage is obtained by modulating a source power.
49. The device according to claim 36, wherein said modulated DC bias voltage is obtained by modulating a source power, a bias power or combinations thereof.
50. The device according to claim 36, wherein said predetermined pulsing period is calculated according to a first relative deposition rate and a first relative etch rate of said first material, and to a second relative deposition rate and a second relative etch rate of said second material.
51. The device according to claim 36, wherein said bias voltage is modulated between about 0 volts and about 300 volts.
52. The device according to claim 51, wherein said bias voltage is modulated between about 10 volts and about 80 volts.
53-63. (canceled)
64. A method of forming an integrated circuit device comprising:
forming at least one layer of material to be etched over a substrate;
forming an opening in said at least one layer of material; and
applying a plasma-inducing voltage to said device to deposit a protective layer of material at a first portion of said opening having a first aspect ratio while concurrently etching a second portion of said opening having a second aspect ratio.
65. The method according to claim 64 wherein said first aspect ratio is smaller than said second aspect ratio.
66. The method according to claim 64 wherein said first aspect ratio is from about 0.5 to about 5.0.
67. The method according to claim 64 wherein said protective layer is formed over a gate stack.
68. The method according to claim 64 wherein said second aspect ratio is from about 3.0 to about 20.0.
69. The method according to claim 64 further comprising alternating said voltage between at least a first voltage value and a second voltage value different from said first voltage value.
70. A method of protecting a structure in an integrated circuit during etching comprising:
forming an opening over at least a portion of the structure to be protected; and
applying a plasma-inducing voltage to said integrated circuit whereby a protective layer is deposited onto a first area of said opening which is over said portion of the structure to be protected, while a second area of said opening is continuously etched.
71. The method according to claim 70 wherein said structure to be protected is a gate stack.
72. The method according to claim 70 wherein said first area has a first aspect ration and said second area has a second aspect ratio.
73. The method according to claim 72 wherein said first aspect ratio is smaller than said second aspect ratio.
74. The method according to claim 70 further comprising alternating said voltage between at least a first voltage value and a second voltage value different from said first voltage value.
US11/319,402 1999-08-25 2005-12-29 Aspect ratio controlled etch selectivity using time modulated DC bias voltage Abandoned US20060105577A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/319,402 US20060105577A1 (en) 1999-08-25 2005-12-29 Aspect ratio controlled etch selectivity using time modulated DC bias voltage

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/382,584 US6716758B1 (en) 1999-08-25 1999-08-25 Aspect ratio controlled etch selectivity using time modulated DC bias voltage
US10/779,843 US20040161941A1 (en) 1999-08-25 2004-02-18 Aspect ratio controlled etch selectivity using time modulated DC bias voltage
US11/319,402 US20060105577A1 (en) 1999-08-25 2005-12-29 Aspect ratio controlled etch selectivity using time modulated DC bias voltage

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/779,843 Division US20040161941A1 (en) 1999-08-25 2004-02-18 Aspect ratio controlled etch selectivity using time modulated DC bias voltage

Publications (1)

Publication Number Publication Date
US20060105577A1 true US20060105577A1 (en) 2006-05-18

Family

ID=32030588

Family Applications (3)

Application Number Title Priority Date Filing Date
US09/382,584 Expired - Lifetime US6716758B1 (en) 1999-08-25 1999-08-25 Aspect ratio controlled etch selectivity using time modulated DC bias voltage
US10/779,843 Abandoned US20040161941A1 (en) 1999-08-25 2004-02-18 Aspect ratio controlled etch selectivity using time modulated DC bias voltage
US11/319,402 Abandoned US20060105577A1 (en) 1999-08-25 2005-12-29 Aspect ratio controlled etch selectivity using time modulated DC bias voltage

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US09/382,584 Expired - Lifetime US6716758B1 (en) 1999-08-25 1999-08-25 Aspect ratio controlled etch selectivity using time modulated DC bias voltage
US10/779,843 Abandoned US20040161941A1 (en) 1999-08-25 2004-02-18 Aspect ratio controlled etch selectivity using time modulated DC bias voltage

Country Status (1)

Country Link
US (3) US6716758B1 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070042605A1 (en) * 2005-08-18 2007-02-22 Micron Technology, Inc. Method of etching a substrate and method of forming a feature on a substrate
US9771648B2 (en) 2004-08-13 2017-09-26 Zond, Inc. Method of ionized physical vapor deposition sputter coating high aspect-ratio structures
WO2021041916A1 (en) * 2019-08-30 2021-03-04 Lam Research Corporation High density, modulus, and hardness amorphous carbon films at low pressure
US11837441B2 (en) 2019-05-29 2023-12-05 Lam Research Corporation Depositing a carbon hardmask by high power pulsed low frequency RF

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050103441A1 (en) * 2001-11-14 2005-05-19 Masanobu Honda Etching method and plasma etching apparatus
EP1376683B1 (en) * 2002-06-28 2007-03-07 STMicroelectronics S.r.l. Process for forming trenches with oblique profile and rounded top corners
US20040097077A1 (en) * 2002-11-15 2004-05-20 Applied Materials, Inc. Method and apparatus for etching a deep trench
US7521000B2 (en) * 2003-08-28 2009-04-21 Applied Materials, Inc. Process for etching photomasks
DE10360000B4 (en) * 2003-12-19 2009-12-10 Advanced Micro Devices, Inc., Sunnyvale Distance element for a gate electrode with tensile stress of a transistor element and a method for manufacturing
US7959819B2 (en) * 2004-06-29 2011-06-14 Shouliang Lai Method and apparatus for reducing aspect ratio dependent etching in time division multiplexed etch processes
US7271106B2 (en) * 2004-08-31 2007-09-18 Micron Technology, Inc. Critical dimension control for integrated circuits
US7879510B2 (en) 2005-01-08 2011-02-01 Applied Materials, Inc. Method for quartz photomask plasma etching
US8293430B2 (en) * 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
US7829243B2 (en) 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
US7790334B2 (en) * 2005-01-27 2010-09-07 Applied Materials, Inc. Method for photomask plasma etching using a protected mask
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7608195B2 (en) * 2006-02-21 2009-10-27 Micron Technology, Inc. High aspect ratio contacts
US7713430B2 (en) * 2006-02-23 2010-05-11 Micron Technology, Inc. Using positive DC offset of bias RF to neutralize charge build-up of etch features
US7517804B2 (en) * 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
US7767365B2 (en) * 2006-08-31 2010-08-03 Micron Technology, Inc. Methods for forming and cleaning photolithography reticles
US7786019B2 (en) * 2006-12-18 2010-08-31 Applied Materials, Inc. Multi-step photomask etching with chlorine for uniformity control
US7648806B2 (en) * 2007-02-02 2010-01-19 Micron Technology, Inc. Phase shift mask with two-phase clear feature
US9059116B2 (en) * 2007-11-29 2015-06-16 Lam Research Corporation Etch with pulsed bias
US7745346B2 (en) * 2008-10-17 2010-06-29 Novellus Systems, Inc. Method for improving process control and film conformality of PECVD film
US8609548B2 (en) 2011-06-06 2013-12-17 Lam Research Corporation Method for providing high etch rate
US8440473B2 (en) 2011-06-06 2013-05-14 Lam Research Corporation Use of spectrum to synchronize RF switching with gas switching during etch
US9224618B2 (en) * 2012-01-17 2015-12-29 Lam Research Corporation Method to increase mask selectivity in ultra-high aspect ratio etches
JP6179158B2 (en) * 2013-03-27 2017-08-16 三菱電機株式会社 Transistor manufacturing method and amplifier manufacturing method
CN104752331B (en) * 2013-12-31 2018-08-07 中微半导体设备(上海)有限公司 A kind of silicon hole lithographic method
JP7045152B2 (en) * 2017-08-18 2022-03-31 東京エレクトロン株式会社 Plasma processing method and plasma processing equipment
US10283370B1 (en) * 2018-03-01 2019-05-07 Applied Materials, Inc. Silicon addition for silicon nitride etching selectivity
US10593678B1 (en) 2018-08-24 2020-03-17 Micron Technology, Inc. Methods of forming semiconductor devices using aspect ratio dependent etching effects, and related semiconductor devices
WO2023164251A1 (en) * 2022-02-28 2023-08-31 Board Of Regents, The University Of Texas System Programmable precision etching

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5122225A (en) * 1990-11-21 1992-06-16 Texas Instruments Incorporated Selective etch method
US5468342A (en) * 1994-04-28 1995-11-21 Cypress Semiconductor Corp. Method of etching an oxide layer
US5478437A (en) * 1994-08-01 1995-12-26 Motorola, Inc. Selective processing using a hydrocarbon and hydrogen
US5562801A (en) * 1994-04-28 1996-10-08 Cypress Semiconductor Corporation Method of etching an oxide layer
US5683538A (en) * 1994-12-23 1997-11-04 International Business Machines Corporation Control of etch selectivity
US5779925A (en) * 1994-10-14 1998-07-14 Fujitsu Limited Plasma processing with less damage
US5783282A (en) * 1996-10-07 1998-07-21 Micron Technology, Inc. Resputtering to achieve better step coverage of contact holes
US5888414A (en) * 1991-06-27 1999-03-30 Applied Materials, Inc. Plasma reactor and processes using RF inductive coupling and scavenger temperature control

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW312815B (en) * 1995-12-15 1997-08-11 Hitachi Ltd
US6187685B1 (en) * 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5122225A (en) * 1990-11-21 1992-06-16 Texas Instruments Incorporated Selective etch method
US5888414A (en) * 1991-06-27 1999-03-30 Applied Materials, Inc. Plasma reactor and processes using RF inductive coupling and scavenger temperature control
US5468342A (en) * 1994-04-28 1995-11-21 Cypress Semiconductor Corp. Method of etching an oxide layer
US5562801A (en) * 1994-04-28 1996-10-08 Cypress Semiconductor Corporation Method of etching an oxide layer
US5478437A (en) * 1994-08-01 1995-12-26 Motorola, Inc. Selective processing using a hydrocarbon and hydrogen
US5779925A (en) * 1994-10-14 1998-07-14 Fujitsu Limited Plasma processing with less damage
US5683538A (en) * 1994-12-23 1997-11-04 International Business Machines Corporation Control of etch selectivity
US5770097A (en) * 1994-12-23 1998-06-23 International Business Machines Corporation Control of etch selectivity
US5783282A (en) * 1996-10-07 1998-07-21 Micron Technology, Inc. Resputtering to achieve better step coverage of contact holes

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9771648B2 (en) 2004-08-13 2017-09-26 Zond, Inc. Method of ionized physical vapor deposition sputter coating high aspect-ratio structures
US20070042605A1 (en) * 2005-08-18 2007-02-22 Micron Technology, Inc. Method of etching a substrate and method of forming a feature on a substrate
US20070066068A1 (en) * 2005-08-18 2007-03-22 Mirzafer Abatchev Method of depositing a layer comprising silicon, carbon, and flourine onto a semiconductor substrate
US7291563B2 (en) 2005-08-18 2007-11-06 Micron Technology, Inc. Method of etching a substrate; method of forming a feature on a substrate; and method of depositing a layer comprising silicon, carbon, and fluorine onto a semiconductor substrate
US7473645B2 (en) 2005-08-18 2009-01-06 Micron Technology, Inc. Method of depositing a layer comprising silicon, carbon, and fluorine onto a semiconductor substrate
US11837441B2 (en) 2019-05-29 2023-12-05 Lam Research Corporation Depositing a carbon hardmask by high power pulsed low frequency RF
WO2021041916A1 (en) * 2019-08-30 2021-03-04 Lam Research Corporation High density, modulus, and hardness amorphous carbon films at low pressure

Also Published As

Publication number Publication date
US20040161941A1 (en) 2004-08-19
US6716758B1 (en) 2004-04-06

Similar Documents

Publication Publication Date Title
US6716758B1 (en) Aspect ratio controlled etch selectivity using time modulated DC bias voltage
EP1676302B1 (en) Notch-free etching of high aspect soi structures using a time division multiplex process and rf bias modulation
US10290506B2 (en) Method for etching high-K dielectric using pulsed bias power
KR101880831B1 (en) Method for deep silicon etching using gas pulsing
EP0049272B1 (en) Fabrication of microminiature devices using plasma etching of silicon with fluorine-containing gaseous compounds
US6489248B2 (en) Method and apparatus for etch passivating and etching a substrate
EP1070342B1 (en) Techniques for forming trenches in a silicon layer of a substrate in a high density plasma processing system
US20060043066A1 (en) Processes for pre-tapering silicon or silicon-germanium prior to etching shallow trenches
US20040097077A1 (en) Method and apparatus for etching a deep trench
US5948703A (en) Method of soft-landing gate etching to prevent gate oxide damage
US6432832B1 (en) Method of improving the profile angle between narrow and wide features
US4383885A (en) Reactive sputter etching of polysilicon utilizing a chlorine etch gas
US20040077178A1 (en) Method for laterally etching a semiconductor structure
KR102460795B1 (en) Methods and systems for patterning low aspect ratio stacks
WO2009070562A1 (en) Plasma control using dual cathode frequency mixing
KR100595090B1 (en) Improved techniques for etching with a photoresist mask
US6827870B1 (en) Method and apparatus for etching and deposition using micro-plasmas
KR100549204B1 (en) Method for anisotropically etching silicon
US11342195B1 (en) Methods for anisotropic etch of silicon-based materials with selectivity to organic materials
CA2387432C (en) Method and apparatus for etching and deposition using micro-plasmas
JP2005259873A (en) Etching method
US20230343554A1 (en) Methods To Provide Anisotropic Etching Of Metal Hard Masks Using A Radio Frequency Modulated Pulsed Plasma Scheme
US10937664B2 (en) Surface modification process
US20230343598A1 (en) Method For Improving Etch Rate And Critical Dimension Uniformity When Etching High Aspect Ratio Features Within A Hard Mask Layer

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION